US20140183747A1 - Multi-die, high current wafer level package - Google Patents

Multi-die, high current wafer level package Download PDF

Info

Publication number
US20140183747A1
US20140183747A1 US13/732,664 US201313732664A US2014183747A1 US 20140183747 A1 US20140183747 A1 US 20140183747A1 US 201313732664 A US201313732664 A US 201313732664A US 2014183747 A1 US2014183747 A1 US 2014183747A1
Authority
US
United States
Prior art keywords
integrated circuit
circuit chip
pillar
wafer
level package
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US13/732,664
Other versions
US9087779B2 (en
Inventor
Arkadii V. Samoilov
Peter R. Harper
Viren Khandekar
Pirooz Parvarandeh
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Maxim Integrated Products Inc
Original Assignee
Maxim Integrated Products Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Maxim Integrated Products Inc filed Critical Maxim Integrated Products Inc
Priority to US13/732,664 priority Critical patent/US9087779B2/en
Assigned to MAXIM INTEGRATED PRODUCTS, INC. reassignment MAXIM INTEGRATED PRODUCTS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: KHANDEKAR, VIREN, HARPER, PETER R., PARVARANDEH, PIROOZ, SAMOILOV, ARKADII V.
Priority to CN201410001440.9A priority patent/CN103915397B/en
Publication of US20140183747A1 publication Critical patent/US20140183747A1/en
Priority to US14/803,612 priority patent/US9230903B2/en
Application granted granted Critical
Publication of US9087779B2 publication Critical patent/US9087779B2/en
Active legal-status Critical Current
Adjusted expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49838Geometry or layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • H01L21/4846Leads on or in insulating or insulated substrates, e.g. metallisation
    • H01L21/4853Connection or disconnection of other leads to or from a metallisation, e.g. pins, wires, bumps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • H01L21/4846Leads on or in insulating or insulated substrates, e.g. metallisation
    • H01L21/4857Multilayer substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • H01L21/563Encapsulation of active face of flip-chip device, e.g. underfilling or underencapsulation of flip-chip, encapsulation preform on chip or mounting substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16135Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/16145Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/93Batch processes
    • H01L2224/94Batch processes at wafer-level, i.e. with connecting carried out on a wafer comprising a plurality of undiced individual devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/1515Shape

Definitions

  • Flat no-lead packaging technologies such as quad-flat no-leads (QFN) packaging technologies, physically and electrically connect integrated circuit chips to printed circuit boards.
  • Flat no-lead packaging technologies typically employ a lead-frame that includes an integrated circuit chip (die) mounted thereon. The die may be electrically interconnected with the lead-frame through wire-bonding technology or flip-chip technology. An encapsulation structure is then formed over the lead-frame to encapsulate the integrated circuit chip.
  • the wafer-level package devices include an integrated circuit chip (e.g., die) having at least one pillar (e.g., a copper pillar) formed over the integrated circuit chip.
  • the pillar is configured to provide electrical interconnectivity to the integrated circuit chip.
  • An encapsulation structure configured to support the pillar is formed over the surface of the integrated circuit chip.
  • an integrated circuit chip device e.g., flip-chip die
  • the integrated circuit chip device is at least partially encapsulated by the encapsulation structure.
  • one or more solder contacts are formed upon a top surface (e.g., exposed end) of the copper pillar for serving as a connection between the wafer-level package device and corresponding pads of a printed circuit board, for facilitating connection between the device and the printed circuit board.
  • the height of the integrated circuit chip device is less than the height of the pillar and/or less than the combined height of the pillar and the one or more solder contacts.
  • FIG. 1 is a diagrammatic perspective elevation view illustrating a wafer-level package device in accordance with an example implementation of the present disclosure.
  • FIG. 2 is a diagrammatic partial-cross-sectional end view of a section of a wafer-level package device shown in accordance with an exemplary implementation of the present disclosure.
  • FIG. 3 is a top plan view of a portion of the wafer-level package device shown in FIG. 2 .
  • FIG. 4 is a diagrammatic partial-cross-sectional end view of a section of the wafer-level package device shown in FIG. 1 .
  • FIG. 5 is a top plan view of a portion of the wafer-level package device shown in FIG. 4 .
  • FIG. 6 is a diagrammatic perspective elevation view illustrating a printed circuit board configured for being connected to the wafer-level package device shown in FIG. 1 .
  • FIG. 7 is a longitudinal cross-sectional view depicting the printed circuit board shown in FIG. 6 being connected to the wafer-level package device shown in FIG. 1 .
  • FIGS. 8A through 8J are diagrammatic partial cross-sectional views illustrating the fabrication of a wafer-level package device, such as shown in FIGS. 1 , 4 and 7 , in accordance with the process shown in FIGS. 9A and 9B .
  • FIGS. 9A and 9B depict a flow diagram illustrating a process in an example implementation for fabricating wafer-level package devices, such as the wafer-level package devices shown in FIGS. 1 through 5 and FIG. 7 .
  • QFN packaging technologies provide good mechanical protection to the integrated circuit chips (dies) contained within the device packages by fully encapsulating the integrated circuit chips within the package.
  • flat no-lead (e.g., QFN) package devices are expensive to produce and typically provide relatively low pin counts (e.g., the pins of a QFN are typically located along the die edge).
  • Wafer-level packaging is a chip-scale packaging technology that encompasses a variety of techniques whereby integrated circuit chips are packaged at wafer level, prior to segmentation. Wafer-level packaging extends the wafer fabrication processes to include device interconnection and device protection processes. Consequently, wafer-level packaging streamlines the manufacturing process by allowing for the integration of wafer fabrication, packaging, testing, and burn-in processes at the wafer level. Compared to flat no-lead (QFN) packaging techniques, wafer-level packaging is generally less costly to implement since packaging occurs at wafer level, while flat no-lead packaging is performed at strip level. Moreover, the footprint of a comparable wafer-level packaged device is typically less than that of a QFN packaged device since wafer-level packages can be nearly equal to the size of the integrated circuit chip.
  • QFN flat no-lead
  • the wafer-level package devices may thus provide mechanical protection of the integrated circuit chips (dies) contained within the device packages that is similar to that provided by flat no-lead (QFN) devices, while maintaining the benefits inherent in wafer-level packaging (e.g., lower cost, smaller package size, high pin count, etc.).
  • the wafer-level package devices include an integrated circuit chip (e.g., die) having pillars that provide electrical interconnectivity to the devices.
  • the pillars may be copper pillars having a solder layer formed over the exposed end of the pillar.
  • An encapsulation structure configured to support the pillar is formed over the surface of the integrated circuit chip at wafer level.
  • the encapsulation structure may be fabricated from epoxy, or a like substance.
  • an integrated circuit chip device may be mounted to the integrated circuit chip so that the integrated circuit chip device is in electrical communication with the integrated circuit chip.
  • the integrated circuit chip device is at least partially encapsulated by the encapsulation structure. Once singulated from the wafer, the devices may be mounted to a printed circuit board, and the pillars provide electrical interconnectivity through the backside of the device that interface with the pads of the printed circuit board.
  • FIGS. 1 through 5 illustrate wafer-level package devices 100 in accordance with example implementations of the present disclosure.
  • each device 100 includes an integrated circuit chip 102 comprised of a semiconductor substrate 104 (e.g., wafer) having one or more integrated circuits 106 formed therein.
  • the semiconductor substrate 104 is formed of silicon.
  • the integrated circuit chip 102 includes all front-end-of-line (FEOL) and necessary interconnect circuitry.
  • the integrated circuits 106 may comprise digital integrated circuits, analog integrated circuits, mixed signal integrated circuits, combinations thereof, and so forth.
  • the integrated circuits 106 may be formed through suitable FEOL fabrication techniques.
  • the device 100 further includes pillars 108 extending from (e.g. formed upon) a surface 111 of the semiconductor substrate 104 .
  • the pillars 108 include multiple, stacked layers, such as a first (e.g., a bottom) pillar layer (P 1 ) and a second (e.g., a top) pillar layer formed (e.g., stacked) upon the first pillar layer (P 2 ) (as shown in FIG. 7 ).
  • the pillars 108 are copper pillars.
  • the pillars 108 may be formed of other metals (e.g., gold or tin) or metal alloys.
  • the pillars 108 may be fabricated via suitable manufacturing processes, such as the lamination/deposition process described herein.
  • photo-imageable liquid dielectric materials for small copper thickness values e.g., up to approximately 20 micrometers (20 um) or dry film for larger copper thickness values can be used to plate the copper.
  • the pillars 108 may have an aspect ratio (ratio of the width of the pillar to the height of the pillar) ranging from one to one (1:1) to about twenty to one (20:1).
  • the pillars 108 serve to provide electrical interconnections between the integrated circuit chip 102 and a printed circuit board 600 (shown in FIG. 6 ) that is configured to receive the device 100 . In embodiments, such as shown in FIGS.
  • each pillar 108 can include a single solder contact 110 disposed upon an exposed end 112 (e.g., the end distal from the substrate 104 ) of the pillar 108 .
  • the single solder contact 110 can cover most of (e.g., almost all) of the exposed end 112 of the pillar 108 .
  • FIG. 1 illustrates an exemplary embodiment of a single solder contact 110 disposed upon an exposed end 112 (e.g., the end distal from the substrate 104 ) of the pillar 108 .
  • the single solder contact 110 can cover most of (e.g., almost all) of the exposed end 112 of the pillar 108 .
  • a solder mask opening 113 can be defined upon the exposed end 112 of the pillar 108 using a photo-imageable dielectric material (e.g., Polyimide (PI), Polybenzoxazole (PBO), Benzocyclobuten (BCB)) to form a pad (e.g., solder pad) for the individual solder area, such that the single solder contact 110 may be disposed through the solder mask opening 113 .
  • PI Polyimide
  • PBO Polybenzoxazole
  • BCB Benzocyclobuten
  • each pillar 108 can include multiple solder contacts 110 disposed upon an exposed end 112 of the pillar 108 .
  • the multiple solder contacts 110 may be disposed through multiple solder mask openings 113 (e.g., which form multiple solder areas), as shown in FIG. 5 .
  • one or more solder contacts (e.g., solder bumps) 110 can be formed on the pillar 108 which can have a substantially greater height compared to the solder contacts 110 shown in FIG. 2 . This increased height can promote improved solder joint fatigue life.
  • the pads (e.g., solder pads, solder areas) formed by the solder mask openings 113 may be rectangular (for maximizing the use of the surface area of the pillars), with rounded corners (for minimizing stress).
  • the solder contacts 110 serve as a connection between the device 100 (e.g., the pillars 108 ) and corresponding pads (e.g., formed by solder mask openings 606 ) disposed over the printed circuit board 600 (shown in FIG. 6 ).
  • the solder contacts 110 may be fabricated of a lead-free solder composition such as a Tin-Silver-Copper (Sn—Ag—Cu) alloy solder (i.e., SAC), a Tin-Silver (Sn—Ag) alloy solder, a Tin-Copper (Sn—Cu) allow solder, and so on.
  • a Tin-Silver-Copper alloy solder i.e., SAC
  • Sn—Ag Tin-Silver
  • Sn—Cu Tin-Copper
  • the device 100 may be optimized for users wanting a QFN-style package or a land grid array (LGA)-style package. In other embodiments, such as shown in FIGS. 4 and 5 , the device 100 may be optimized for users wanting a wafer-level packaging (WLP)-style package.
  • WLP wafer-level packaging
  • the device 100 may include an integrated circuit chip device 114 disposed over and connected to (e.g., mounted to) the surface 111 of the integrated circuit chip 102 (e.g., wafer).
  • the integrated circuit chip device 114 may be in electrical communication with the integrated circuits 106 of the integrated circuit chip 102 .
  • integrated circuit chip device 114 includes solder bumps 116 that allow the device 114 to be in electrical contact with the device 100 .
  • the solder bumps 116 may, for example, be positioned over (e.g., connected to, disposed upon) a redistribution structure, such as a redistribution layer (RDL) 118 , of the wafer-level chip-scale device 100 to allow electrical communication between the integrated circuit chip device 114 and device 100 (e.g., the integrated circuits 106 , etc.).
  • the RDL 118 may be formed from a conductive material, such as polysilicon, aluminum, copper, and so on.
  • the integrated circuit chip device 114 extends additional functionality to device 100 by enabling system-in-a-package capabilities.
  • integrated circuit chip device 114 may be a digital integrated circuit device, an analog integrated circuit device, a mixed-signal integrated circuit device, and so forth.
  • the solder bumps 116 may be fabricated of a lead-free solder composition such as a Tin-Silver-Copper (Sn—Ag—Cu) alloy solder (i.e., SAC), a Tin-Silver (Sn—Ag) alloy solder, a Tin-Copper (Sn—Cu) alloy solder, and so on.
  • a Tin-Silver-Copper (Sn—Ag—Cu) alloy solder i.e., SAC
  • Tin-Silver (Sn—Ag) alloy solder Tin-Copper (Sn—Cu) alloy solder
  • PbSn Tin-Lead solder compositions may be used.
  • an underfill e.g., a capillary underfill
  • the pillar 108 extends a first distance from the integrated circuit chip 102 (e.g., the distal end 112 of the pillar 108 is located a first distance (e.g., first height) above the surface 111 of the integrated circuit chip 102 ), while the integrated circuit chip device 114 has an end distal to the surface 111 , the distal end of the integrated circuit chip device 114 extending (e.g., being located) a second distance (e.g., second height) from (e.g., above) the surface 111 of the integrated circuit chip 102 .
  • a second distance e.g., second height
  • the solder contact(s) 110 are located at a third distance (e.g., third height) above the surface 111 of the integrated circuit chip 102 .
  • the second distance/height may be less than the first distance/height and/or less than the third distance/height (e.g., the height of the integrated circuit chip device 114 is less than the height of the pillar 108 and/or less than the combined height of the pillar 108 and solder contact(s) 110 ).
  • the pillars 108 are of an appropriate height to accommodate the integrated circuit chip device (e.g., flip-chip (FC) die) 114 and to carry high currents.
  • FC flip-chip
  • the device 100 also includes an encapsulation structure 120 disposed over the surface 111 of the integrated circuit chip 102 . As shown in FIGS. 2 and 4 , the encapsulation structure 120 at least substantially encapsulates the pillars 108 . Thus, the encapsulation structure 120 provides support and insulation to the pillars 108 (and the integrated circuit chip device 114 when the device 100 employs an integrated circuit chip device 114 ). The pillars 108 have a length that at least substantially extends to the depth of the encapsulation structure 120 . As shown in FIGS.
  • the solder contacts 110 extend beyond a plane defined by the surface 122 of the encapsulation structure 120 to allow the solder contacts 110 to connect to the corresponding pads (e.g., formed by the solder mask openings 606 ) of the printed circuit board 600 (shown in FIG. 6 ).
  • the encapsulation structure 120 may be a polymer material, such as epoxy, or the like, deposited over the surface 111 of the wafer-level chip-scale package device 100 .
  • the device 100 may be configured for being connected to a printed circuit board 600 .
  • the printed circuit board 600 includes a first trace (e.g., a first copper trace) 608 and a second trace (e.g., a second copper trace) 610 .
  • the printed circuit board 600 includes: a first surface 612 , a second surface 614 being disposed opposite the first surface 612 , a first side 602 , the first side and a second side 604 , the second side 604 disposed opposite the first side 602 .
  • FIG. 7 depicts device 100 being connected to printed circuit board 600 and further depicts the flow of electricity within device 100 . For example, as shown in FIG.
  • electrical current is injected from the first copper trace 608 of the printed circuit board 600 into the solder contacts 110 of device 100 .
  • the electrical current is then directed into the device 100 , via the solder contacts 110 , and flows within the device 100 in a direction which is away from a first side 115 of the device 100 and towards a second side 117 of the device 100 and is routed within the device 100 through vias 119 formed within the device 100 .
  • the printed circuit board 600 may be aligned over the device 100 such that, the first copper trace 608 is oriented over pillars 108 which are proximal to the first side 115 of the device 100 , while the second copper trace 610 is oriented over pillars 108 which are proximal to the second side 117 of the device 100 .
  • the size of the solder mask openings 113 of the device 100 and the size of the solder mask openings 606 of the printed circuit board 600 may be chosen such that a uniform solder height is provided across the whole device 100 (e.g., die) for avoiding any die tilt when connecting the die to (e.g., mounting the die upon) the printed circuit board 600 .
  • FIGS. 9A and 9B illustrate an example process 900 that employs wafer-level packaging techniques to fabricate semiconductor devices having pillars, such as the devices 100 shown in FIGS. 1 through 5 and FIG. 7 .
  • one or more pillars are initially formed over a semiconductor wafer.
  • a lamination/deposition process may be employed to form the pillars.
  • a blanket seed layer is deposited on the semiconductor wafer 300 (Block 901 ).
  • the blanket seed layer may be doped silicon or the like.
  • a first photoresist layer is formed over (e.g., formed upon) a semiconductor wafer (e.g., the seed layer of the semiconductor wafer (Block 902 ) prior to segmentation of the wafer into individual circuit chips (die).
  • FIG. 8A illustrates a portion of the wafer 300 , which, when processed through suitable FEOL fabrication techniques, includes a semiconductor substrate 302 that comprises an integrated circuit chip 304 .
  • the integrated circuit chip 304 includes one or more integrated circuits 306 formed therein.
  • the substrate 302 may include a redistribution structure, such as a redistribution layer (RDL) 308 , formed over the surface 310 of the substrate 302 .
  • RDL redistribution layer
  • a dielectric layer 312 may also be formed over the surface 310 of the substrate 302 .
  • the dielectric layer 312 may be benzocyclobutene polymer (BCB), silicon dioxide (SiO 2 ), or the like.
  • the lamination step includes applying a first photoresist layer 314 over the wafer 300 (e.g., over the RDL 308 and the dielectric layer 312 ).
  • the first photoresist layer 314 may be a composition of photopolymer and polyester film that may be patterned and etched through one or more suitable dry film lamination processes.
  • the first photoresist layer 314 is then patterned and etched to form an etched area (Block 904 ).
  • FIG. 8B illustrates the first photoresist layer 314 which is shown patterned and etched to form etched area 316 .
  • the etched area 316 extends at least through the photoresist layer 314 to pads of the RDL layer 308 .
  • FIG. 8C illustrates the conductive material 318 deposited in the etched area 316 to form a first layer (P 1 ) of the pillar 324 .
  • a suitable electroplating process may be utilized to deposit a conductive material 318 in the etched area 316 of the first photoresist layer 314 .
  • the conductive material 318 may comprise copper, aluminum, or a like conducting metal, or other conducting material.
  • FIG. 8D illustrates removal of the photoresist layer 314 through suitable stripping processes.
  • a second photoresist layer is formed over the semiconductor wafer (e.g., and over the first layer (P 1 ) of the pillar 324 ) (Block 909 ).
  • FIG. 8E shows the second photoresist layer 315 placed over the wafer 300 .
  • the second photoresist layer 315 is then patterned and etched to form an etched area (Block 910 ).
  • FIG. 8F illustrates the second photoresist layer 315 which is shown patterned and etched to form etched area 317 .
  • the etched area 317 extends to the first layer (P 1 ) of the pillar 324 .
  • Conductive material 318 is then deposited in the etched area 317 to form the pillar(s) (e.g., the second layer (P 2 ) of the pillar 324 ) (Block 911 ).
  • FIG. 8G illustrates the conductive material 318 deposited in the etched area 317 to form the second (e.g., upper) layer (P 2 ) on top of the first layer (P 1 ) of the pillar 324 .
  • a suitable electroplating process may be utilized to deposit conductive material (e.g., copper) 318 in the etched area 317 of the second photoresist layer 315 .
  • conductive material e.g., copper
  • the second photoresist layer 315 is removed (Block 912 ).
  • FIG. 8H illustrates removal of the second photoresist layer 315 through suitable stripping processes.
  • the blanket seed layer is etched (Block 913 ).
  • the pillar(s) 324 may be subjected to a suitable seed etch process.
  • an integrated circuit chip device 114 as shown in FIGS.
  • the integrated circuit chip device 114 may be connected to substrate 302 via a flip-chip process.
  • An integrated circuit chip device 114 may extend system-in-a-package capabilities to the integrated circuit chip 304 .
  • an encapsulation structure (e.g., dielectric compound) is formed over the wafer to at least substantially encapsulate the pillars.
  • FIG. 8I illustrates the encapsulation structure 326 formed over the surface 310 of the wafer 300 to provide support and insulation to the integrated circuits 306 and the pillar(s) 324 .
  • multiple polymer layers e.g., epoxy, etc.
  • a top surface of the encapsulation structure 326 may be formed at or extend beyond a top surface of an exposed end 332 of the pillar 324 .
  • an epoxy material may also be deposited on the backside (e.g., over the surface 329 ) of the wafer 300 .
  • the encapsulation structure 326 may be subjected to a grinding process to expose pillars 324 (e.g., expose the end 332 of the pillar 324 distal from the substrate 302 ) (Block 917 ).
  • the encapsulation structure 326 and the exposed end 332 of the pillar 324 may be subjected to a face grinding process for surface planarization.
  • the encapsulation structure 326 extends at least substantially the length (e.g., depth) of the pillars 324 .
  • an underfill e.g., a capillary underfill
  • the encapsulation structure (e.g., overmold) 326 may be chosen to provide a reliable package solution (e.g., mechanical and environmental protection) without creating processing issues, especially with excessive wafer warpage.
  • solder contacts 328 may be formed upon (e.g., applied to) the exposed end 332 of the pillar 324 (e.g., the end of the pillar 324 distal to the wafer 300 ). In embodiments, formation of the solder contacts 328 upon the pillars 324 may be done using solder ball drop, solder plating, or solder printing. Once the step of forming the solder contacts 328 upon the pillars 324 is complete, suitable processes may be employed to segment the individual integrated circuit chips 304 into individual packages.
  • the step of applying the solder contacts to the pillar can be performed before the step of applying the encapsulation structure (Block 916 ).
  • the step of connecting the integrated circuit chip device to the substrate can be performed either before or after the solder contacts are applied to the pillar(s) (Block 918 ), but prior to application of the encapsulation structure (Block 916 ).
  • a packaging structure containing multiple die, which is configured for carrying high current is described herein.
  • a low cost, high-reliability method for chip-to-wafer (e.g., wafer level) packaging of two or more die e.g., a method for fabricating the packaging structure containing multiple die
  • the device 100 described herein promotes improved reliability performance (e.g., board-level reliability) due to higher solder standoff and/or higher printed circuit board standoff.
  • the device 100 described herein by using a smaller amount of copper than some currently implemented devices, may promote improved reliability performance, reduced warpage typically caused by thermal mismatch between thick copper and the silicon die and reduction in required copper plating tool capacity to support a given wafer volume. Further, the device 100 described herein promotes improved manufacturability (e.g., with reduced plating time and reduced wafer warpage) and lower capital expense. Further, the method described herein allows a designer to: a.) design a power-field-effect transistor (power FET) in a fabrication (FAB) technology optimized for power; and b.) to design a separate, smaller controller die. The two die may then be combined into a low cost wafer-level package capable of carrying the high currents of the power-FET.
  • power FET power-field-effect transistor

Abstract

Wafer-level package semiconductor devices for high-current applications are described that have pillars for providing electrical interconnectivity. In an implementation, the wafer-level package devices include an integrated circuit chip having at least one pillar formed over the integrated circuit chip. The pillar is configured to provide electrical interconnectivity with the integrated circuit chip. The wafer-level package device also includes an encapsulation structure configured to support the pillar. The wafer-level package device also includes an integrated circuit chip device (e.g., small die) configured upon the integrated circuit chip (e.g., large die). In the wafer-level package device, the height of the integrated circuit chip device is less than the height of the pillar and/or less than the combined height of the pillar and the one or more solder contacts.

Description

    BACKGROUND
  • Flat no-lead packaging technologies, such as quad-flat no-leads (QFN) packaging technologies, physically and electrically connect integrated circuit chips to printed circuit boards. Flat no-lead packaging technologies typically employ a lead-frame that includes an integrated circuit chip (die) mounted thereon. The die may be electrically interconnected with the lead-frame through wire-bonding technology or flip-chip technology. An encapsulation structure is then formed over the lead-frame to encapsulate the integrated circuit chip.
  • SUMMARY
  • Techniques are described for fabricating wafer-level package semiconductor devices for high-current applications. In one or more implementations, the wafer-level package devices include an integrated circuit chip (e.g., die) having at least one pillar (e.g., a copper pillar) formed over the integrated circuit chip. The pillar is configured to provide electrical interconnectivity to the integrated circuit chip. An encapsulation structure configured to support the pillar is formed over the surface of the integrated circuit chip. In one or more implementations, an integrated circuit chip device (e.g., flip-chip die) may be mounted to the integrated circuit chip so that the integrated circuit chip device is in electrical communication with the integrated circuit chip. The integrated circuit chip device is at least partially encapsulated by the encapsulation structure. Further, one or more solder contacts are formed upon a top surface (e.g., exposed end) of the copper pillar for serving as a connection between the wafer-level package device and corresponding pads of a printed circuit board, for facilitating connection between the device and the printed circuit board. In the wafer-level package device, the height of the integrated circuit chip device is less than the height of the pillar and/or less than the combined height of the pillar and the one or more solder contacts.
  • This Summary is provided to introduce a selection of concepts in a simplified form that are further described below in the Detailed Description. This Summary is not intended to identify key features or essential features of the claimed subject matter, nor is it intended to be used as an aid in determining the scope of the claimed subject matter.
  • DRAWINGS
  • The detailed description is described with reference to the accompanying figures. The use of the same reference numbers in different instances in the description and the figures may indicate similar or identical items.
  • FIG. 1 is a diagrammatic perspective elevation view illustrating a wafer-level package device in accordance with an example implementation of the present disclosure.
  • FIG. 2 is a diagrammatic partial-cross-sectional end view of a section of a wafer-level package device shown in accordance with an exemplary implementation of the present disclosure.
  • FIG. 3 is a top plan view of a portion of the wafer-level package device shown in FIG. 2.
  • FIG. 4 is a diagrammatic partial-cross-sectional end view of a section of the wafer-level package device shown in FIG. 1.
  • FIG. 5 is a top plan view of a portion of the wafer-level package device shown in FIG. 4.
  • FIG. 6 is a diagrammatic perspective elevation view illustrating a printed circuit board configured for being connected to the wafer-level package device shown in FIG. 1.
  • FIG. 7 is a longitudinal cross-sectional view depicting the printed circuit board shown in FIG. 6 being connected to the wafer-level package device shown in FIG. 1.
  • FIGS. 8A through 8J are diagrammatic partial cross-sectional views illustrating the fabrication of a wafer-level package device, such as shown in FIGS. 1, 4 and 7, in accordance with the process shown in FIGS. 9A and 9B.
  • FIGS. 9A and 9B depict a flow diagram illustrating a process in an example implementation for fabricating wafer-level package devices, such as the wafer-level package devices shown in FIGS. 1 through 5 and FIG. 7.
  • DETAILED DESCRIPTION Overview
  • Devices that employ flat no-lead packaging technologies, such as QFN packaging technologies, provide good mechanical protection to the integrated circuit chips (dies) contained within the device packages by fully encapsulating the integrated circuit chips within the package. However, flat no-lead (e.g., QFN) package devices are expensive to produce and typically provide relatively low pin counts (e.g., the pins of a QFN are typically located along the die edge).
  • Wafer-level packaging is a chip-scale packaging technology that encompasses a variety of techniques whereby integrated circuit chips are packaged at wafer level, prior to segmentation. Wafer-level packaging extends the wafer fabrication processes to include device interconnection and device protection processes. Consequently, wafer-level packaging streamlines the manufacturing process by allowing for the integration of wafer fabrication, packaging, testing, and burn-in processes at the wafer level. Compared to flat no-lead (QFN) packaging techniques, wafer-level packaging is generally less costly to implement since packaging occurs at wafer level, while flat no-lead packaging is performed at strip level. Moreover, the footprint of a comparable wafer-level packaged device is typically less than that of a QFN packaged device since wafer-level packages can be nearly equal to the size of the integrated circuit chip.
  • Accordingly, techniques are described that employ wafer-level packaging techniques to fabricate semiconductor devices that have form factors similar to those of devices that employ flat no-lead (QFN) packaging technologies. The wafer-level package devices may thus provide mechanical protection of the integrated circuit chips (dies) contained within the device packages that is similar to that provided by flat no-lead (QFN) devices, while maintaining the benefits inherent in wafer-level packaging (e.g., lower cost, smaller package size, high pin count, etc.). The wafer-level package devices include an integrated circuit chip (e.g., die) having pillars that provide electrical interconnectivity to the devices. In specific implementations, the pillars may be copper pillars having a solder layer formed over the exposed end of the pillar. An encapsulation structure configured to support the pillar is formed over the surface of the integrated circuit chip at wafer level. In embodiments, the encapsulation structure may be fabricated from epoxy, or a like substance. In one or more implementations, an integrated circuit chip device may be mounted to the integrated circuit chip so that the integrated circuit chip device is in electrical communication with the integrated circuit chip. The integrated circuit chip device is at least partially encapsulated by the encapsulation structure. Once singulated from the wafer, the devices may be mounted to a printed circuit board, and the pillars provide electrical interconnectivity through the backside of the device that interface with the pads of the printed circuit board.
  • Example Implementations
  • FIGS. 1 through 5 illustrate wafer-level package devices 100 in accordance with example implementations of the present disclosure. As shown, each device 100 includes an integrated circuit chip 102 comprised of a semiconductor substrate 104 (e.g., wafer) having one or more integrated circuits 106 formed therein. In embodiments, the semiconductor substrate 104 is formed of silicon. Further, the integrated circuit chip 102 includes all front-end-of-line (FEOL) and necessary interconnect circuitry. In various implementations, the integrated circuits 106 may comprise digital integrated circuits, analog integrated circuits, mixed signal integrated circuits, combinations thereof, and so forth. The integrated circuits 106 may be formed through suitable FEOL fabrication techniques.
  • The device 100 further includes pillars 108 extending from (e.g. formed upon) a surface 111 of the semiconductor substrate 104. In embodiments, the pillars 108 include multiple, stacked layers, such as a first (e.g., a bottom) pillar layer (P1) and a second (e.g., a top) pillar layer formed (e.g., stacked) upon the first pillar layer (P2) (as shown in FIG. 7). In an implementation, the pillars 108 are copper pillars. In other embodiments, the pillars 108 may be formed of other metals (e.g., gold or tin) or metal alloys. The pillars 108 may be fabricated via suitable manufacturing processes, such as the lamination/deposition process described herein. In embodiments, photo-imageable liquid dielectric materials for small copper thickness values (e.g., up to approximately 20 micrometers (20 um) or dry film for larger copper thickness values can be used to plate the copper. The pillars 108 may have an aspect ratio (ratio of the width of the pillar to the height of the pillar) ranging from one to one (1:1) to about twenty to one (20:1). The pillars 108 serve to provide electrical interconnections between the integrated circuit chip 102 and a printed circuit board 600 (shown in FIG. 6) that is configured to receive the device 100. In embodiments, such as shown in FIGS. 2 and 3, each pillar 108 can include a single solder contact 110 disposed upon an exposed end 112 (e.g., the end distal from the substrate 104) of the pillar 108. In embodiments, the single solder contact 110 can cover most of (e.g., almost all) of the exposed end 112 of the pillar 108. In alternative embodiments, such as shown in FIG. 3, a solder mask opening 113 can be defined upon the exposed end 112 of the pillar 108 using a photo-imageable dielectric material (e.g., Polyimide (PI), Polybenzoxazole (PBO), Benzocyclobuten (BCB)) to form a pad (e.g., solder pad) for the individual solder area, such that the single solder contact 110 may be disposed through the solder mask opening 113. In further embodiments, such as shown in FIGS. 4 and 5, each pillar 108 can include multiple solder contacts 110 disposed upon an exposed end 112 of the pillar 108. The multiple solder contacts 110 may be disposed through multiple solder mask openings 113 (e.g., which form multiple solder areas), as shown in FIG. 5. In embodiments, by restricting the solderable area on the pillar surface, one or more solder contacts (e.g., solder bumps) 110 can be formed on the pillar 108 which can have a substantially greater height compared to the solder contacts 110 shown in FIG. 2. This increased height can promote improved solder joint fatigue life. In an embodiment, the pads (e.g., solder pads, solder areas) formed by the solder mask openings 113 may be rectangular (for maximizing the use of the surface area of the pillars), with rounded corners (for minimizing stress). In embodiments, the solder contacts 110 serve as a connection between the device 100 (e.g., the pillars 108) and corresponding pads (e.g., formed by solder mask openings 606) disposed over the printed circuit board 600 (shown in FIG. 6). In implementations, the solder contacts 110 may be fabricated of a lead-free solder composition such as a Tin-Silver-Copper (Sn—Ag—Cu) alloy solder (i.e., SAC), a Tin-Silver (Sn—Ag) alloy solder, a Tin-Copper (Sn—Cu) allow solder, and so on. In embodiments, such as shown in FIGS. 2 and 3, the device 100 may be optimized for users wanting a QFN-style package or a land grid array (LGA)-style package. In other embodiments, such as shown in FIGS. 4 and 5, the device 100 may be optimized for users wanting a wafer-level packaging (WLP)-style package.
  • In some implementations, the device 100 may include an integrated circuit chip device 114 disposed over and connected to (e.g., mounted to) the surface 111 of the integrated circuit chip 102 (e.g., wafer). In embodiments, the integrated circuit chip device 114 may be in electrical communication with the integrated circuits 106 of the integrated circuit chip 102. For instance, as shown in FIGS. 2 and 4, integrated circuit chip device 114 includes solder bumps 116 that allow the device 114 to be in electrical contact with the device 100. The solder bumps 116 may, for example, be positioned over (e.g., connected to, disposed upon) a redistribution structure, such as a redistribution layer (RDL) 118, of the wafer-level chip-scale device 100 to allow electrical communication between the integrated circuit chip device 114 and device 100 (e.g., the integrated circuits 106, etc.). The RDL 118 may be formed from a conductive material, such as polysilicon, aluminum, copper, and so on. Thus, the integrated circuit chip device 114 extends additional functionality to device 100 by enabling system-in-a-package capabilities. In implementations, integrated circuit chip device 114 may be a digital integrated circuit device, an analog integrated circuit device, a mixed-signal integrated circuit device, and so forth. As with the solder contacts 110 described above, the solder bumps 116 may be fabricated of a lead-free solder composition such as a Tin-Silver-Copper (Sn—Ag—Cu) alloy solder (i.e., SAC), a Tin-Silver (Sn—Ag) alloy solder, a Tin-Copper (Sn—Cu) alloy solder, and so on. However, it is contemplated that Tin-Lead (PbSn) solder compositions may be used. In embodiments, an underfill (e.g., a capillary underfill) can be applied to integrated circuit chip device 114. In embodiments, in the integrated device 100, the pillar 108 extends a first distance from the integrated circuit chip 102 (e.g., the distal end 112 of the pillar 108 is located a first distance (e.g., first height) above the surface 111 of the integrated circuit chip 102), while the integrated circuit chip device 114 has an end distal to the surface 111, the distal end of the integrated circuit chip device 114 extending (e.g., being located) a second distance (e.g., second height) from (e.g., above) the surface 111 of the integrated circuit chip 102. Further, the solder contact(s) 110 are located at a third distance (e.g., third height) above the surface 111 of the integrated circuit chip 102. In embodiments, the second distance/height may be less than the first distance/height and/or less than the third distance/height (e.g., the height of the integrated circuit chip device 114 is less than the height of the pillar 108 and/or less than the combined height of the pillar 108 and solder contact(s) 110). Thus, the pillars 108 are of an appropriate height to accommodate the integrated circuit chip device (e.g., flip-chip (FC) die) 114 and to carry high currents.
  • The device 100 also includes an encapsulation structure 120 disposed over the surface 111 of the integrated circuit chip 102. As shown in FIGS. 2 and 4, the encapsulation structure 120 at least substantially encapsulates the pillars 108. Thus, the encapsulation structure 120 provides support and insulation to the pillars 108 (and the integrated circuit chip device 114 when the device 100 employs an integrated circuit chip device 114). The pillars 108 have a length that at least substantially extends to the depth of the encapsulation structure 120. As shown in FIGS. 2 and 4, the solder contacts 110 extend beyond a plane defined by the surface 122 of the encapsulation structure 120 to allow the solder contacts 110 to connect to the corresponding pads (e.g., formed by the solder mask openings 606) of the printed circuit board 600 (shown in FIG. 6). In an implementation, the encapsulation structure 120 may be a polymer material, such as epoxy, or the like, deposited over the surface 111 of the wafer-level chip-scale package device 100.
  • Referring to FIGS. 6 and 7, in embodiments, the device 100 may be configured for being connected to a printed circuit board 600. In embodiments, the printed circuit board 600 includes a first trace (e.g., a first copper trace) 608 and a second trace (e.g., a second copper trace) 610. Further, the printed circuit board 600 includes: a first surface 612, a second surface 614 being disposed opposite the first surface 612, a first side 602, the first side and a second side 604, the second side 604 disposed opposite the first side 602. FIG. 7 depicts device 100 being connected to printed circuit board 600 and further depicts the flow of electricity within device 100. For example, as shown in FIG. 7, electrical current is injected from the first copper trace 608 of the printed circuit board 600 into the solder contacts 110 of device 100. The electrical current is then directed into the device 100, via the solder contacts 110, and flows within the device 100 in a direction which is away from a first side 115 of the device 100 and towards a second side 117 of the device 100 and is routed within the device 100 through vias 119 formed within the device 100. In embodiments, the printed circuit board 600 may be aligned over the device 100 such that, the first copper trace 608 is oriented over pillars 108 which are proximal to the first side 115 of the device 100, while the second copper trace 610 is oriented over pillars 108 which are proximal to the second side 117 of the device 100. In embodiments, the size of the solder mask openings 113 of the device 100 and the size of the solder mask openings 606 of the printed circuit board 600 may be chosen such that a uniform solder height is provided across the whole device 100 (e.g., die) for avoiding any die tilt when connecting the die to (e.g., mounting the die upon) the printed circuit board 600.
  • Example Fabrication Processes
  • FIGS. 9A and 9B illustrate an example process 900 that employs wafer-level packaging techniques to fabricate semiconductor devices having pillars, such as the devices 100 shown in FIGS. 1 through 5 and FIG. 7. In the process 900 illustrated, one or more pillars are initially formed over a semiconductor wafer. As described herein, a lamination/deposition process may be employed to form the pillars. Accordingly, a blanket seed layer is deposited on the semiconductor wafer 300 (Block 901). For example, the blanket seed layer may be doped silicon or the like. In embodiments, a first photoresist layer is formed over (e.g., formed upon) a semiconductor wafer (e.g., the seed layer of the semiconductor wafer (Block 902) prior to segmentation of the wafer into individual circuit chips (die). FIG. 8A illustrates a portion of the wafer 300, which, when processed through suitable FEOL fabrication techniques, includes a semiconductor substrate 302 that comprises an integrated circuit chip 304. The integrated circuit chip 304 includes one or more integrated circuits 306 formed therein. The substrate 302 may include a redistribution structure, such as a redistribution layer (RDL) 308, formed over the surface 310 of the substrate 302. As shown, a dielectric layer 312 may also be formed over the surface 310 of the substrate 302. The dielectric layer 312 may be benzocyclobutene polymer (BCB), silicon dioxide (SiO2), or the like. The lamination step includes applying a first photoresist layer 314 over the wafer 300 (e.g., over the RDL 308 and the dielectric layer 312). The first photoresist layer 314 may be a composition of photopolymer and polyester film that may be patterned and etched through one or more suitable dry film lamination processes.
  • The first photoresist layer 314 is then patterned and etched to form an etched area (Block 904). FIG. 8B illustrates the first photoresist layer 314 which is shown patterned and etched to form etched area 316. The etched area 316 extends at least through the photoresist layer 314 to pads of the RDL layer 308.
  • A conductive material is then deposited in the etched area to form the pillar(s) (Block 906). FIG. 8C illustrates the conductive material 318 deposited in the etched area 316 to form a first layer (P1) of the pillar 324. In one or more implementations, a suitable electroplating process may be utilized to deposit a conductive material 318 in the etched area 316 of the first photoresist layer 314. The conductive material 318 may comprise copper, aluminum, or a like conducting metal, or other conducting material.
  • Once the pillar (e.g., the first layer (P1) of the pillar 324) has been formed, the photoresist layer is removed (Block 908). FIG. 8D illustrates removal of the photoresist layer 314 through suitable stripping processes. In embodiments, a second photoresist layer is formed over the semiconductor wafer (e.g., and over the first layer (P1) of the pillar 324) (Block 909). FIG. 8E shows the second photoresist layer 315 placed over the wafer 300. In embodiments, the second photoresist layer 315 is then patterned and etched to form an etched area (Block 910). FIG. 8F illustrates the second photoresist layer 315 which is shown patterned and etched to form etched area 317. In embodiments, the etched area 317 extends to the first layer (P1) of the pillar 324. Conductive material 318 is then deposited in the etched area 317 to form the pillar(s) (e.g., the second layer (P2) of the pillar 324) (Block 911). FIG. 8G illustrates the conductive material 318 deposited in the etched area 317 to form the second (e.g., upper) layer (P2) on top of the first layer (P1) of the pillar 324. In one or more implementations, a suitable electroplating process may be utilized to deposit conductive material (e.g., copper) 318 in the etched area 317 of the second photoresist layer 315. In embodiments, once the pillar (e.g., the second layer (P2) of the pillar 324) has been formed, the second photoresist layer 315 is removed (Block 912). FIG. 8H illustrates removal of the second photoresist layer 315 through suitable stripping processes. In embodiments, the blanket seed layer is etched (Block 913). In addition, the pillar(s) 324 may be subjected to a suitable seed etch process. As described above, in embodiments, an integrated circuit chip device 114 (as shown in FIGS. 2 and 4) may be positioned over and connected to the substrate 302 (Block 914). For example, the integrated circuit chip device 114 may be connected to substrate 302 via a flip-chip process. An integrated circuit chip device 114 may extend system-in-a-package capabilities to the integrated circuit chip 304.
  • Once the pillar(s) 324 are formed, an encapsulation structure (e.g., dielectric compound) is formed over the wafer to at least substantially encapsulate the pillars. (Block 916). FIG. 8I illustrates the encapsulation structure 326 formed over the surface 310 of the wafer 300 to provide support and insulation to the integrated circuits 306 and the pillar(s) 324. In embodiments, multiple polymer layers (e.g., epoxy, etc.) may be deposited over the surface 310 to form the encapsulation structure 326. In embodiments, a top surface of the encapsulation structure 326 may be formed at or extend beyond a top surface of an exposed end 332 of the pillar 324. It is contemplated that an epoxy material may also be deposited on the backside (e.g., over the surface 329) of the wafer 300. In embodiments where the top surface of the encapsulation structure 326 extends past/above the exposed end 332 of the pillar 324, the encapsulation structure 326 may be subjected to a grinding process to expose pillars 324 (e.g., expose the end 332 of the pillar 324 distal from the substrate 302) (Block 917). For example, the encapsulation structure 326 and the exposed end 332 of the pillar 324 (e.g., a top surface of layer (P2) of the pillar 324) may be subjected to a face grinding process for surface planarization. As shown, the encapsulation structure 326 extends at least substantially the length (e.g., depth) of the pillars 324. In embodiments, prior to application of the encapsulation structure (Block 916), as part of the flip-chip process step (Block 914), an underfill (e.g., a capillary underfill) can be applied to integrated circuit chip device 114 for promoting reliability, especially for temperature cycling (Block 915). In alternative embodiments, rather than applying an underfill to the integrated circuit chip device 114, the encapsulation structure (e.g., overmold) 326 may be chosen to provide a reliable package solution (e.g., mechanical and environmental protection) without creating processing issues, especially with excessive wafer warpage.
  • One or more solder contacts are then applied to the pillar. (Block 918). For example, solder contacts 328 may be formed upon (e.g., applied to) the exposed end 332 of the pillar 324 (e.g., the end of the pillar 324 distal to the wafer 300). In embodiments, formation of the solder contacts 328 upon the pillars 324 may be done using solder ball drop, solder plating, or solder printing. Once the step of forming the solder contacts 328 upon the pillars 324 is complete, suitable processes may be employed to segment the individual integrated circuit chips 304 into individual packages.
  • In other embodiments, the step of applying the solder contacts to the pillar (Block 918) can be performed before the step of applying the encapsulation structure (Block 916). In such embodiments, the step of connecting the integrated circuit chip device to the substrate (Block 914) can be performed either before or after the solder contacts are applied to the pillar(s) (Block 918), but prior to application of the encapsulation structure (Block 916).
  • A packaging structure containing multiple die, which is configured for carrying high current is described herein. Further, a low cost, high-reliability method for chip-to-wafer (e.g., wafer level) packaging of two or more die (e.g., a method for fabricating the packaging structure containing multiple die) to provide a structure configured for use in high current applications is described herein. The device 100 described herein promotes improved reliability performance (e.g., board-level reliability) due to higher solder standoff and/or higher printed circuit board standoff. Further, the device 100 described herein, by using a smaller amount of copper than some currently implemented devices, may promote improved reliability performance, reduced warpage typically caused by thermal mismatch between thick copper and the silicon die and reduction in required copper plating tool capacity to support a given wafer volume. Further, the device 100 described herein promotes improved manufacturability (e.g., with reduced plating time and reduced wafer warpage) and lower capital expense. Further, the method described herein allows a designer to: a.) design a power-field-effect transistor (power FET) in a fabrication (FAB) technology optimized for power; and b.) to design a separate, smaller controller die. The two die may then be combined into a low cost wafer-level package capable of carrying the high currents of the power-FET.
  • CONCLUSION
  • Although the subject matter has been described in language specific to structural features and/or process operations, it is to be understood that the subject matter defined in the appended claims is not necessarily limited to the specific features or acts described above. Rather, the specific features and acts described above are disclosed as example forms of implementing the claims.

Claims (20)

What is claimed is:
1. A process comprising:
forming a pillar upon a semiconductor wafer, the pillar extending from the semiconductor wafer to a first height above the semiconductor wafer;
connecting an integrated circuit chip device to the semiconductor wafer, the integrated circuit chip device extending from the semiconductor wafer to a second height above the semiconductor wafer;
forming an encapsulation structure over the semiconductor wafer, the encapsulation structure at least substantially encapsulating the pillar; and
applying at least one solder contact to the pillar, the at least one solder contact being located at a third height above the semiconductor wafer,
wherein the second height is less than at least one of: the first height and the third height.
2. The process as claimed in claim 1, wherein the step of forming the pillar upon the semiconductor wafer comprises:
depositing a blanket seed layer on the semiconductor wafer; and
applying a first photoresist layer over the semiconductor wafer.
3. The process as claimed in claim 2, wherein forming the pillar further comprises:
patterning and etching the first photoresist layer to form an etched area.
4. The process as claimed in claim 3, wherein forming the pillar further comprises:
depositing a conductive material in the etched area to form a first layer of the pillar.
5. The process as claimed in claim 4, wherein forming the pillar further comprises:
at least substantially removing the first photoresist layer;
applying a second photoresist layer over the semiconductor wafer;
patterning and etching the second photoresist layer to form an etched area of the second photoresist layer;
depositing conductive material in the etched area of the second photoresist layer to form a second layer of the pillar;
at least substantially removing the second photoresist layer; and
etching the blanket seed layer.
6. The process as claimed in claim 1, wherein forming an encapsulation structure further comprises depositing an epoxy material over the semiconductor wafer, the encapsulation structure at least partially encapsulating the pillar and the integrated circuit chip device.
7. The process as claimed in claim 1, wherein the step of connecting an integrated circuit chip device to the semiconductor wafer further comprises:
applying an underfill to the integrated circuit chip device.
8. The process as claimed in claim 1, further comprising:
prior to applying the at least one solder contact to the pillar, grinding the encapsulation structure to expose the pillar.
9. A wafer-level package device comprising:
an integrated circuit chip having a surface;
a pillar extending from the surface of the integrated circuit chip, the pillar having an end distal from the surface of the integrated circuit chip, the distal end of the pillar being located at a first height above the surface of the integrated circuit chip, the pillar configured to provide an electrical interconnection to the integrated circuit chip;
an integrated circuit chip device, the integrated circuit chip device being configured upon the surface of the integrated circuit chip, the integrated circuit chip device having a surface distal from the surface of the integrated circuit chip, the distal surface of the integrated circuit chip device being located at a second height above the surface of the integrated circuit chip;
at least one solder contact disposed upon the distal end of the pillar, the at least one solder contact being located at a third height above the surface of the integrated circuit chip; and
an encapsulation structure disposed over the surface of the integrated circuit chip, the encapsulation structure at least partially enclosing the pillar,
wherein the second height is less than at least one of: the first height and the third height.
10. The wafer-level package device as claimed in claim 9, wherein the pillar comprises a copper pillar.
11. The wafer-level package device as claimed in claim 9, wherein the a first solder contact included in the at least one solder contact is disposed on a first solder area formed on the distal end of the pillar, and a second solder contact included in the at least one solder contact is disposed on a second solder area formed on the distal end of the pillar, the second solder area being separate from the first solder area.
12. The wafer-level package device as claimed in claim 9, wherein an underfill coating is configured between the integrated circuit chip device and the integrated circuit chip.
13. The wafer-level package device as claimed in claim 9, wherein the encapsulation structure at least partially encloses the integrated circuit chip device.
14. A wafer-level package device comprising:
an integrated circuit chip having a surface;
a pillar extending from the surface of the integrated circuit chip, the pillar having an end distal from the surface, the pillar configured to provide an electrical interconnection to the integrated circuit chip;
at least one solder contact disposed upon the distal end of the pillar;
an integrated circuit chip device, the integrated circuit chip device being configured upon the surface of the integrated circuit chip, the integrated circuit chip device having a surface distal from the surface of the integrated circuit chip; and
an encapsulation structure disposed over the surface of the integrated circuit chip, the encapsulation structure at least partially enclosing the pillar.
15. The wafer-level package device as claimed in claim 14, wherein the distal end of the pillar is located a first distance above the surface of the integrated circuit chip, while the distal surface of the integrated circuit chip device is located a second distance above the surface of the integrated circuit chip, the first distance being greater than the second distance.
16. The wafer-level package device as claimed in claim 14, wherein the at least one solder contact is located a first distance above the surface of the integrated circuit chip, while the distal surface of the integrated circuit chip device is located a second distance above the surface of the integrated circuit chip, the first distance being greater than the second distance.
17. The wafer-level package device as claimed in claim 14, wherein the pillar comprises a copper pillar.
18. The wafer-level package device as claimed in claim 14, wherein the encapsulation structure comprises an epoxy material.
19. The wafer-level package device as claimed in claim 14, wherein an underfill coating is configured between the integrated circuit chip device and the integrated circuit chip.
20. The wafer-level package device as claimed in claim 14, wherein the encapsulation structure at least partially encloses the integrated circuit chip device.
US13/732,664 2013-01-02 2013-01-02 Multi-die, high current wafer level package Active 2033-05-25 US9087779B2 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
US13/732,664 US9087779B2 (en) 2013-01-02 2013-01-02 Multi-die, high current wafer level package
CN201410001440.9A CN103915397B (en) 2013-01-02 2014-01-02 More bare crystallines, high current wafer-level packaging
US14/803,612 US9230903B2 (en) 2013-01-02 2015-07-20 Multi-die, high current wafer level package

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US13/732,664 US9087779B2 (en) 2013-01-02 2013-01-02 Multi-die, high current wafer level package

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US14/803,612 Division US9230903B2 (en) 2013-01-02 2015-07-20 Multi-die, high current wafer level package

Publications (2)

Publication Number Publication Date
US20140183747A1 true US20140183747A1 (en) 2014-07-03
US9087779B2 US9087779B2 (en) 2015-07-21

Family

ID=51016255

Family Applications (2)

Application Number Title Priority Date Filing Date
US13/732,664 Active 2033-05-25 US9087779B2 (en) 2013-01-02 2013-01-02 Multi-die, high current wafer level package
US14/803,612 Active US9230903B2 (en) 2013-01-02 2015-07-20 Multi-die, high current wafer level package

Family Applications After (1)

Application Number Title Priority Date Filing Date
US14/803,612 Active US9230903B2 (en) 2013-01-02 2015-07-20 Multi-die, high current wafer level package

Country Status (2)

Country Link
US (2) US9087779B2 (en)
CN (1) CN103915397B (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150303156A1 (en) * 2014-04-17 2015-10-22 Nxp B.V. Single inline no-lead semiconductor package
US9668340B1 (en) 2016-04-26 2017-05-30 Avago Technologies General Ip (Singapore) Pte. Ltd. Methods and devices for preventing overhangs in a finishing layer of metal formed on electrical contact surfaces when fabricating multi-layer printed circuit boards

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9087779B2 (en) 2013-01-02 2015-07-21 Maxim Integrated Products, Inc. Multi-die, high current wafer level package

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060180936A1 (en) * 2004-03-31 2006-08-17 Endicott Interconnect Technologies, Inc. Fluoropolymer dielectric composition for use in circuitized substrates and circuitized substrate including same
US20080265434A1 (en) * 2004-06-30 2008-10-30 Nec Electronics Corporation Semiconductor device having a sealing resin and method of manufacturing the same
US20100320599A1 (en) * 2007-08-01 2010-12-23 Vincent Chan Die stacking apparatus and method

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SG99939A1 (en) * 2000-08-11 2003-11-27 Casio Computer Co Ltd Semiconductor device
US7335986B1 (en) * 2005-09-14 2008-02-26 Amkor Technology, Inc. Wafer level chip scale package
JP5179787B2 (en) * 2007-06-22 2013-04-10 ラピスセミコンダクタ株式会社 Semiconductor device and manufacturing method thereof
US8980694B2 (en) * 2011-09-21 2015-03-17 Powertech Technology, Inc. Fabricating method of MPS-C2 package utilized form a flip-chip carrier
US9087779B2 (en) 2013-01-02 2015-07-21 Maxim Integrated Products, Inc. Multi-die, high current wafer level package

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060180936A1 (en) * 2004-03-31 2006-08-17 Endicott Interconnect Technologies, Inc. Fluoropolymer dielectric composition for use in circuitized substrates and circuitized substrate including same
US20080265434A1 (en) * 2004-06-30 2008-10-30 Nec Electronics Corporation Semiconductor device having a sealing resin and method of manufacturing the same
US20100320599A1 (en) * 2007-08-01 2010-12-23 Vincent Chan Die stacking apparatus and method

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150303156A1 (en) * 2014-04-17 2015-10-22 Nxp B.V. Single inline no-lead semiconductor package
US9379071B2 (en) * 2014-04-17 2016-06-28 Nxp B.V. Single inline no-lead semiconductor package
US9668340B1 (en) 2016-04-26 2017-05-30 Avago Technologies General Ip (Singapore) Pte. Ltd. Methods and devices for preventing overhangs in a finishing layer of metal formed on electrical contact surfaces when fabricating multi-layer printed circuit boards

Also Published As

Publication number Publication date
US20150325512A1 (en) 2015-11-12
CN103915397B (en) 2018-10-02
US9087779B2 (en) 2015-07-21
US9230903B2 (en) 2016-01-05
CN103915397A (en) 2014-07-09

Similar Documents

Publication Publication Date Title
US11901320B2 (en) Contact pad for semiconductor device
US11342196B2 (en) Integrated circuit package pad and methods of forming
US11270976B2 (en) Package structure and method of manufacturing the same
US8877567B2 (en) Semiconductor device and method of forming uniform height insulating layer over interposer frame as standoff for semiconductor die
US11101260B2 (en) Method of forming a dummy die of an integrated circuit having an embedded annular structure
US10879147B1 (en) Method of manufacturing package structure
US10290610B2 (en) PoP device and method of forming the same
US9472451B2 (en) Technique for wafer-level processing of QFN packages
US9040408B1 (en) Techniques for wafer-level processing of QFN packages
US11532531B2 (en) Semiconductor package
US9230903B2 (en) Multi-die, high current wafer level package
CN115513149A (en) Semiconductor package and method of forming the same

Legal Events

Date Code Title Description
AS Assignment

Owner name: MAXIM INTEGRATED PRODUCTS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:SAMOILOV, ARKADII V.;HARPER, PETER R.;KHANDEKAR, VIREN;AND OTHERS;SIGNING DATES FROM 20121221 TO 20130102;REEL/FRAME:029553/0775

STCF Information on status: patent grant

Free format text: PATENTED CASE

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 4TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1551); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 4

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 8TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1552); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 8