US20130284255A1 - High-Efficiency Thin-Film Solar Cells - Google Patents

High-Efficiency Thin-Film Solar Cells Download PDF

Info

Publication number
US20130284255A1
US20130284255A1 US13/657,745 US201213657745A US2013284255A1 US 20130284255 A1 US20130284255 A1 US 20130284255A1 US 201213657745 A US201213657745 A US 201213657745A US 2013284255 A1 US2013284255 A1 US 2013284255A1
Authority
US
United States
Prior art keywords
inverted pyramidal
solar cell
silicon
cavity
region
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US13/657,745
Inventor
David Xuan-Qi Wang
Mehrdad M. Moslehi
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Ob Realty LLC
Beamreach Solar Inc
Original Assignee
Solexel Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Solexel Inc filed Critical Solexel Inc
Priority to US13/657,745 priority Critical patent/US20130284255A1/en
Publication of US20130284255A1 publication Critical patent/US20130284255A1/en
Assigned to OPUS BANK reassignment OPUS BANK SECURITY INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: SOLEXEL, INC.
Assigned to BEAMREACH SOLAR, INC. reassignment BEAMREACH SOLAR, INC. CHANGE OF NAME (SEE DOCUMENT FOR DETAILS). Assignors: SOLEXEL, INC.
Assigned to OB REALTY, LLC reassignment OB REALTY, LLC RECORDATION OF FORECLOSURE OF PATENT PROPERTIES Assignors: OB REALTY, LLC
Assigned to BEAMREACH SOLAR, INC. reassignment BEAMREACH SOLAR, INC. CHANGE OF NAME (SEE DOCUMENT FOR DETAILS). Assignors: SOLEXEL, INC.
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/0248Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies
    • H01L31/0352Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies characterised by their shape or by the shapes, relative sizes or disposition of the semiconductor regions
    • H01L31/035272Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies characterised by their shape or by the shapes, relative sizes or disposition of the semiconductor regions characterised by at least one potential jump barrier or surface barrier
    • H01L31/035281Shape of the body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/02Details
    • H01L31/0236Special surface textures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/04Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their crystalline structure, e.g. polycrystalline, cubic or particular orientation of crystalline planes
    • H01L29/045Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their crystalline structure, e.g. polycrystalline, cubic or particular orientation of crystalline planes by their particular orientation of crystalline planes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/02Details
    • H01L31/0224Electrodes
    • H01L31/022408Electrodes for devices characterised by at least one potential jump barrier or surface barrier
    • H01L31/022425Electrodes for devices characterised by at least one potential jump barrier or surface barrier for solar cells
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/02Details
    • H01L31/0236Special surface textures
    • H01L31/02363Special surface textures of the semiconductor body itself, e.g. textured active layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/04Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices
    • H01L31/054Optical elements directly associated or integrated with the PV cell, e.g. light-reflecting means or light-concentrating means
    • H01L31/056Optical elements directly associated or integrated with the PV cell, e.g. light-reflecting means or light-concentrating means the light-reflecting means being of the back surface reflector [BSR] type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/04Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices
    • H01L31/06Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by at least one potential-jump barrier or surface barrier
    • H01L31/068Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by at least one potential-jump barrier or surface barrier the potential barriers being only of the PN homojunction type, e.g. bulk silicon PN homojunction solar cells or thin film polycrystalline silicon PN homojunction solar cells
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/18Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof
    • H01L31/1804Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof comprising only elements of Group IV of the Periodic System
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/18Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof
    • H01L31/1804Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof comprising only elements of Group IV of the Periodic System
    • H01L31/182Special manufacturing methods for polycrystalline Si, e.g. Si ribbon, poly Si ingots, thin films of polycrystalline Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/18Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof
    • H01L31/1892Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof methods involving the use of temporary, removable substrates
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02EREDUCTION OF GREENHOUSE GAS [GHG] EMISSIONS, RELATED TO ENERGY GENERATION, TRANSMISSION OR DISTRIBUTION
    • Y02E10/00Energy generation through renewable energy sources
    • Y02E10/50Photovoltaic [PV] energy
    • Y02E10/52PV systems with concentrators
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02EREDUCTION OF GREENHOUSE GAS [GHG] EMISSIONS, RELATED TO ENERGY GENERATION, TRANSMISSION OR DISTRIBUTION
    • Y02E10/00Energy generation through renewable energy sources
    • Y02E10/50Photovoltaic [PV] energy
    • Y02E10/546Polycrystalline silicon PV cells
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02EREDUCTION OF GREENHOUSE GAS [GHG] EMISSIONS, RELATED TO ENERGY GENERATION, TRANSMISSION OR DISTRIBUTION
    • Y02E10/00Energy generation through renewable energy sources
    • Y02E10/50Photovoltaic [PV] energy
    • Y02E10/547Monocrystalline silicon PV cells
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P70/00Climate change mitigation technologies in the production process for final industrial or consumer products
    • Y02P70/50Manufacturing or production processes characterised by the final manufactured product
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T117/00Single-crystal, oriented-crystal, and epitaxy growth processes; non-coating apparatus therefor
    • Y10T117/10Apparatus
    • Y10T117/1024Apparatus for crystallization from liquid or supercritical state
    • Y10T117/1092Shape defined by a solid member other than seed or product [e.g., Bridgman-Stockbarger]

Definitions

  • This disclosure relates in general to the field of photovoltaics and solar cells, and more particularly to three-dimensional thin-film solar cells and methods for manufacturing three-dimensional thin-film solar cells.
  • Three-dimensional thin-film solar cell include forming a 3-Dimensional thin-film silicon substrate (3-D TFSS) using a silicon template.
  • the template may comprise a plurality of posts and a plurality of trenches between said a plurality of posts.
  • the 3-D TFSS may then be formed by forming a sacrificial layer on the template, subsequently depositing a semiconductor layer, selective etching the sacrificial layer and releasing the semiconductor layer from the template. More specifically, the said semiconductor layer is a self-supporting, free-standing three-dimensional (3D) epitaxial silicon thin film deposited on and released from a low-cost reusable crystalline silicon substrate template.
  • FIG. 1A illustrates a partial view of a re-usable mono-crystalline silicon template with hexagonal-prism posts according to the U.S. Patent Pub. No. 2008/0264477A1.
  • FIG. 1B illustrates a partial view of a 3D thin-film, hexagonal-honeycomb-prism substrate with rear/bottom base silicon layer after release from the reusable template according to the U.S. Patent Pub. No. 2008/0264477A1.
  • the above referenced three-dimensional thin film solar cell templates, substrates, and cells provide cost, performance, and mechanical strength advantages compared to traditional flat solar cells with a similar amount of silicon because 3-D TFSC have superior mechanical strength, better light trapping, and lower cell processing costs because of their self-aligned nature.
  • a honeycomb 3-D TFSS may provide a desirable mechanical rigidity and strength.
  • the trenches among the neighboring hexagonal pillars on the template need to be filled by epitaxial silicon growth and the substrate formed by the filled layer needs to be released from the template. These processes are often costly and difficult. Design and process improvements need to be made in making the relatively high aspect ratios trenches, epitaxial filling of the trenches and releasing a TFSS from the trenches.
  • flat thin film solar cells often require surface texturing to reduce reflectance losses which requires a minimum film thickness of preferably tens of microns (e.g., >30 ⁇ m) to avoid texturing etch-induced punch-through pinholes.
  • flat thin-film silicon substrates may have reduced mean optical path length which reduces IR absorption and results in reduced cell quantum efficiency.
  • flat thin-film crystalline silicon substrates may have poor mechanical strength for cell and module processing needs. Micro cracking defects at substrate edges and pinholes defects within the substrate could cause cracking initiations and these cracks propagate easily along the crystallographic directions.
  • a need has arisen for fabrication process improvements and manufacturing costs reductions for forming a three-dimensional thin-film solar cell (3-D TFSC).
  • a three-dimensional thin-film solar cell is provided which substantially eliminates or reduces disadvantages and problems associated with previously developed 3-D TFSC.
  • an inverted pyramidal three-dimensional solar cell comprising a semiconductor substrate with an inverted pyramidal cavity, emitter metallization regions on ridges on the surface of the semiconductor substrate defining an opening of the inverted pyramidal cavity, and base metallization regions on a region forming the apex of the inverted pyramidal cavity.
  • fabrication methods for forming a three-dimensional thin-film solar cell from an inverted pyramidal three-dimensional thin-film silicon substrate comprises doping ridges on the surface of the semiconductor substrate defining an opening of an inverted pyramidal cavity to form an emitter region and doping a region forming the apex of the inverted pyramidal cavity to form a base region.
  • Adding a surface passivation layer to the surface of the substrate Selectively etching the passivation layer from the emitter region and base region. Then concurrently metallizing the emitter region and base region.
  • a technical advantage of the simplified fabrication processes and higher gas-to-silicon conversion ratio of epitaxial growth provided when using a template having inverted pyramidal cavities is an inverted pyramidal cavity based 3-D TFSS provides improved mechanical rigidity and strength.
  • the strength of the template may be adjusted according to the arrays and staggered patterns of inverted pyramidal cavities provided.
  • a technical advantage of the present disclosure is innovative solar cell designs and technologies based on the use of self-supporting, free-standing, three-dimensional (3D) silicon thin films.
  • the 3-D TFSCs described may be made to be relatively rigid, semi-rigid, or flexible depending on the structural design parameters of the cell substrate. Given an equal amount of silicon usage, the 3-D TFSS disclosed provides advantages over substrates made of flat thin-film (TF) crystalline silicon such as the following:
  • Three-dimensional thin-film solar cells disclosed do not require a minimum film thickness
  • Three-dimensional thin-film solar cells disclosed are mechanically robust because of their unique 3D structure, providing enhanced mechanical strength and handle-ability.
  • the semiconductor templates consist of known crystallographic silicon planes, i.e., the (111) and (100) planes and the epitaxial silicon layer grown from these two silicon planes yields better quality than from DRIE etched silicon 3-D surfaces
  • the large cavity opening angle) (70.6°) of the disclosed silicon template formed by the cavity sidewall (111) planes is much wider than that may be etched from using deep reactive ion etch (DRIE) silicon etch. Therefore, the porous silicon formation, epitaxial silicon growth, and releasing of 3-D TFSS are more practical and cost efficient than a DRIE etched template.
  • DRIE deep reactive ion etch
  • FIG. 1A shows a prior art mono-crystalline silicon template
  • FIG. 1B shows a prior art three-dimensional thin-film substrate after release from the template in FIG. 1A ;
  • FIG. 2 illustrates a cross-sectional drawing of an inverted pyramidal template and a released corresponding three-dimensional thin-film silicon substrate
  • FIG. 3 is an image of a fabricated inverted pyramidal silicon template
  • FIGS. 4A , 4 B, and 4 C are images of a fabricated three-dimensional thin-film silicon substrate
  • FIG. 5 is a process flow depicting major fabrication process steps for manufacturing an inverted pyramidal silicon template and three-dimensional thin-film silicon substrate;
  • FIGS. 6A through 6G illustrates a process flow for manufacturing an inverted pyramidal silicon template and a three-dimensional thin-film silicon substrate
  • FIG. 7 illustrates an array inverted pyramidal pattern on a semiconductor template
  • FIGS. 8A through 8D illustrate alternative staggered inverted pyramidal layout patterns on a semiconductor template
  • FIG. 9 is a process flow depicting major fabrication process steps for manufacturing a three-dimensional thin-film solar cell.
  • FIGS. 10A through 10D illustrate a process flow for manufacturing a three-dimensional thin-film solar cell.
  • FIG. 1A illustrates a partial view of a re-usable mono-crystalline silicon template with hexagonal-prism posts disclosed in U.S. Pat. Pub. No. 2008/0264477A1.
  • the hexagonal pillars are etched by deep-reactive ion etching (DRIE) with photolithographically patterned photoresist as the hard masking layer.
  • DRIE deep-reactive ion etching
  • the DRIE etching provides well defined high-aspect ratio gaps between the pillars, however the narrow gaps are difficult to fill by the epitaxial silicon growth and it is difficult to release the epitaxial layer from such a template.
  • FIG. 1B illustrates a partial view of a 3D thin-film hexagonal-honeycomb-prism substrate with a rear/bottom base silicon layer after release from a reusable template disclosed in U.S. Pat. Pub. No. 2008/0264477A1.
  • FIG. 2 illustrates a cross-sectional drawing of an inverted pyramidal semiconductor template and a corresponding released three-dimensional thin-film semiconductor substrate.
  • Inverted pyramidal template 2 is used to form corresponding released 3-D TFSS 8 .
  • the inverted pyramidal template consists of large inverted pyramidal cavities 3 and small inverted pyramidal cavities 4 .
  • Large inverted pyramidal cavity 3 and small inverted pyramidal cavity 4 which are defined by walls, such as wall 5 aligned along a (111) plane of inverted pyramidal template 2 .
  • Top surface 6 is aligned along a (100) plane of inverted pyramidal template 2 .
  • the inverted pyramid-shape cavities may be chemically etched by anisotropic silicon etchant and the angle between a sidewall (such as wall 5 ) and a top lateral plane (such as top surface 6 ), is about 54.7° (angle 7 )—which is the defined angle between two (111) and (100) silicon crystallographic planes.
  • Shown, small inverted pyramidal cavities 4 have an apex defined by walls aligned along the (111) crystallographic planes giving the apex, or tip, of the inverted pyramid an angle of 70.6°.
  • Large inverted pyramidal cavities 3 have a flat apex, or flat tip, aligned along the (100) crystallographic plane.
  • a semiconductor template of the disclosed subject matter may employ various apex styles dependent on shape of the 3-D TFSS desired.
  • Released 3-D TFSS 8 has a bottom surface profile conformal to the top of inverted pyramidal template 2 .
  • Wall 9 defines inverted pyramidal cavity 11 on released 3-D TFSS 8 and surface ridge 10 defines the base opening of inverted pyramidal cavity 11 .
  • FIG. 3 presents a top Scanning Electron Microscope (SEM) partial view of a fabricated inverted pyramidal silicon template.
  • Structured silicon template 20 consists of anisotropically etched large pyramid cavity 21 and small pyramid cavity 24 .
  • the top opening size 22 of the large cavities is in the range of 10 um to 1 mm and the top opening size 25 of the small cavities is a partial of 22 .
  • the opening size of the large cavities, 22 is about 300 um and opening size of the small cavities, 25 , is about 150 um.
  • the depth of the small cavities is about 110 um and the depth of the large cavities is about 200 um.
  • Top cavity surface 26 and bottom cavity surface 28 are aligned along (100) silicon crystallographic planes and sidewalls 29 of the cavities are aligned along (111) silicon crystallographic planes.
  • Small pyramid cavity 24 has a pointed cavity apex/bottom which occurs at the intersection of four (111) sidewalls.
  • Large pyramid cavity bottom 28 has a flat cavity bottom/apex the size of which may be in the range of 0 to 100 um.
  • top cavity surface 26 is the ridge defining the opening between inverted pyramidal cavities. Top cavity surface 26 is preferably narrow and less than 10 um wide.
  • FIG. 4A presents a SEM partial view 40 of an embodiment of a fabricated three-dimensional thin-film silicon substrate from a tilted top perspective.
  • the 3-D TFSS consists of a staggered pattern of large cavities, 42 , and small cavities, 44.
  • crystallographic faceting occurs and results in a structure quite different from the inverted pyramidal cavities on the semiconductor template from which the 3-D TFSS was made in accordance with the disclosed subject matter.
  • ridge 46 between the cavities and defining a base opening of large cavity 42 is wider on its top side due to epitaxial overgrowth on the top surfaces aligned along the (100) crystallographic plane of a semiconductor template.
  • the degree or amount of the shape change depends on the overall epitaxial silicon thickness. A thicker epitaxial thickness results in more shape change from the original silicon template structure from which 3-D TFSS was made. These shape and geometrical changes improve the mechanical strength of the 3-D TFSS and make the light trapping more effective.
  • FIG. 4B presents a SEM partial view 60 of an embodiment of a fabricated 3-D TFSS from a tilted bottom perspective.
  • the shown 3-D TFSS backside is the reverse of the silicon template structure from which 3-D TFSS was made, which consisted of inverted pyramidal cavities forming large pyramid 62 and small pyramid 64 and ridges 66 defining the base openings of the inverted pyramidal cavities on the 3-D TFSS.
  • FIG. 4C presents a SEM partial view 80 of an embodiment of a fabricated 3-D TFSS from a cross-sectional perspective.
  • the thickness of the top ridge 82 , sidewall 84 and bottom 86 may be different and could be purposefully tuned to achieve optimum mechanical, optical and electrical performances.
  • a 3-D TFSC fabrication process in accordance with the disclosed subject matter may comprise the following major steps:
  • Template fabrication 3-D inverted pyramidal patterns/structures are etched from a silicon wafer front surface and into the bulk silicon to form a silicon template.
  • the structured silicon template is then used in the formation of a 3-D TFSS.
  • the template may be capable of being used numerous times to fabricate numerous 3-D TFSS before being reconditioned or recycled.
  • the template may be reused for as long as it remains relatively free of dislocations and/or for as long as it maintains an acceptable pyramid pattern having a pyramidal size and sidewall surface conditions within acceptable control limits (e.g. as gauged by in-line metrology);
  • the 3-D TFSS is optionally doped to form emitter and base regions if the doping is not conducted prior to the epitaxial layer release. Then a surface passivation layer and an antireflection layer are deposited, optional contact openings are formed, and metallization steps are conducted to form a 3-D TFSC; and
  • the fabricated 3-D TFSC may then optionally be mechanically reinforced, interconnected, encapsulated and mounted in the panels.
  • FIG. 5 is an embodiment of a process flow depicting major fabrication process steps for manufacturing an inverted pyramidal silicon template and three-dimensional thin-film silicon substrate.
  • the silicon template making process starts with step 105 beginning with a mono-crystalline (100) silicon wafer.
  • the starting wafer may be in circular or square shapes.
  • Step 110 involves forming a thin hard masking layer on the exposed wafer surfaces.
  • the hard masking layer is used to mask the silicon surface areas that do not need to be etched in the later steps—the surface areas that will become the top surface of the template.
  • the proper hard masking layer includes, but is not limited to, thermally grown silicon oxide and low-pressure vapor phase deposited (LPCVD) silicon nitride.
  • LPCVD low-pressure vapor phase deposited
  • Step 115 involves a photolithography step, which consists of photoresist coating, baking, UV light exposure over a photomask, post baking, photoresist developing, wafer cleaning and drying. After this step, the pattern on the photomask depicting an array or a staggered pattern of inverted pyramidal base openings, will be transferred to the photoresist layer.
  • the patterned photoresist layer is used as a soft masking layer for the hard masking layer etching of step 120 .
  • Step 120 involves further transferring the photoresist pattern to the hard masking layer layered underneath by chemical etching, such as etching a thin silicon oxide layer with buffered HF solution.
  • step 125 the remaining soft masking layer, i.e. the photoresist layer, is removed and the wafer is cleaned.
  • photoresist removal process include wet methods, such as using acetone or piranha solution (a mixture of sulfuric acid and hydrogen peroxide), or dry methods such as oxygen plasma ashing.
  • step 130 the wafers are batch loaded in an anisotropic silicon wet etchant such as KOH solution.
  • the typical etch temperature is in the range of 50° C. to 80° C. and etch rate is about 0.2 um/min to 1 um/min.
  • TMAH tetramethylammonium hydroxide
  • the KOH or TMAH silicon etch rate depends upon the orientations to crystalline silicon planes.
  • the (111) family of crystallographic planes are etched at a very slow rate and are normally “stop” planes for the anisotropic etching of a (100) silicon wafer with patterned hard mask.
  • the intersection of two (111) planes or a (111) plane with a bottom (100) plane produce anisotropic etching structures for (100) silicon wafers after a time-controlled etch. Examples of these structures include V-grooves and pyramidal cavities with sharp tip cavity bottom (where (111) planes meet) or a small flat cavity bottom (a remaining (100) plane).
  • the template KOH etching process is more convenient to control and has a low manufacturing cost compared to other silicon etching methods, such as RIE dry etching;
  • the (111) plane dominant 3-D structure provides good porous silicon forming uniformity and epitaxial silicon quality due to the (111) plane sidewall smoothness and predictable and repeatable epitaxial growth rates;
  • the dimensions, shape, and profiles of the inverted pyramidal structure on the template may be maintained conveniently and restored easily by a short KOH etching if needed after multiple template reuse cycles.
  • step 135 of FIG. 5 the remaining hard masking layer is removed, by HF solution in the case the hard masking layer is silicon dioxide.
  • the wafer may be cleaned in standard SC1 (mixture of NH 4 OH and H 2 O 2 ) and SC2 (mixture of HCL and H 2 O 2 ) wafer wet cleaning solutions followed by a thorough deionized wafer rinsing and hot N 2 drying.
  • SC1 mixture of NH 4 OH and H 2 O 2
  • SC2 mixture of HCL and H 2 O 2
  • Step 140 of FIG. 5 marks the beginning of a silicon template re-use cycle.
  • a porous silicon layer is formed by electrochemical HF etching on the silicon template front surfaces.
  • the porous silicon layer is to be used as a sacrificial layer for epitaxial silicon layer release.
  • the porous silicon layer preferably consists of two thin layers with different porosities.
  • the first thin porous silicon layer is a top layer and is formed first from the bulk silicon wafer.
  • the first thin layer preferably has a lower porosity of 10% ⁇ 35%.
  • the second thin porous silicon layer is directly grown from the bulk silicon and is underneath the first thin layer of porous silicon.
  • the 2 nd thin porous silicon layer preferably has a higher porosity in the range of 40% ⁇ 80%.
  • the top porous silicon layer is used as a crystalline seed layer for high quality epitaxial silicon growth and the bottom underneath higher porosity porous silicon layer is used for facilitating TFSS release due to its less dense physical connections between the epitaxial and bulk silicon interfaces and its weak mechanical strength.
  • a single porous silicon layer with a progressively increased or graded porosity from top to bottom may also be used.
  • the top portion of the porous silicon layer has a low porosity of 10% to 35% and the lower portion of the porous silicon layer has a high porosity of 40% to 80%.
  • the wafer may be baked in a high temperature (at 950° C.
  • a mono-crystalline silicon epitaxial layer is deposited on the front side only.
  • the bulk base of the epitaxial layer is p-type, boron (B 2 H 6 ) doped.
  • the thickness of the epitaxial layer is preferably in the range of 5 um to 60 um.
  • an encompassing border trench may be made on the peripheral of the active wafer area to facilitate the release of the TFSS.
  • the encompassing trenches may be formed by controlled laser cutting and their depths are preferably in the range of 5 um to 100 um.
  • the trenches define the boundary of the 3-D TFSS to be released and allow initiation of the release from the trenched region.
  • the remaining epitaxial silicon layer may be removed by mechanical grinding or polishing of the template edges.
  • the epitaxial layer of silicon is released and separated from the silicon template.
  • the released epitaxial silicon layer is referred to as a 3-D thin film silicon substrate (3-D TFSS).
  • the 3-D TFSS may be released in an ultrasonic DI-water bath. Or in another release method, the 3-D TFSS may be released by direct pulling with wafer backside and top epitaxial vacuum chucked. In another release method, the epitaxial layer is released by direct pulling with wafer backside and top epitaxial vacuum chucked. Using this method the porous silicon layer may be fully or partially fractured.
  • the chucks may use either electrostatic or vacuum chucking to secure the wafer. The wafer is first placed on bottom wafer chuck with TFSS substrate facing upwards. A bottom chuck secures the template side of wafer, and the top wafer chuck is gently lowered and secures TFSS substrate side of the wafer. The activated pulling mechanism lifts top chuck upwards, and the movement may be guided evenly by slider rails.
  • step 170 the released 3-D TFSS backside surface is cleaned by short silicon etching using KOH or TMAH solutions to remove the silicon debris and fully or partially remove the quasi-mono-crystalline silicon (QMS) layer.
  • the template is cleaned in step 175 by using diluted HF and diluted wet silicon etch solution, such as TMAH and/or KOH to remove the remaining porous silicon layers and silicon particles.
  • the template is further cleaned by conventional silicon wafer cleaning methods, such as SC1 and SC2 wet cleaning to removal possible organic and metallic contaminations.
  • SC1 and SC2 wet cleaning to removal possible organic and metallic contaminations.
  • FIGS. 6A through 6D depict cross-sectional drawings illustrating a process flow for manufacturing an inverted pyramidal silicon template.
  • FIG. 6A illustrates mono-crystalline (100) silicon wafer 201 after a hard mask deposition and soft mask patterning.
  • the thickness of silicon wafer 201 is in the range of 0.5 mm to 2 mm.
  • the disclosed template forming process may be applied to a polished or non-polished surface. Alternatively, silicon wafers with square or quasi-square shapes may also be used.
  • Front hard mask layer 202 and backside hard mask layer 203 are thermally grown silicon oxide layers having a thickness in the range of 0.5 um to 1.5 um. The oxide on the wafer edge is not shown.
  • Photolithographic defined or screen-printed photoresist pattern 204 is applied on the front wafer surface.
  • the photolithography process includes photoresist coating, baking, exposure, developing and post baking.
  • the photoresist pattern consists of staggered pattern of large inverted pyramidal base opening 205 and small inverted pyramidal base opening 206 .
  • the photoresist pattern may also be an array of equally sized inverted pyramidal base openings.
  • the inverted pyramidal base opening patterns should be precisely aligned to the wafer ⁇ 100> direction on the front surface.
  • FIG. 6B illustrates wafer 212 after the inverted pyramidal base opening pattern is transferred to the hard masking layer, front oxide layer 214 .
  • the pattern transferring from the photoresist layer to the oxide layer is achieved by controlled oxide etching in a buffered HF solution. During HF wet etching, backside and edge oxide layer 216 is protected and keeps an original thickness.
  • the oxide pattern on the front side of wafer 212 then consists of a staggered pattern of large inverted pyramidal base opening 217 and small inverted pyramidal base opening 218 that are aligned to the ⁇ 100> crystallographic directions on the front lateral plane. After the pattern transfer, the remaining photoresist layer is removed by wet or dry photoresist removal methods. Therefore, the photoresist layer is not shown in FIG. 6B .
  • FIG. 6C illustrates wafer 220 after a silicon anisotropic etching step.
  • Large inverted pyramidal cavity 227 and small inverted pyramidal cavity 228 are formed after a timed-controlled silicon etch in a KOH or TMAH solution.
  • the etching temperature is preferably in the range of 50° C. to 80° C.
  • the KOH etch may be timely controlled so that a certain inverted pyramidal cavity depth may be reached.
  • the KOH etching may be self-terminated when the (111) walls forming the inverted pyramidal cavity meet at the cavity bottom/apex.
  • remaining oxide layers 224 and 226 are thinner than before the etching because the oxide is also etched in the KOH or TMAH solution, but with a much slower etch rate than the silicon etch.
  • FIG. 6D illustrates inverted pyramidal silicon template 232 after removing the remaining oxide layer in a diluted HF solution followed by standard wafer cleaning in SC2 and SC2, DI water rinsing, and N 2 drying.
  • the width of the ridges forming the base openings of the inverted pyramidal cavities, 234 is in the range of 0 to 20 um.
  • the template now comprises a staggered pattern made of large inverted pyramidal cavity 236 and an adjacent small inverted pyramidal cavity 238 .
  • the angle between the cavity sidewalls and top surface ridges aligned along the (100) crystallographic plane, the lateral plane, is 54.7°.
  • FIGS. 6E through 6G depict cross-sectional drawings illustrating a process flow for manufacturing a 3-D TFSS using an inverted pyramidal silicon template.
  • porous silicon layer 244 is formed by electrochemical HF etching on the front surface of silicon template 242 .
  • the porous silicon is used as a sacrificial layer and may consist of two thin layers with different porosities.
  • the first thin porous silicon layer is on the top and is formed first from silicon wafer 242 .
  • the first thin layer preferably has a lower porosity of 10% ⁇ 35%.
  • the second thin porous silicon layer is formed directly from silicon wafer 242 and is underneath the first thin layer of porous silicon.
  • the second thin porous silicon layer preferably has a higher porosity in the range of 40% ⁇ 80%.
  • the lower porosity porous silicon layer on top is used as a crystalline seed layer for high quality epitaxial silicon growth and the underneath higher porosity porous silicon layer is used for facilitating TFSS releasing due to its less density physical connections between the epitaxial and bulk silicon interfaces and its weak mechanical strength.
  • a single porosity release layer with a progressively increased or graded porosity from top to bottom may also be used.
  • the top portion of the porous silicon layer has a low porosity of 10% to 35% and the lower portion of the porous silicon layer has a high porosity of 40% to 80%.
  • FIG. 6F illustrates silicon template 252 after a thin layer of epitaxial silicon layer growth.
  • mono-crystalline silicon epitaxial layer 256 is deposited on porous silicon layer 254 located on the front side of silicon template 252 .
  • Mono-crystalline silicon epitaxial layer 256 may p-type, boron (B 2 H 6 ) doped during the growth.
  • the thickness of the epitaxial layer is preferably in the range of 5 um to 60 um. It is known that crystallographic orientation is one of the factors that affect the epitaxial growth rate.
  • the epitaxial growth rate on the (100) plane is faster than on the (111) plane.
  • the growth rate difference could be as large as 20%. Since the template ridge top surface is a (100) plane and the pyramid cavity sidewalls are (111) planes, the epitaxial silicon layer thickness at the top ridge region 258 is generally thicker than the sidewall regions 259 .
  • the top portions (forming the base openings of the inverted pyramidal cavities) of the epitaxial layer of the pyramid structure are thicker than the bottom portions (forming the walls defining the inverted pyramidal cavities).
  • This gas transportation limited growth rate differential could be enhanced by tuning gas pressures, flow rates, chamber sizes, and other physical parameters of the epitaxial process.
  • the higher epitaxial growth rates at top portions of the pyramid cavities also generate faceting around the ridge areas. The faceting effect may changes the square opening patterns into polygon opening patterns as shown in FIG. 4A .
  • the combined thickness increases (overgrowth) and shape changes generate a unique structure that resembles a prism-grid structure.
  • the 3-D TFSS of the present disclosure provides the following unique features:
  • the thickness increase and resulting polygon shape formed on the top surface of a 3-D TFSS provides significant enhancement to its mechanical rigidity and strength.
  • the template top ridges correspond to the V-grooves of 3-D TFSS when viewed from the backside. When a 3-D TFSS is under a bending load, the V-groove areas have higher stress concentration than the sidewall areas. The increased thickness and the polygon shape at the top portion therefore enhance the mechanical handle-ability of the 3-D TFSS;
  • the top surface profile at the ridges may be sharpener than the original template ridge surface profile.
  • the top surface ridge sharpening effect may increase the optical trapping and/or electrical efficiencies;
  • the TFSS surfaces are made of crystallographic planes.
  • a diluted anisotropic etchant such as KOH
  • the top surfaces can be further sharpened to increase the optical and electrical efficiencies of the resulting solar cells.
  • the disclosed subject matter takes advantage of the higher etch rates of convex crystallographic edges than concave edges in anisotropic silicon etching.
  • FIG. 6G illustrates 3-D TFSS 264 that is released from silicon template 262 .
  • an encompassing border trench may be made on the peripheral of the active wafer area to facilitate the release.
  • the encompassing trenches are formed by controlled laser cutting and their depths are preferably in the range of 5 um to 100 um.
  • the trenches define the boundary of the 3-D TFSS to be released and allow initiation of the release from the trenched region.
  • the thin epitaxial layer on the template edge could be removed first by mechanical grinding and then defining the shape of the 3-D TFSS by laser trimming after it has been released from the template.
  • the released epitaxial layer, referred to as 3-D TFSS 264 is physically separate from silicon template 262 .
  • the epitaxial layer release methods disclosed in U.S. patent application Ser. No. 12/473,811 entitled, SUBSTRATE RELEASE METHODS AND APPARATUS are hereby incorporated by reference.
  • the epitaxial layer may be released in an ultrasonic DI-water bath.
  • the epitaxial layer is released by direct pulling with wafer backside and top epitaxial vacuum chucked.
  • the epitaxial layer is released by direct pulling with wafer backside and top epitaxial vacuum chucked.
  • the porous silicon layer may be fully or partially fractured.
  • the chucks may use either electrostatic or vacuum chucking to secure the wafer.
  • the wafer is first placed on bottom wafer chuck with TFSS substrate facing upwards.
  • a bottom chuck secures the template side of wafer, and the top wafer chuck is gently lowered and secures TFSS substrate side of the wafer.
  • the activated pulling mechanism lifts top chuck upwards, and the movement may be guided evenly by slider rails.
  • the template is cleaned by using diluted HF and diluted wet silicon etch solution, such as TMAH and/or KOH to remove the remaining porous silicon layers and silicon particles.
  • TMAH and/or KOH diluted wet silicon etch solution
  • the template may then be further cleaned by conventional silicon wafer cleaning methods, such as SC1 and/or SC2 wet cleaning to removal possible organic and metallic contaminations.
  • SC1 and/or SC2 wet cleaning to removal possible organic and metallic contaminations.
  • the template is ready for another re-use cycle.
  • the released TFSS backside surface is cleaned by short silicon etching using KOH or TMAH solutions to remove the silicon debris and fully or partially remove the QMS layer.
  • inverted pyramidal cavity structures instead of non-inverted pyramidal pillar structures.
  • the corners/edges where (111) planes meet are “concave”.
  • the (111) planes form the sidewalls of pyramidal cavities.
  • there have been reported “convex” cases where the (111) planes form the sidewalls of pyramidal pillars.
  • An inverted-pyramid cavity structure with “concave” corners is preferable over a non-inverted pyramid pillar structure with “convex” corners because of the following reasons:
  • inverted pyramidal cavities have only (111) planes for sidewall and (100) planes for top surface, an epitaxial growth from these crystallographic surfaces have better geometry and process control than the non-inverted-pyramidal pillar case;
  • the silicon template can be conveniently cleaned and re-conditioned in a short time by anisotropic etching after each re-use cycle or once every several reuse cycles.
  • the mechanical handle-ability of the 3-D TFSS is another key factor in the template structure design.
  • FIG. 7 illustrates a template having an array, or non-staggered, pattern inverted pyramidal cavities.
  • Pyramidal cavities 302 all have the same base opening size and thus the same cavity depth, and are arranged in an array.
  • Ridges 304 form lateral rows and columns between the inverted pyramidal cavities. These ridges may be referred to as frames, grids, space lines, or ridge lines. Ridges 304 are aligned to the (100) crystallographic direction of the template. The straight long ridges between the cavities on the template will be transferred to the backside of a corresponding 3-D TFSS made in accordance with the disclosed subject matter in long V-groove shapes. The V-grooves on the 3-D TFSS are aligned to the (100) crystallographic directions.
  • FIG. 8A through 8D illustrate four examples of template layout patterns of staggered inverted pyramidal cavity designs.
  • Staggered patterns avoid long V-groove on the 3-D TFSS because staggered patterns limit the length of the ridges forming the base openings of the inverted pyramidal cavities (in both rows and columns as shown form a top view of the template).
  • the staggered pattern designs of the present disclosure are not limited to the described embodiments but instead include any staggered pattern of inverted pyramidal cavities.
  • FIG. 8A shows a staggered inverted pyramidal cavity layout pattern that consists of two cavity sizes.
  • Small cavity 324 has a base opening half the size of the base opening of large cavity 322 .
  • the V-groove length on the corresponding 3-D TFSS will be about 1.5 times as long as the length of one side of the base opening of large cavity 322 .
  • each ridge on template 320 and each V-groove on the formed 3-D TFSS is intersected at twice (each at one third the length of the ridge or V-groove) by neighboring perpendicular ridges or V-grooves.
  • the intersection of V-grooves of a 3-D TFSS made with this staggered pattern are uniformly spread giving this staggered pattern good mechanical handle-ability and uniform mechanical strength across its lateral plane.
  • FIG. 8B presents another alternative staggered inverted pyramidal cavity layout pattern that consists of two cavity sizes.
  • Small cavity 344 has a base opening that is a fraction of the base opening of large cavity 342 . Shown, the ratio between the two cavity sizes is between 1 and 2.
  • This cavity layout pattern is a general pattern of the layout shown in FIG. 8A . In this design, the V-groove length on the formed 3-D TFSS is about 1 to 2 times of the cavity length.
  • the inverted pyramidal cavity layouts may include different inverted pyramidal cavity shapes (such as rectangular) and staggered inverted pyramidal cavities with more than two sizes.
  • FIG. 8C presents an alternative staggered inverted pyramidal cavity layout that consists of rectangular cavity 362 and square cavity 364 .
  • Square cavity 364 has a base opening size equal to the width of the base opening of rectangular cavity 362 .
  • Each square cavity is surrounded by two pairs of perpendicularly arranged rectangular cavities.
  • the length of the V-grooves on the formed 3-D TFSS will be about the length of the base opening of rectangular cavity 362 plus twice the width of rectangular cavity 362 .
  • FIG. 8D presents yet another alternative staggered inverted pyramidal cavity layout that consists of one size of inverted pyramidal cavities.
  • Each rectangular cavity 382 has the same size and is arranged in a staggered perpendicular format.
  • the length of the V-grooves on the 3-D TFSS will be about the length of the base opening of rectangular cavity 382 plus the width of rectangular cavity 382 on the template.
  • FIG. 9 is a process flow depicting major fabrication process steps of an exemplary method for making a 3-D TFSC using the released 3-D TFSS, in a block diagram 400 .
  • the 3-D TFSC fabrication process starts in Step 405 with a p-type silicon 3-D thin film substrate (3-D TFSS) having inverted pyramidal ridges on a top surface plane and inverted pyramidal apex regions on a bottom surface.
  • Step 410 involves selectively coating the top ridge areas of the 3-D TFSS with an n-type (such as phosphorus) liquid dopant. Viewed from a top perspective, the coated areas form long lines that are connected at cell edges to form fingers and busbars patterns as in regular flat silicon based solar cells.
  • n-type such as phosphorus
  • the doped fingers and busbars lines on the top ridge areas may not be straight lines if the inverted pyramidal cavity pattern layout is staggered.
  • the selective liquid dopant coating may be done by aligned screen printing, roller coating, or direct inkjet dispense. After the coating, the coated layer is dried and cured (e.g., by thermal curing at 250° C. to 400° C. or UV irradiation).
  • Step 415 involves selectively coating the bottom side of the 3-D TFSS with p-type (such as boron) liquid dopant.
  • the liquid dopant is selectively coated to the inverted pyramidal apex regions on the bottom surface of the 3-D TFSS by aligned or self-aligned roller coating, screen printing, or dip-coating methods. After the coating, the coated layer is dried and cured (e.g., by thermal curing at 250° C. to 400° C. or UV irradiation).
  • Step 420 involves forming n++ selective emitter and p++ base diffusion contact regions by thermal annealing that may be done in a diffusion furnace at 800° C. to 950° C., where the emitter and base are concurrently formed.
  • Step 425 involves a surface passivation process. In one embodiment, a thermal oxide layer of 10 to 200 nanometers is grown at 800° C.
  • Step 430 involves making local openings on the emitter and base contact regions by selective passivation layer chemical etching, such as by applying HF-based etchant by inkjet dispensing. The contact openings are made smaller than the dopant diffused areas to avoid shunting after metallization.
  • Step 435 involves self-aligned metallization.
  • the emitter and base metallized regions are concurrently formed using selective electroplating and/or electroless plating to form single or multilayer high-conductivity metallized regions of silver, aluminum, nickel, titanium, cobalt, or tantalum.
  • the plated metal stack may include a thin (50 to 500 nanometers) barrier and adhesion layer (made of nickel) followed by a relatively thick (2 to 15 microns) layer of high-conductivity metal (silver, copper, or aluminum).
  • the metal contacts may be formed by the aligned inkjet dispense or screen printing of metal particles, such as silver nano-particles in a liquid solution or paste.
  • Step 445 involves mounting the 3-D thin film solar cell (3-D TFSC) onto a plate with a metal surface or metal lines to interconnect the base contacts.
  • the metal plate preferably has a reflective surface to serve as a rear reflection mirror.
  • the mounting could direct metal-to-metal fusion or with a highly conductive adhesive.
  • Step 450 involves packaging the fabricated solar cell into a solar module assembly. In this manufacturing module, the emitter and base metal contacts are interconnected among the solar cells to form the power output connections of a solar panel.
  • FIG. 10A through 10D illustrate partial cross-sectional views of a process flow for manufacturing a three-dimensional thin-film solar cell according to the process steps of FIG. 9 .
  • FIG. 10A illustrates 3-D TFSS 512 after the selective emitter 514 and base 516 coating steps.
  • the liquid dopants such as phosphorus-contained liquid for emitter and boron-contained liquid for base, are dried and cured after their selective coatings.
  • FIG. 10B illustrates 3-D TFSS 522 after the selective emitter 524 and base 526 diffusion and passivation layer 528 coating steps.
  • the emitter and base diffusion regions are concurrently formed in a diffusion furnace with a controlled time and temperature.
  • the actual doping profile may be extended towards to the sidewalls near the contact regions.
  • the remaining dopant material and dielectric layers formed during the diffusion process are removed.
  • a passivation layer is then applied on both the front and base surfaces of the 3-D TFSS. Examples of the passivation layer include thermally grown silicon dioxide and PECVD silicon nitride.
  • FIG. 10C illustrates 3-D TFSS 532 after the selective emitter 534 and base 536 contact openings are formed.
  • the local openings on the emitter and base contact regions are made by selective passivation layer chemical etching, such as by applying HF-based etchant by inkjet dispensing.
  • the contact openings are made smaller than the dopant diffused areas to avoid shunting after metallization. Portions of the top and bottom surface of the 3-D TFSS remain coated with passivation layer 538 .
  • FIG. 10D illustrates a completed 3-D TFSS 542 after all the cell fabrication process disclosed in FIG. 9 .
  • the emitter metal 544 and base metal 546 are electroplated or electroless plated single or multilayer high-conductivity metallized regions (silver, aluminum, nickel, titanium, cobalt, or tantalum). Alternatively, the metal layer could be inkjet dispensed.
  • the emitter metal contacts are formed in continuous metal lines, i.e. fingers and busbars on the 3-D TFSC top surface. However, because the base metal contacts have been formed on the inverted pyramidal apex regions on the backside of the 3-D TFSS, the base metal contacts are isolated regions.
  • the front surface passivation layer may also serve as the antireflection layer given a controlled thickness.
  • the 3-D TFSS after metallization is mounted on a supporting non-metal plate 550 with continuous metal surface or patterned metal lines 552 to form the base interconnects of the 3-D TFSC. Portions of the top and bottom surface of the 3-D TFSS remain coated with passivation layer 548 .
  • the 3-D TFSS and cell process flows as shown is FIGS. 5 , 6 , 9 and 10 may be applied to substrate doping polarity of n-type for p-type selective emitters.
  • the 3-D TFSS base could be either n-type or p-type with corresponding emitter polarities.

Abstract

A three-dimensional solar cell comprising a semiconductor substrate with an inverted pyramidal cavity, emitter metallization regions on ridges on the surface of the semiconductor substrate which define an opening of the inverted pyramidal cavity, and base metallization regions on a region which form the apex of the inverted pyramidal cavity. A method for fabricating a three-dimensional thin-film solar cell from an inverted pyramidal three-dimensional thin-film silicon substrate by doping ridges on the surface of the semiconductor substrate which define an opening of an inverted pyramidal cavity on the substrate to form an emitter region, and doping a region which forms the apex of the inverted pyramidal cavity to form a base region. Adding a surface passivation layer to the surface of the substrate. Selectively etching the passivation layer from the emitter region and base region. Then concurrently metallizing the emitter region and base region.

Description

  • This application is a continuation of U.S. patent application Ser. No. 12/618,668 filed on Nov. 13, 1009, which is hereby incorporated by reference in its entirety, and which claims the benefit of provisional patent application 61/114,378 filed on Nov. 13, 2008, which is also hereby incorporated by reference.
  • FIELD
  • This disclosure relates in general to the field of photovoltaics and solar cells, and more particularly to three-dimensional thin-film solar cells and methods for manufacturing three-dimensional thin-film solar cells.
  • DESCRIPTION OF THE RELATED ART
  • Current methods for manufacturing a three-dimensional thin-film solar cell (3-D TFSC) include forming a 3-Dimensional thin-film silicon substrate (3-D TFSS) using a silicon template. The template may comprise a plurality of posts and a plurality of trenches between said a plurality of posts. The 3-D TFSS may then be formed by forming a sacrificial layer on the template, subsequently depositing a semiconductor layer, selective etching the sacrificial layer and releasing the semiconductor layer from the template. More specifically, the said semiconductor layer is a self-supporting, free-standing three-dimensional (3D) epitaxial silicon thin film deposited on and released from a low-cost reusable crystalline silicon substrate template. The reusable silicon template may be reused to form the 3D film numerous times before being reconditioned or recycled. Select portions of the released 3-D TFSS are then doped with a first dopant, and other select portions are than doped with a second dopant. After surface passivation processes, emitter and base metallization regions are formed to complete the solar cell structure. FIG. 1A illustrates a partial view of a re-usable mono-crystalline silicon template with hexagonal-prism posts according to the U.S. Patent Pub. No. 2008/0264477A1. FIG. 1B illustrates a partial view of a 3D thin-film, hexagonal-honeycomb-prism substrate with rear/bottom base silicon layer after release from the reusable template according to the U.S. Patent Pub. No. 2008/0264477A1.
  • The above referenced three-dimensional thin film solar cell templates, substrates, and cells provide cost, performance, and mechanical strength advantages compared to traditional flat solar cells with a similar amount of silicon because 3-D TFSC have superior mechanical strength, better light trapping, and lower cell processing costs because of their self-aligned nature.
  • From a mechanical structure perspective, given a fixed amount of silicon structural material, a honeycomb 3-D TFSS may provide a desirable mechanical rigidity and strength. However, from the fabrication process perspective, the trenches among the neighboring hexagonal pillars on the template need to be filled by epitaxial silicon growth and the substrate formed by the filled layer needs to be released from the template. These processes are often costly and difficult. Design and process improvements need to be made in making the relatively high aspect ratios trenches, epitaxial filling of the trenches and releasing a TFSS from the trenches.
  • Additionally, known flat thin film solar cells often require surface texturing to reduce reflectance losses which requires a minimum film thickness of preferably tens of microns (e.g., >30 μm) to avoid texturing etch-induced punch-through pinholes. Also, flat thin-film silicon substrates may have reduced mean optical path length which reduces IR absorption and results in reduced cell quantum efficiency. And flat thin-film crystalline silicon substrates may have poor mechanical strength for cell and module processing needs. Micro cracking defects at substrate edges and pinholes defects within the substrate could cause cracking initiations and these cracks propagate easily along the crystallographic directions.
  • SUMMARY
  • Therefore a need has arisen for fabrication process improvements and manufacturing costs reductions for forming a three-dimensional thin-film solar cell (3-D TFSC). In accordance with the disclosed subject matter, a three-dimensional thin-film solar cell is provided which substantially eliminates or reduces disadvantages and problems associated with previously developed 3-D TFSC.
  • According to one aspect of the disclosed subject matter, an inverted pyramidal three-dimensional solar cell comprising a semiconductor substrate with an inverted pyramidal cavity, emitter metallization regions on ridges on the surface of the semiconductor substrate defining an opening of the inverted pyramidal cavity, and base metallization regions on a region forming the apex of the inverted pyramidal cavity.
  • Additionally, fabrication methods for forming a three-dimensional thin-film solar cell from an inverted pyramidal three-dimensional thin-film silicon substrate are provided. The method comprises doping ridges on the surface of the semiconductor substrate defining an opening of an inverted pyramidal cavity to form an emitter region and doping a region forming the apex of the inverted pyramidal cavity to form a base region. Adding a surface passivation layer to the surface of the substrate. Selectively etching the passivation layer from the emitter region and base region. Then concurrently metallizing the emitter region and base region.
  • Technical advantages of the disclosed subject matter include fabrication process improvements and manufacturing cost reductions by utilizing (111) crystallographic orientation planes to make inverted pyramid cavities on the solar cell substrate. Further, the inverted pyramidal cavities provide increased mechanical rigidity to the 3-D TFSS that is made from the template.
  • A technical advantage of the simplified fabrication processes and higher gas-to-silicon conversion ratio of epitaxial growth provided when using a template having inverted pyramidal cavities is an inverted pyramidal cavity based 3-D TFSS provides improved mechanical rigidity and strength. The strength of the template may be adjusted according to the arrays and staggered patterns of inverted pyramidal cavities provided.
  • A technical advantage of the present disclosure is innovative solar cell designs and technologies based on the use of self-supporting, free-standing, three-dimensional (3D) silicon thin films. The 3-D TFSCs described may be made to be relatively rigid, semi-rigid, or flexible depending on the structural design parameters of the cell substrate. Given an equal amount of silicon usage, the 3-D TFSS disclosed provides advantages over substrates made of flat thin-film (TF) crystalline silicon such as the following:
  • (1) Three-dimensional thin-film solar cells disclosed do not require a minimum film thickness;
  • (2) Three-dimensional thin-film solar cells disclosed trap light extremely efficiently by virtue of their 3D nature;
  • (3) Three-dimensional thin-film solar cells disclosed are mechanically robust because of their unique 3D structure, providing enhanced mechanical strength and handle-ability.
  • Further technical advantages of the disclosed subject matter include: 1) the semiconductor templates consist of known crystallographic silicon planes, i.e., the (111) and (100) planes and the epitaxial silicon layer grown from these two silicon planes yields better quality than from DRIE etched silicon 3-D surfaces, and 2) the large cavity opening angle) (70.6°) of the disclosed silicon template formed by the cavity sidewall (111) planes is much wider than that may be etched from using deep reactive ion etch (DRIE) silicon etch. Therefore, the porous silicon formation, epitaxial silicon growth, and releasing of 3-D TFSS are more practical and cost efficient than a DRIE etched template.
  • The disclosed subject matter, as well as additional novel features, will be apparent from the description provided herein. The intent of this summary is not to be a comprehensive description of the claimed subject matter, but rather to provide a short overview of some of the subject matter's functionality. Other systems, methods, features and advantages here provided will become apparent to one with skill in the art upon examination of the following FIGURES and detailed description. It is intended that all such additional systems, methods, features and advantages included within this description, be within the scope of the accompanying claims.
  • BRIEF DESCRIPTIONS OF THE DRAWINGS
  • For a more complete understanding of the disclosed subject matter and advantages thereof, reference is now made to the following description taken in conjunction with the accompanying drawings in which like reference numbers indicate like features and wherein:
  • FIG. 1A (PRIOR ART) shows a prior art mono-crystalline silicon template;
  • FIG. 1B (PRIOR ART) shows a prior art three-dimensional thin-film substrate after release from the template in FIG. 1A;
  • FIG. 2 illustrates a cross-sectional drawing of an inverted pyramidal template and a released corresponding three-dimensional thin-film silicon substrate;
  • FIG. 3 is an image of a fabricated inverted pyramidal silicon template;
  • FIGS. 4A, 4B, and 4C are images of a fabricated three-dimensional thin-film silicon substrate;
  • FIG. 5 is a process flow depicting major fabrication process steps for manufacturing an inverted pyramidal silicon template and three-dimensional thin-film silicon substrate;
  • FIGS. 6A through 6G illustrates a process flow for manufacturing an inverted pyramidal silicon template and a three-dimensional thin-film silicon substrate;
  • FIG. 7 illustrates an array inverted pyramidal pattern on a semiconductor template;
  • FIGS. 8A through 8D illustrate alternative staggered inverted pyramidal layout patterns on a semiconductor template;
  • FIG. 9 is a process flow depicting major fabrication process steps for manufacturing a three-dimensional thin-film solar cell; and
  • FIGS. 10A through 10D illustrate a process flow for manufacturing a three-dimensional thin-film solar cell.
  • DETAILED DESCRIPTION OF THE SPECIFIC EMBODIMENTS
  • The following description is not to be taken in a limiting sense, but is made for the purpose of describing the general principles of the present disclosure. The scope of the present disclosure should be determined with reference to the claims. Exemplary embodiments of the present disclosure are illustrated in the drawings, like numbers being used to refer to like and corresponding parts of the various drawings.
  • FIG. 1A illustrates a partial view of a re-usable mono-crystalline silicon template with hexagonal-prism posts disclosed in U.S. Pat. Pub. No. 2008/0264477A1. The hexagonal pillars are etched by deep-reactive ion etching (DRIE) with photolithographically patterned photoresist as the hard masking layer. The DRIE etching provides well defined high-aspect ratio gaps between the pillars, however the narrow gaps are difficult to fill by the epitaxial silicon growth and it is difficult to release the epitaxial layer from such a template.
  • FIG. 1B illustrates a partial view of a 3D thin-film hexagonal-honeycomb-prism substrate with a rear/bottom base silicon layer after release from a reusable template disclosed in U.S. Pat. Pub. No. 2008/0264477A1.
  • FIG. 2 illustrates a cross-sectional drawing of an inverted pyramidal semiconductor template and a corresponding released three-dimensional thin-film semiconductor substrate. Inverted pyramidal template 2 is used to form corresponding released 3-D TFSS 8. As shown, the inverted pyramidal template consists of large inverted pyramidal cavities 3 and small inverted pyramidal cavities 4. Large inverted pyramidal cavity 3 and small inverted pyramidal cavity 4 which are defined by walls, such as wall 5 aligned along a (111) plane of inverted pyramidal template 2. Top surface 6 is aligned along a (100) plane of inverted pyramidal template 2. The inverted pyramid-shape cavities may be chemically etched by anisotropic silicon etchant and the angle between a sidewall (such as wall 5) and a top lateral plane (such as top surface 6), is about 54.7° (angle 7)—which is the defined angle between two (111) and (100) silicon crystallographic planes. Shown, small inverted pyramidal cavities 4 have an apex defined by walls aligned along the (111) crystallographic planes giving the apex, or tip, of the inverted pyramid an angle of 70.6°. Large inverted pyramidal cavities 3 have a flat apex, or flat tip, aligned along the (100) crystallographic plane. A semiconductor template of the disclosed subject matter may employ various apex styles dependent on shape of the 3-D TFSS desired.
  • Released 3-D TFSS 8 has a bottom surface profile conformal to the top of inverted pyramidal template 2. Wall 9 defines inverted pyramidal cavity 11 on released 3-D TFSS 8 and surface ridge 10 defines the base opening of inverted pyramidal cavity 11.
  • FIG. 3 presents a top Scanning Electron Microscope (SEM) partial view of a fabricated inverted pyramidal silicon template. Structured silicon template 20 consists of anisotropically etched large pyramid cavity 21 and small pyramid cavity 24. The top opening size 22 of the large cavities is in the range of 10 um to 1 mm and the top opening size 25 of the small cavities is a partial of 22. As shown the opening size of the large cavities, 22, is about 300 um and opening size of the small cavities, 25, is about 150 um. In this case, the depth of the small cavities is about 110 um and the depth of the large cavities is about 200 um. Top cavity surface 26 and bottom cavity surface 28 are aligned along (100) silicon crystallographic planes and sidewalls 29 of the cavities are aligned along (111) silicon crystallographic planes. Small pyramid cavity 24 has a pointed cavity apex/bottom which occurs at the intersection of four (111) sidewalls. Large pyramid cavity bottom 28 has a flat cavity bottom/apex the size of which may be in the range of 0 to 100 um. As shown, top cavity surface 26 is the ridge defining the opening between inverted pyramidal cavities. Top cavity surface 26 is preferably narrow and less than 10 um wide.
  • FIG. 4A presents a SEM partial view 40 of an embodiment of a fabricated three-dimensional thin-film silicon substrate from a tilted top perspective. The 3-D TFSS consists of a staggered pattern of large cavities, 42, and small cavities, 44. However due to the nature of the epitaxial silicon growth, crystallographic faceting occurs and results in a structure quite different from the inverted pyramidal cavities on the semiconductor template from which the 3-D TFSS was made in accordance with the disclosed subject matter. Further, ridge 46 between the cavities and defining a base opening of large cavity 42 is wider on its top side due to epitaxial overgrowth on the top surfaces aligned along the (100) crystallographic plane of a semiconductor template. The degree or amount of the shape change depends on the overall epitaxial silicon thickness. A thicker epitaxial thickness results in more shape change from the original silicon template structure from which 3-D TFSS was made. These shape and geometrical changes improve the mechanical strength of the 3-D TFSS and make the light trapping more effective.
  • FIG. 4B presents a SEM partial view 60 of an embodiment of a fabricated 3-D TFSS from a tilted bottom perspective. The shown 3-D TFSS backside is the reverse of the silicon template structure from which 3-D TFSS was made, which consisted of inverted pyramidal cavities forming large pyramid 62 and small pyramid 64 and ridges 66 defining the base openings of the inverted pyramidal cavities on the 3-D TFSS.
  • FIG. 4C presents a SEM partial view 80 of an embodiment of a fabricated 3-D TFSS from a cross-sectional perspective. Depending on the epitaxial growth process conditions, the thickness of the top ridge 82, sidewall 84 and bottom 86 may be different and could be purposefully tuned to achieve optimum mechanical, optical and electrical performances.
  • A 3-D TFSC fabrication process in accordance with the disclosed subject matter may comprise the following major steps:
  • (1) Template fabrication: 3-D inverted pyramidal patterns/structures are etched from a silicon wafer front surface and into the bulk silicon to form a silicon template. The structured silicon template is then used in the formation of a 3-D TFSS. The template may be capable of being used numerous times to fabricate numerous 3-D TFSS before being reconditioned or recycled. The template may be reused for as long as it remains relatively free of dislocations and/or for as long as it maintains an acceptable pyramid pattern having a pyramidal size and sidewall surface conditions within acceptable control limits (e.g. as gauged by in-line metrology);
  • (2) 3-D TFSS (substrate) fabrication: After forming low and high porosity porous silicon layers (or a graded porosity porous silicon layer) on the template front surface, epitaxial silicon is grown from the front template surface. In-situ emitter and base doping may be conducted during epitaxial silicon growth. The 3-D TFSS is then formed by releasing/separating the epitaxial silicon layer from the template. The released 3-D TFSS goes through subsequent solar cell processing steps and the template may be re-used after proper cleaning and optional reconditioning;
  • (3) Cell fabrication process: In the process module, the 3-D TFSS is optionally doped to form emitter and base regions if the doping is not conducted prior to the epitaxial layer release. Then a surface passivation layer and an antireflection layer are deposited, optional contact openings are formed, and metallization steps are conducted to form a 3-D TFSC; and
  • (4) Module assembly and integration process: After proper testing and inspection, the fabricated 3-D TFSC may then optionally be mechanically reinforced, interconnected, encapsulated and mounted in the panels.
  • FIG. 5 is an embodiment of a process flow depicting major fabrication process steps for manufacturing an inverted pyramidal silicon template and three-dimensional thin-film silicon substrate. The silicon template making process starts with step 105 beginning with a mono-crystalline (100) silicon wafer. The starting wafer may be in circular or square shapes. Step 110 involves forming a thin hard masking layer on the exposed wafer surfaces. The hard masking layer is used to mask the silicon surface areas that do not need to be etched in the later steps—the surface areas that will become the top surface of the template. The proper hard masking layer includes, but is not limited to, thermally grown silicon oxide and low-pressure vapor phase deposited (LPCVD) silicon nitride. Step 115 involves a photolithography step, which consists of photoresist coating, baking, UV light exposure over a photomask, post baking, photoresist developing, wafer cleaning and drying. After this step, the pattern on the photomask depicting an array or a staggered pattern of inverted pyramidal base openings, will be transferred to the photoresist layer. The patterned photoresist layer is used as a soft masking layer for the hard masking layer etching of step 120. Step 120 involves further transferring the photoresist pattern to the hard masking layer layered underneath by chemical etching, such as etching a thin silicon oxide layer with buffered HF solution. Other wet etching methods and dry etching methods as known in semiconductor and MEMS wafer processing may also be used. In step 125 the remaining soft masking layer, i.e. the photoresist layer, is removed and the wafer is cleaned. Examples of photoresist removal process include wet methods, such as using acetone or piranha solution (a mixture of sulfuric acid and hydrogen peroxide), or dry methods such as oxygen plasma ashing. In step 130 the wafers are batch loaded in an anisotropic silicon wet etchant such as KOH solution. The typical etch temperature is in the range of 50° C. to 80° C. and etch rate is about 0.2 um/min to 1 um/min. TMAH (tetramethylammonium hydroxide) is an alternative anisotropic silicon etching chemical. The KOH or TMAH silicon etch rate depends upon the orientations to crystalline silicon planes. The (111) family of crystallographic planes are etched at a very slow rate and are normally “stop” planes for the anisotropic etching of a (100) silicon wafer with patterned hard mask. As a result, the intersection of two (111) planes or a (111) plane with a bottom (100) plane produce anisotropic etching structures for (100) silicon wafers after a time-controlled etch. Examples of these structures include V-grooves and pyramidal cavities with sharp tip cavity bottom (where (111) planes meet) or a small flat cavity bottom (a remaining (100) plane).
  • Advantages of the inverted pyramidal template of the present disclosure include: (i) the template KOH etching process is more convenient to control and has a low manufacturing cost compared to other silicon etching methods, such as RIE dry etching; (ii) the (111) plane dominant 3-D structure provides good porous silicon forming uniformity and epitaxial silicon quality due to the (111) plane sidewall smoothness and predictable and repeatable epitaxial growth rates; (iii) the dimensions, shape, and profiles of the inverted pyramidal structure on the template may be maintained conveniently and restored easily by a short KOH etching if needed after multiple template reuse cycles.
  • In step 135 of FIG. 5 the remaining hard masking layer is removed, by HF solution in the case the hard masking layer is silicon dioxide. Next, the wafer may be cleaned in standard SC1 (mixture of NH4OH and H2O2) and SC2 (mixture of HCL and H2O2) wafer wet cleaning solutions followed by a thorough deionized wafer rinsing and hot N2 drying. The disclosed process results in a silicon template with inverted pyramidal cavities.
  • Step 140 of FIG. 5 marks the beginning of a silicon template re-use cycle. In step 145, a porous silicon layer is formed by electrochemical HF etching on the silicon template front surfaces. The porous silicon layer is to be used as a sacrificial layer for epitaxial silicon layer release. The porous silicon layer preferably consists of two thin layers with different porosities. The first thin porous silicon layer is a top layer and is formed first from the bulk silicon wafer. The first thin layer preferably has a lower porosity of 10%˜35%. The second thin porous silicon layer is directly grown from the bulk silicon and is underneath the first thin layer of porous silicon. The 2nd thin porous silicon layer preferably has a higher porosity in the range of 40%˜80%. The top porous silicon layer is used as a crystalline seed layer for high quality epitaxial silicon growth and the bottom underneath higher porosity porous silicon layer is used for facilitating TFSS release due to its less dense physical connections between the epitaxial and bulk silicon interfaces and its weak mechanical strength. Alternatively, a single porous silicon layer with a progressively increased or graded porosity from top to bottom may also be used. In this case, the top portion of the porous silicon layer has a low porosity of 10% to 35% and the lower portion of the porous silicon layer has a high porosity of 40% to 80%. In step 150, before the epitaxial silicon growth, the wafer may be baked in a high temperature (at 950° C. to 1150° C.) hydrogen environment within the epitaxial silicon deposition reactor in order to form coalesced structures with relatively large voids within the higher-porosity porous silicon layer (or portion of a single layer) while forming a continuous surface seed layer of crystalline silicon on the lower-porosity porous silicon layer (or portion of a single layer). In step 155, a mono-crystalline silicon epitaxial layer is deposited on the front side only. The bulk base of the epitaxial layer is p-type, boron (B2H6) doped. The thickness of the epitaxial layer is preferably in the range of 5 um to 60 um. In step 160, prior to the release of the epitaxial silicon layer, an encompassing border trench may be made on the peripheral of the active wafer area to facilitate the release of the TFSS. The encompassing trenches may be formed by controlled laser cutting and their depths are preferably in the range of 5 um to 100 um. The trenches define the boundary of the 3-D TFSS to be released and allow initiation of the release from the trenched region. The remaining epitaxial silicon layer may be removed by mechanical grinding or polishing of the template edges. In step 165, the epitaxial layer of silicon is released and separated from the silicon template. The released epitaxial silicon layer is referred to as a 3-D thin film silicon substrate (3-D TFSS). The epitaxial layer release methods disclosed in U.S. patent application Ser. No. 12/473,811 entitled, SUBSTRATE RELEASE METHODS AND APPARATUS are hereby incorporated by reference. The 3-D TFSS may be released in an ultrasonic DI-water bath. Or in another release method, the 3-D TFSS may be released by direct pulling with wafer backside and top epitaxial vacuum chucked. In another release method, the epitaxial layer is released by direct pulling with wafer backside and top epitaxial vacuum chucked. Using this method the porous silicon layer may be fully or partially fractured. The chucks may use either electrostatic or vacuum chucking to secure the wafer. The wafer is first placed on bottom wafer chuck with TFSS substrate facing upwards. A bottom chuck secures the template side of wafer, and the top wafer chuck is gently lowered and secures TFSS substrate side of the wafer. The activated pulling mechanism lifts top chuck upwards, and the movement may be guided evenly by slider rails.
  • In step 170, the released 3-D TFSS backside surface is cleaned by short silicon etching using KOH or TMAH solutions to remove the silicon debris and fully or partially remove the quasi-mono-crystalline silicon (QMS) layer. After removal of the epitaxial silicon layer from the template, the template is cleaned in step 175 by using diluted HF and diluted wet silicon etch solution, such as TMAH and/or KOH to remove the remaining porous silicon layers and silicon particles. Then the template is further cleaned by conventional silicon wafer cleaning methods, such as SC1 and SC2 wet cleaning to removal possible organic and metallic contaminations. Finally, after proper rinsing with DI water and N2 drying, the template is ready for another re-use cycle.
  • FIGS. 6A through 6D depict cross-sectional drawings illustrating a process flow for manufacturing an inverted pyramidal silicon template.
  • FIG. 6A illustrates mono-crystalline (100) silicon wafer 201 after a hard mask deposition and soft mask patterning. The thickness of silicon wafer 201 is in the range of 0.5 mm to 2 mm. The disclosed template forming process may be applied to a polished or non-polished surface. Alternatively, silicon wafers with square or quasi-square shapes may also be used. Front hard mask layer 202 and backside hard mask layer 203 are thermally grown silicon oxide layers having a thickness in the range of 0.5 um to 1.5 um. The oxide on the wafer edge is not shown. Photolithographic defined or screen-printed photoresist pattern 204 is applied on the front wafer surface. The photolithography process includes photoresist coating, baking, exposure, developing and post baking. The photoresist pattern consists of staggered pattern of large inverted pyramidal base opening 205 and small inverted pyramidal base opening 206. However, the photoresist pattern may also be an array of equally sized inverted pyramidal base openings. The inverted pyramidal base opening patterns should be precisely aligned to the wafer <100> direction on the front surface.
  • FIG. 6B illustrates wafer 212 after the inverted pyramidal base opening pattern is transferred to the hard masking layer, front oxide layer 214. The pattern transferring from the photoresist layer to the oxide layer is achieved by controlled oxide etching in a buffered HF solution. During HF wet etching, backside and edge oxide layer 216 is protected and keeps an original thickness. The oxide pattern on the front side of wafer 212 then consists of a staggered pattern of large inverted pyramidal base opening 217 and small inverted pyramidal base opening 218 that are aligned to the <100> crystallographic directions on the front lateral plane. After the pattern transfer, the remaining photoresist layer is removed by wet or dry photoresist removal methods. Therefore, the photoresist layer is not shown in FIG. 6B.
  • FIG. 6C illustrates wafer 220 after a silicon anisotropic etching step. Large inverted pyramidal cavity 227 and small inverted pyramidal cavity 228 are formed after a timed-controlled silicon etch in a KOH or TMAH solution. The etching temperature is preferably in the range of 50° C. to 80° C. During the silicon etching, the wafer backside and edge surfaces are fully protected by un-patterned oxide layer 226. The KOH etch may be timely controlled so that a certain inverted pyramidal cavity depth may be reached. Alternatively, the KOH etching may be self-terminated when the (111) walls forming the inverted pyramidal cavity meet at the cavity bottom/apex. After the KOH etching, remaining oxide layers 224 and 226 are thinner than before the etching because the oxide is also etched in the KOH or TMAH solution, but with a much slower etch rate than the silicon etch.
  • FIG. 6D illustrates inverted pyramidal silicon template 232 after removing the remaining oxide layer in a diluted HF solution followed by standard wafer cleaning in SC2 and SC2, DI water rinsing, and N2 drying. The width of the ridges forming the base openings of the inverted pyramidal cavities, 234 is in the range of 0 to 20 um. The template now comprises a staggered pattern made of large inverted pyramidal cavity 236 and an adjacent small inverted pyramidal cavity 238. The angle between the cavity sidewalls and top surface ridges aligned along the (100) crystallographic plane, the lateral plane, is 54.7°.
  • FIGS. 6E through 6G depict cross-sectional drawings illustrating a process flow for manufacturing a 3-D TFSS using an inverted pyramidal silicon template.
  • As shown is FIG. 6E, porous silicon layer 244 is formed by electrochemical HF etching on the front surface of silicon template 242. The porous silicon is used as a sacrificial layer and may consist of two thin layers with different porosities. The first thin porous silicon layer is on the top and is formed first from silicon wafer 242. The first thin layer preferably has a lower porosity of 10%˜35%. The second thin porous silicon layer is formed directly from silicon wafer 242 and is underneath the first thin layer of porous silicon. The second thin porous silicon layer preferably has a higher porosity in the range of 40%˜80%. The lower porosity porous silicon layer on top is used as a crystalline seed layer for high quality epitaxial silicon growth and the underneath higher porosity porous silicon layer is used for facilitating TFSS releasing due to its less density physical connections between the epitaxial and bulk silicon interfaces and its weak mechanical strength. Alternatively, a single porosity release layer with a progressively increased or graded porosity from top to bottom may also be used. In this case, the top portion of the porous silicon layer has a low porosity of 10% to 35% and the lower portion of the porous silicon layer has a high porosity of 40% to 80%.
  • FIG. 6F illustrates silicon template 252 after a thin layer of epitaxial silicon layer growth. In a batch process, after short hydrogen annealing in a temperature range of 950° C. to 1150° C., mono-crystalline silicon epitaxial layer 256 is deposited on porous silicon layer 254 located on the front side of silicon template 252. Mono-crystalline silicon epitaxial layer 256 may p-type, boron (B2H6) doped during the growth. The thickness of the epitaxial layer is preferably in the range of 5 um to 60 um. It is known that crystallographic orientation is one of the factors that affect the epitaxial growth rate. In the presence of a (100) and a (111) plane on the template, the epitaxial growth rate on the (100) plane is faster than on the (111) plane. The growth rate difference could be as large as 20%. Since the template ridge top surface is a (100) plane and the pyramid cavity sidewalls are (111) planes, the epitaxial silicon layer thickness at the top ridge region 258 is generally thicker than the sidewall regions 259. In addition, since the template top ridge surfaces are more exposed to the gas flow than the wall surfaces defining the inverted pyramidal cavities during the epitaxial growth process, the top portions (forming the base openings of the inverted pyramidal cavities) of the epitaxial layer of the pyramid structure are thicker than the bottom portions (forming the walls defining the inverted pyramidal cavities). This gas transportation limited growth rate differential could be enhanced by tuning gas pressures, flow rates, chamber sizes, and other physical parameters of the epitaxial process. Furthermore, the higher epitaxial growth rates at top portions of the pyramid cavities also generate faceting around the ridge areas. The faceting effect may changes the square opening patterns into polygon opening patterns as shown in FIG. 4A. The combined thickness increases (overgrowth) and shape changes generate a unique structure that resembles a prism-grid structure. As a result, the 3-D TFSS of the present disclosure provides the following unique features:
  • (1) The thickness increase and resulting polygon shape formed on the top surface of a 3-D TFSS provides significant enhancement to its mechanical rigidity and strength. The template top ridges correspond to the V-grooves of 3-D TFSS when viewed from the backside. When a 3-D TFSS is under a bending load, the V-groove areas have higher stress concentration than the sidewall areas. The increased thickness and the polygon shape at the top portion therefore enhance the mechanical handle-ability of the 3-D TFSS;
  • (2) The polygon shape and the faceting at its edges and corners provide better light-trapping effects than a square shaped pyramid structure;
  • (3) After a certain amount of epitaxial growth from the pyramid template, the top surface profile at the ridges may be sharpener than the original template ridge surface profile. The top surface ridge sharpening effect may increase the optical trapping and/or electrical efficiencies; and
  • (4) After the epitaxial growth from the pyramid template, the TFSS surfaces are made of crystallographic planes. When the top surface of the epitaxial layer (before or after the 3-D TFSS release) is exposed to a diluted anisotropic etchant, such as KOH, for a short time, the top surfaces can be further sharpened to increase the optical and electrical efficiencies of the resulting solar cells. Thus, the disclosed subject matter takes advantage of the higher etch rates of convex crystallographic edges than concave edges in anisotropic silicon etching.
  • FIG. 6G illustrates 3-D TFSS 264 that is released from silicon template 262. Prior to the release, an encompassing border trench, not shown in the figure, may be made on the peripheral of the active wafer area to facilitate the release. The encompassing trenches are formed by controlled laser cutting and their depths are preferably in the range of 5 um to 100 um. The trenches define the boundary of the 3-D TFSS to be released and allow initiation of the release from the trenched region. Alternatively, the thin epitaxial layer on the template edge could be removed first by mechanical grinding and then defining the shape of the 3-D TFSS by laser trimming after it has been released from the template. The released epitaxial layer, referred to as 3-D TFSS 264 is physically separate from silicon template 262. The epitaxial layer release methods disclosed in U.S. patent application Ser. No. 12/473,811 entitled, SUBSTRATE RELEASE METHODS AND APPARATUS are hereby incorporated by reference. The epitaxial layer may be released in an ultrasonic DI-water bath. In another release method, the epitaxial layer is released by direct pulling with wafer backside and top epitaxial vacuum chucked. In another release method, the epitaxial layer is released by direct pulling with wafer backside and top epitaxial vacuum chucked. Using this method the porous silicon layer may be fully or partially fractured. The chucks may use either electrostatic or vacuum chucking to secure the wafer. The wafer is first placed on bottom wafer chuck with TFSS substrate facing upwards. A bottom chuck secures the template side of wafer, and the top wafer chuck is gently lowered and secures TFSS substrate side of the wafer. The activated pulling mechanism lifts top chuck upwards, and the movement may be guided evenly by slider rails.
  • After removal of the epitaxial silicon layer from the template, the template is cleaned by using diluted HF and diluted wet silicon etch solution, such as TMAH and/or KOH to remove the remaining porous silicon layers and silicon particles. The template may then be further cleaned by conventional silicon wafer cleaning methods, such as SC1 and/or SC2 wet cleaning to removal possible organic and metallic contaminations. Finally, after proper rinsing with DI water and drying, the template is ready for another re-use cycle. Next, the released TFSS backside surface is cleaned by short silicon etching using KOH or TMAH solutions to remove the silicon debris and fully or partially remove the QMS layer.
  • One of the key factors in the template structural design is the use of inverted pyramidal cavity structures instead of non-inverted pyramidal pillar structures. In the present disclosure, the corners/edges where (111) planes meet are “concave”. In other words, the (111) planes form the sidewalls of pyramidal cavities. In comparison, there have been reported “convex” cases, where the (111) planes form the sidewalls of pyramidal pillars. An inverted-pyramid cavity structure with “concave” corners is preferable over a non-inverted pyramid pillar structure with “convex” corners because of the following reasons:
  • (1) The silicon anisotropic etching of inverted-pyramidal cavities self-terminates when two (111) plane meet, while in the non-inverted-pyramid pillars case, the etching continues with higher etch rate at the convex edges where two (111) planes meet. Therefore, from the manufacturability perspective, the former case is preferred because of its convenient process control;
  • (2) Because inverted pyramidal cavities have only (111) planes for sidewall and (100) planes for top surface, an epitaxial growth from these crystallographic surfaces have better geometry and process control than the non-inverted-pyramidal pillar case;
  • (3) Because the inverted pyramidal cavities consist of concave (111) plane intersections, the silicon template can be conveniently cleaned and re-conditioned in a short time by anisotropic etching after each re-use cycle or once every several reuse cycles.
  • The mechanical handle-ability of the 3-D TFSS is another key factor in the template structure design.
  • FIG. 7 illustrates a template having an array, or non-staggered, pattern inverted pyramidal cavities. Pyramidal cavities 302 all have the same base opening size and thus the same cavity depth, and are arranged in an array. Ridges 304 form lateral rows and columns between the inverted pyramidal cavities. These ridges may be referred to as frames, grids, space lines, or ridge lines. Ridges 304 are aligned to the (100) crystallographic direction of the template. The straight long ridges between the cavities on the template will be transferred to the backside of a corresponding 3-D TFSS made in accordance with the disclosed subject matter in long V-groove shapes. The V-grooves on the 3-D TFSS are aligned to the (100) crystallographic directions. Thus when the 3-D TFSS experiences in-plane or out-of-plane bending or twisting, stress concentration and bending moment on the long V-grooves are higher than the inverted pyramidal cavity sidewalls. Therefore, the V-grooves behave like an out-of-plane bending/rotation axis, resulting in a very flexible substrate. Additionally, because the V-grooves are aligned in the <100> direction, once a micro fracturing is initiated from either the edge or the middle of the 3-D TFSS, it propagates easily along the V-grooves and causes the TFSS to crack. As a result, an advantage of this type of TFSS is mechanical flexibility and a disadvantage is that the TFSS is relatively weak. Therefore one of the key factors in making TFSS with reliable mechanical rigidity and strength is to avoid long and straight ridges, shown as ridges 304, on the template.
  • FIG. 8A through 8D illustrate four examples of template layout patterns of staggered inverted pyramidal cavity designs. An advantage of one embodiment of the present disclosure to increase the mechanical strength of a 3-D TFSS through staggered patterns of inverted pyramidal cavities. Staggered patterns avoid long V-groove on the 3-D TFSS because staggered patterns limit the length of the ridges forming the base openings of the inverted pyramidal cavities (in both rows and columns as shown form a top view of the template). The staggered pattern designs of the present disclosure are not limited to the described embodiments but instead include any staggered pattern of inverted pyramidal cavities.
  • FIG. 8A shows a staggered inverted pyramidal cavity layout pattern that consists of two cavity sizes. Small cavity 324 has a base opening half the size of the base opening of large cavity 322. In this case, the V-groove length on the corresponding 3-D TFSS will be about 1.5 times as long as the length of one side of the base opening of large cavity 322. Additionally, each ridge on template 320 and each V-groove on the formed 3-D TFSS is intersected at twice (each at one third the length of the ridge or V-groove) by neighboring perpendicular ridges or V-grooves. As a result, the intersection of V-grooves of a 3-D TFSS made with this staggered pattern are uniformly spread giving this staggered pattern good mechanical handle-ability and uniform mechanical strength across its lateral plane.
  • FIG. 8B presents another alternative staggered inverted pyramidal cavity layout pattern that consists of two cavity sizes. Small cavity 344 has a base opening that is a fraction of the base opening of large cavity 342. Shown, the ratio between the two cavity sizes is between 1 and 2. This cavity layout pattern is a general pattern of the layout shown in FIG. 8A. In this design, the V-groove length on the formed 3-D TFSS is about 1 to 2 times of the cavity length. Furthermore, alternatively the inverted pyramidal cavity layouts may include different inverted pyramidal cavity shapes (such as rectangular) and staggered inverted pyramidal cavities with more than two sizes.
  • FIG. 8C presents an alternative staggered inverted pyramidal cavity layout that consists of rectangular cavity 362 and square cavity 364. Square cavity 364 has a base opening size equal to the width of the base opening of rectangular cavity 362. Each square cavity is surrounded by two pairs of perpendicularly arranged rectangular cavities. Thus, the length of the V-grooves on the formed 3-D TFSS will be about the length of the base opening of rectangular cavity 362 plus twice the width of rectangular cavity 362.
  • FIG. 8D presents yet another alternative staggered inverted pyramidal cavity layout that consists of one size of inverted pyramidal cavities. Each rectangular cavity 382 has the same size and is arranged in a staggered perpendicular format. In this case, the length of the V-grooves on the 3-D TFSS will be about the length of the base opening of rectangular cavity 382 plus the width of rectangular cavity 382 on the template.
  • FIG. 9 is a process flow depicting major fabrication process steps of an exemplary method for making a 3-D TFSC using the released 3-D TFSS, in a block diagram 400. The 3-D TFSC fabrication process starts in Step 405 with a p-type silicon 3-D thin film substrate (3-D TFSS) having inverted pyramidal ridges on a top surface plane and inverted pyramidal apex regions on a bottom surface. Step 410 involves selectively coating the top ridge areas of the 3-D TFSS with an n-type (such as phosphorus) liquid dopant. Viewed from a top perspective, the coated areas form long lines that are connected at cell edges to form fingers and busbars patterns as in regular flat silicon based solar cells. However, the doped fingers and busbars lines on the top ridge areas may not be straight lines if the inverted pyramidal cavity pattern layout is staggered. The selective liquid dopant coating may be done by aligned screen printing, roller coating, or direct inkjet dispense. After the coating, the coated layer is dried and cured (e.g., by thermal curing at 250° C. to 400° C. or UV irradiation). Step 415 involves selectively coating the bottom side of the 3-D TFSS with p-type (such as boron) liquid dopant. The liquid dopant is selectively coated to the inverted pyramidal apex regions on the bottom surface of the 3-D TFSS by aligned or self-aligned roller coating, screen printing, or dip-coating methods. After the coating, the coated layer is dried and cured (e.g., by thermal curing at 250° C. to 400° C. or UV irradiation). Step 420 involves forming n++ selective emitter and p++ base diffusion contact regions by thermal annealing that may be done in a diffusion furnace at 800° C. to 950° C., where the emitter and base are concurrently formed. Step 425 involves a surface passivation process. In one embodiment, a thermal oxide layer of 10 to 200 nanometers is grown at 800° C. to 950° C. In another embodiment, PECVD silicon nitride thin layer could also be used as a surface passivation layer. The surface passivation layers are applied on both the top and bottom surfaces of the 3-D TFSS. Step 430 involves making local openings on the emitter and base contact regions by selective passivation layer chemical etching, such as by applying HF-based etchant by inkjet dispensing. The contact openings are made smaller than the dopant diffused areas to avoid shunting after metallization. Step 435 involves self-aligned metallization. The emitter and base metallized regions are concurrently formed using selective electroplating and/or electroless plating to form single or multilayer high-conductivity metallized regions of silver, aluminum, nickel, titanium, cobalt, or tantalum. For instance, the plated metal stack may include a thin (50 to 500 nanometers) barrier and adhesion layer (made of nickel) followed by a relatively thick (2 to 15 microns) layer of high-conductivity metal (silver, copper, or aluminum). In another embodiment, the metal contacts may be formed by the aligned inkjet dispense or screen printing of metal particles, such as silver nano-particles in a liquid solution or paste. Step 445 involves mounting the 3-D thin film solar cell (3-D TFSC) onto a plate with a metal surface or metal lines to interconnect the base contacts. The metal plate preferably has a reflective surface to serve as a rear reflection mirror. The mounting could direct metal-to-metal fusion or with a highly conductive adhesive. Step 450 involves packaging the fabricated solar cell into a solar module assembly. In this manufacturing module, the emitter and base metal contacts are interconnected among the solar cells to form the power output connections of a solar panel.
  • FIG. 10A through 10D illustrate partial cross-sectional views of a process flow for manufacturing a three-dimensional thin-film solar cell according to the process steps of FIG. 9.
  • FIG. 10A illustrates 3-D TFSS 512 after the selective emitter 514 and base 516 coating steps. The liquid dopants, such as phosphorus-contained liquid for emitter and boron-contained liquid for base, are dried and cured after their selective coatings.
  • FIG. 10B illustrates 3-D TFSS 522 after the selective emitter 524 and base 526 diffusion and passivation layer 528 coating steps. The emitter and base diffusion regions are concurrently formed in a diffusion furnace with a controlled time and temperature. The actual doping profile may be extended towards to the sidewalls near the contact regions. After the emitter and base diffusion, the remaining dopant material and dielectric layers formed during the diffusion process are removed. A passivation layer is then applied on both the front and base surfaces of the 3-D TFSS. Examples of the passivation layer include thermally grown silicon dioxide and PECVD silicon nitride.
  • FIG. 10C illustrates 3-D TFSS 532 after the selective emitter 534 and base 536 contact openings are formed. The local openings on the emitter and base contact regions are made by selective passivation layer chemical etching, such as by applying HF-based etchant by inkjet dispensing. The contact openings are made smaller than the dopant diffused areas to avoid shunting after metallization. Portions of the top and bottom surface of the 3-D TFSS remain coated with passivation layer 538.
  • FIG. 10D illustrates a completed 3-D TFSS 542 after all the cell fabrication process disclosed in FIG. 9. The emitter metal 544 and base metal 546 are electroplated or electroless plated single or multilayer high-conductivity metallized regions (silver, aluminum, nickel, titanium, cobalt, or tantalum). Alternatively, the metal layer could be inkjet dispensed. The emitter metal contacts are formed in continuous metal lines, i.e. fingers and busbars on the 3-D TFSC top surface. However, because the base metal contacts have been formed on the inverted pyramidal apex regions on the backside of the 3-D TFSS, the base metal contacts are isolated regions. It is to be noted, the front surface passivation layer may also serve as the antireflection layer given a controlled thickness. In one embodiment, the 3-D TFSS after metallization is mounted on a supporting non-metal plate 550 with continuous metal surface or patterned metal lines 552 to form the base interconnects of the 3-D TFSC. Portions of the top and bottom surface of the 3-D TFSS remain coated with passivation layer 548.
  • The 3-D TFSS and cell process flows as shown is FIGS. 5, 6, 9 and 10 may be applied to substrate doping polarity of n-type for p-type selective emitters. Thus the 3-D TFSS base could be either n-type or p-type with corresponding emitter polarities.
  • The foregoing description of the preferred embodiments is provided to enable any person skilled in the art to make or use the claimed subject matter. Various modifications to these embodiments will be readily apparent to those skilled in the art, and the generic principles defined herein may be applied to other embodiments without the use of the innovative faculty. Thus, the claimed subject matter is not intended to be limited to the embodiments shown herein but is to be accorded the widest scope consistent with the principles and novel features disclosed herein.

Claims (20)

What is claimed is:
1. A three-dimensional solar cell, comprising:
a plurality of ridges on the surface of a semiconductor substrate defining an opening of an inverted pyramidal cavity;
a plurality of walls defining said inverted pyramidal cavity;
emitter metallization regions on said ridges forming the opening of said inverted pyramidal cavity; and
base metallization regions on a region forming an apex of said inverted pyramidal cavity.
2. The solar cell of claim 1, wherein said semiconductor substrate is a mono-crystalline silicon substrate.
3. The solar cell of claim 1, wherein said base metallization regions are mounted on a metal surface of a carrier plate to form base interconnects.
4. The solar cell of claim 1, wherein a diagonal dimension defining said base opening of said inverted pyramidal cavity is in the range of 1 um to 1 mm.
5. The solar cell of claim 1, wherein said semiconductor substrate comprises a plurality of said inverted pyramidal cavities.
6. The solar cell of claim 5, wherein said plurality of said inverted pyramidal cavities have a plurality of pyramidal shapes.
7. The solar cell of claim 5, wherein said plurality of said inverted pyramidal cavities have a plurality of pyramidal sizes.
8. The solar cell of claim 5, wherein said ridges forming the opening of each of said inverted pyramidal cavities have a width ranging from 10 um to 100 um.
9. The solar cell of claim 5, wherein said apex of said inverted pyramidal cavities further is substantially planar.
10. The solar cell of claim 5, wherein said plurality of said inverted pyramidal cavities are arranged in arrays on the silicon substrate.
11. The solar cell of claim 5, wherein said plurality of said inverted pyramidal cavities are arranged in a staggered pattern on the silicon substrate.
12. The solar cell of claim 5, wherein said plurality of said inverted pyramidal cavities comprise:
a first plurality of inverted pyramidal cavities; and
a second plurality of inverted pyramidal cavities,
wherein a diagonal dimension defining said base opening of said second plurality of inverted pyramidal cavities is smaller than a diagonal dimension defining said base opening of said first plurality of inverted pyramidal cavities.
13. The solar cell of claim 12, wherein said plurality of said inverted pyramidal cavities are arranged in a staggered pattern on the silicon substrate.
14. The solar cell of claim 12, wherein said apex of said inverted pyramidal cavities of said first plurality of inverted pyramidal cavities is substantially planar.
15. A method for fabrication of a three-dimensional thin-film solar cell from an inverted pyramidal three-dimensional thin-film silicon substrate, the method comprising:
doping ridges forming an opening of an inverted pyramidal cavity on a first side of said silicon substrate with a n-type dopant to form an emitter region;
doping a region forming an apex of said inverted pyramidal cavity on a second side of said silicon substrate with a p-type dopant to form a base region;
adding a surface passivation layer to said first side and said second side of said silicon substrate;
selectively etching said passivation layer on said emitter region and said base region to form contact openings; and
concurrently metallizing said emitter region and said base region.
16. The method of claim 15, wherein said step of doping ridges forming an opening of an inverted pyramidal cavity further comprises:
selectively coating ridges forming an opening of an inverted pyramidal cavity on a first side of said silicon substrate with a n-type dopant; and
forming an emitter region on said ridges forming an opening according to a thermal annealing process.
17. The method of claim 15, wherein said step of doping a region forming an apex of said inverted pyramidal cavity further comprises:
selectively coating a region forming an apex of said inverted pyramidal cavity on a second side of said silicon substrate with a p-type dopant; and
forming a base region on said region forming an apex according to a thermal annealing process.
18. The method of claim 15, wherein said step of adding a surface passivation layer to said first side and said second side of said silicon substrate comprises adding a thermally grown silicon dioxide.
19. The method of claim 15, further comprising the step of mounting said base region onto a metal surface of a carrier plate to form metal base interconnects.
20. A method for fabrication of a three-dimensional thin-film solar cell from an inverted pyramidal three-dimensional thin-film silicon substrate, the method comprising:
doping ridges forming an opening of an inverted pyramidal cavity on a first side of said silicon substrate with a p-type dopant to form a base region;
doping a region forming an apex of said inverted pyramidal cavity on a second side of said silicon substrate with a n-type dopant to form an emitter region;
adding a surface passivation layer to said first side and said second side of said silicon substrate;
selectively etching said passivation layer on said emitter region and said base region to form contact openings; and
concurrently metallizing said emitter region and said base region.
US13/657,745 2008-11-13 2012-10-22 High-Efficiency Thin-Film Solar Cells Abandoned US20130284255A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US13/657,745 US20130284255A1 (en) 2008-11-13 2012-10-22 High-Efficiency Thin-Film Solar Cells

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11437808P 2008-11-13 2008-11-13
US12/618,668 US8294026B2 (en) 2008-11-13 2009-11-13 High-efficiency thin-film solar cells
US13/657,745 US20130284255A1 (en) 2008-11-13 2012-10-22 High-Efficiency Thin-Film Solar Cells

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US12/618,668 Continuation US8294026B2 (en) 2008-11-13 2009-11-13 High-efficiency thin-film solar cells

Publications (1)

Publication Number Publication Date
US20130284255A1 true US20130284255A1 (en) 2013-10-31

Family

ID=42170752

Family Applications (6)

Application Number Title Priority Date Filing Date
US12/618,668 Expired - Fee Related US8294026B2 (en) 2008-11-13 2009-11-13 High-efficiency thin-film solar cells
US12/618,663 Abandoned US20100148319A1 (en) 2008-11-13 2009-11-13 Substrates for High-Efficiency Thin-Film Solar Cells Based on Crystalline Templates
US12/618,649 Expired - Fee Related US8168465B2 (en) 2006-10-09 2009-11-13 Three-dimensional semiconductor template for making high efficiency thin-film solar cells
US13/345,935 Expired - Fee Related US8664737B2 (en) 2006-10-09 2012-01-09 Three-dimensional semiconductor template for making high efficiency thin-film solar cells
US13/657,745 Abandoned US20130284255A1 (en) 2008-11-13 2012-10-22 High-Efficiency Thin-Film Solar Cells
US14/195,748 Expired - Fee Related US9590035B2 (en) 2008-11-13 2014-03-03 Three-dimensional semiconductor template for making high efficiency solar cells

Family Applications Before (4)

Application Number Title Priority Date Filing Date
US12/618,668 Expired - Fee Related US8294026B2 (en) 2008-11-13 2009-11-13 High-efficiency thin-film solar cells
US12/618,663 Abandoned US20100148319A1 (en) 2008-11-13 2009-11-13 Substrates for High-Efficiency Thin-Film Solar Cells Based on Crystalline Templates
US12/618,649 Expired - Fee Related US8168465B2 (en) 2006-10-09 2009-11-13 Three-dimensional semiconductor template for making high efficiency thin-film solar cells
US13/345,935 Expired - Fee Related US8664737B2 (en) 2006-10-09 2012-01-09 Three-dimensional semiconductor template for making high efficiency thin-film solar cells

Family Applications After (1)

Application Number Title Priority Date Filing Date
US14/195,748 Expired - Fee Related US9590035B2 (en) 2008-11-13 2014-03-03 Three-dimensional semiconductor template for making high efficiency solar cells

Country Status (3)

Country Link
US (6) US8294026B2 (en)
EP (1) EP2356675B1 (en)
WO (1) WO2010057060A2 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140166092A1 (en) * 2012-12-14 2014-06-19 Robert Bosch Gmbh Method of Fabricating Nanocone Texture on Glass and Transparent Conductors
US9590035B2 (en) 2008-11-13 2017-03-07 Solexel, Inc. Three-dimensional semiconductor template for making high efficiency solar cells

Families Citing this family (54)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8399331B2 (en) 2007-10-06 2013-03-19 Solexel Laser processing for high-efficiency thin crystalline silicon solar cell fabrication
US8637340B2 (en) 2004-11-30 2014-01-28 Solexel, Inc. Patterning of silicon oxide layers using pulsed laser ablation
US20090107545A1 (en) 2006-10-09 2009-04-30 Soltaix, Inc. Template for pyramidal three-dimensional thin-film solar cell manufacturing and methods of use
US9508886B2 (en) 2007-10-06 2016-11-29 Solexel, Inc. Method for making a crystalline silicon solar cell substrate utilizing flat top laser beam
US8193076B2 (en) 2006-10-09 2012-06-05 Solexel, Inc. Method for releasing a thin semiconductor substrate from a reusable template
US9455362B2 (en) 2007-10-06 2016-09-27 Solexel, Inc. Laser irradiation aluminum doping for monocrystalline silicon substrates
US8288195B2 (en) * 2008-11-13 2012-10-16 Solexel, Inc. Method for fabricating a three-dimensional thin-film semiconductor substrate from a template
US9076642B2 (en) 2009-01-15 2015-07-07 Solexel, Inc. High-Throughput batch porous silicon manufacturing equipment design and processing methods
US8906218B2 (en) 2010-05-05 2014-12-09 Solexel, Inc. Apparatus and methods for uniformly forming porous semiconductor on a substrate
US9318644B2 (en) 2009-05-05 2016-04-19 Solexel, Inc. Ion implantation and annealing for thin film crystalline solar cells
CN102763226B (en) 2009-12-09 2016-01-27 速力斯公司 Use high-efficiency photovoltaic back of the body contact solar cell structure and the manufacture method of thin plate semiconductor
US8241940B2 (en) 2010-02-12 2012-08-14 Solexel, Inc. Double-sided reusable template for fabrication of semiconductor substrates for photovoltaic cell and microelectronics device manufacturing
US20110212622A1 (en) * 2010-02-26 2011-09-01 International Business Machines Corporation Surface texturing using a low quality dielectric layer
US10054336B2 (en) * 2010-03-03 2018-08-21 Robert M. M. Haddock Photovoltaic module mounting assembly
JP5734734B2 (en) * 2010-05-18 2015-06-17 ローム アンド ハース エレクトロニック マテリアルズ エルエルシーRohm and Haas Electronic Materials LLC Method for forming current tracks on a semiconductor
WO2011150397A2 (en) * 2010-05-27 2011-12-01 Solexel, Inc. Laser processing for high-efficiency thin crystalline silicon solar cell fabrication
WO2011156657A2 (en) 2010-06-09 2011-12-15 Solexel, Inc. High productivity thin film deposition method and system
US8563351B2 (en) * 2010-06-25 2013-10-22 Taiwan Semiconductor Manufacturing Co., Ltd. Method for manufacturing photovoltaic device
TW201200465A (en) * 2010-06-29 2012-01-01 Univ Nat Central Nano/micro-structure and fabrication method thereof
US8946547B2 (en) 2010-08-05 2015-02-03 Solexel, Inc. Backplane reinforcement and interconnects for solar cells
WO2012061436A2 (en) * 2010-11-01 2012-05-10 Intevac, Inc. Dry etching method of surface texture formation on silicon wafer
US9611652B2 (en) 2011-02-25 2017-04-04 Dustin M. M. Haddock Mounting device for building surfaces having elongated mounting slot
US9748414B2 (en) 2011-05-20 2017-08-29 Arthur R. Zingher Self-activated front surface bias for a solar cell
US20130137244A1 (en) * 2011-05-26 2013-05-30 Solexel, Inc. Method and apparatus for reconditioning a carrier wafer for reuse
CN103094374B (en) 2011-10-27 2016-03-09 清华大学 Solar cell
CN103137816B (en) 2011-12-03 2015-09-30 清华大学 Light-emitting diode
CN103137812B (en) 2011-12-03 2015-11-25 清华大学 Light-emitting diode
CN103137811B (en) 2011-12-03 2015-11-25 清华大学 Light-emitting diode
KR101654548B1 (en) * 2011-12-26 2016-09-06 솔렉셀, 인크. Systems and methods for enhanced light trapping in solar cells
WO2013101597A1 (en) 2011-12-29 2013-07-04 Haddock Dustin M M Mounting device for nail strip panels
CN104380470B (en) * 2012-05-18 2018-01-02 富士电机株式会社 Semiconductor device
US9105775B2 (en) 2012-06-28 2015-08-11 International Business Machines Corporation Textured multi-junction solar cell and fabrication method
US8940580B2 (en) 2012-06-28 2015-01-27 International Business Machines Corporation Textured multi-junction solar cell and fabrication method
US9293624B2 (en) * 2012-12-10 2016-03-22 Sunpower Corporation Methods for electroless plating of a solar cell metallization layer
EP2980861B1 (en) * 2013-03-28 2020-01-08 Panasonic Intellectual Property Management Co., Ltd. Solar cell
US10700225B2 (en) 2013-05-22 2020-06-30 W&Wsens Devices, Inc. Microstructure enhanced absorption photosensitive devices
US10468543B2 (en) 2013-05-22 2019-11-05 W&Wsens Devices, Inc. Microstructure enhanced absorption photosensitive devices
KR102593511B1 (en) 2013-05-22 2023-10-24 시-위안 왕 Microstructure enhanced absorption photosensitive devices
US11121271B2 (en) 2013-05-22 2021-09-14 W&WSens, Devices, Inc. Microstructure enhanced absorption photosensitive devices
US10446700B2 (en) * 2013-05-22 2019-10-15 W&Wsens Devices, Inc. Microstructure enhanced absorption photosensitive devices
US20150020863A1 (en) 2013-07-22 2015-01-22 International Business Machines Corporation Segmented thin film solar cells
JP6356476B2 (en) * 2014-04-28 2018-07-11 新光電気工業株式会社 Solar cell mounting substrate and solar cell module
US9758261B1 (en) * 2015-01-15 2017-09-12 Solaero Technologies Corp. Inverted metamorphic multijunction solar cell with lightweight laminate substrate
US10443896B2 (en) 2016-07-29 2019-10-15 Rmh Tech Llc Trapezoidal rib mounting bracket with flexible legs
US20180053662A1 (en) * 2016-08-17 2018-02-22 Globalfoundries Inc. Texturing of silicon surface with direct-self assembly patterning
US10640980B2 (en) 2016-10-31 2020-05-05 Rmh Tech Llc Metal panel electrical bonding clip
US10424441B2 (en) 2017-07-05 2019-09-24 Honeywell International Inc. Ultra-high charge density electrets and method of making same
MX2020004127A (en) 2017-10-09 2020-10-12 Rmh Tech Llc Rail assembly with invertible side-mount adapter for direct and indirect mounting applications.
SG11202009126TA (en) 2018-03-21 2020-10-29 Rmh Tech Llc Pv module mounting assembly with clamp/standoff arrangement
CN113412396A (en) 2018-12-14 2021-09-17 Rmh技术有限责任公司 Mounting device for nail belt panel
CN111217320B (en) * 2020-01-15 2023-08-04 中国科学院高能物理研究所 Method for growing cadmium sulfide nanowire array on surface of silicon wafer
CN115667642A (en) 2020-03-16 2023-01-31 Rmh技术有限责任公司 Mounting device for metal roof
US11041310B1 (en) 2020-03-17 2021-06-22 Rmh Tech Llc Mounting device for controlling uplift of a metal roof
CN114369360B (en) * 2021-11-29 2023-07-25 南方科技大学 High-stability three-dimensional braided state flexible electromagnetic shielding film and preparation method thereof

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06260670A (en) * 1993-03-05 1994-09-16 Hitachi Ltd Light confining structure for solar cell
US5704992A (en) * 1993-07-29 1998-01-06 Willeke; Gerhard Solar cell and method for manufacturing a solar cell
US20030017712A1 (en) * 1997-06-30 2003-01-23 Rolf Brendel Method for producing layered structures on a substrate, substrate and semiconductor components produced according to said method

Family Cites Families (191)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3204443A (en) * 1962-11-19 1965-09-07 Mesta Machine Co Rolling mill structures
US3309690A (en) * 1966-05-19 1967-03-14 Melville M Moffitt Helmet with detecting circuit mounted thereon for indicating approach to an energized powerline
US3662260A (en) * 1971-02-12 1972-05-09 Us Navy Electric field measuring instrument with probe for sensing three orthogonal components
US4007418A (en) * 1972-05-05 1977-02-08 Hanna Harry A Personal electrostatic safety monitor with induction plate sensing means
US3878459A (en) * 1972-05-05 1975-04-15 Harry A Hanna Electrostatic field detection method for determining whether apparatus is properly grounded
US3820095A (en) * 1972-09-13 1974-06-25 Nasa Electric field measuring and display system
US3786468A (en) * 1972-09-22 1974-01-15 M Moffitt Electric field proximity safety alarm
US4082570A (en) * 1976-02-09 1978-04-04 Semicon, Inc. High intensity solar energy converter
US4070206A (en) * 1976-05-20 1978-01-24 Rca Corporation Polycrystalline or amorphous semiconductor photovoltaic device having improved collection efficiency
US4043894A (en) * 1976-05-20 1977-08-23 Burroughs Corporation Electrochemical anodization fixture for semiconductor wafers
US4165252A (en) * 1976-08-30 1979-08-21 Burroughs Corporation Method for chemically treating a single side of a workpiece
US4348254A (en) * 1978-12-27 1982-09-07 Solarex Corporation Method of making solar cell
US4251679A (en) * 1979-03-16 1981-02-17 E-Cel Corporation Electromagnetic radiation transducer
US4277745A (en) * 1979-04-12 1981-07-07 Electric Power Research Institute, Inc. Personal electric field exposure monitor
US4249959A (en) * 1979-11-28 1981-02-10 Rca Corporation Solar cell construction
US4361950A (en) * 1980-03-24 1982-12-07 Exxon Research & Engineering Co. Method of making solar cell with wrap-around electrode
IT1225531B (en) * 1980-04-11 1990-11-22 Nave Gaetano ELECTRONIC CYCLE SENSE INDICATOR OF THE PHASES FOR THREE-PHASE ELECTRIC SYSTEMS
US4588993A (en) * 1980-11-26 1986-05-13 The United States Of America As Represented By The Secretary Of The Department Of Health And Human Services Broadband isotropic probe system for simultaneous measurement of complex E- and H-fields
US4427839A (en) * 1981-11-09 1984-01-24 General Electric Company Faceted low absorptance solar cell
US4479847A (en) * 1981-12-30 1984-10-30 California Institute Of Technology Equilibrium crystal growth from substrate confined liquid
US4409423A (en) * 1982-03-09 1983-10-11 The United States Of America As Represented By The Secretary Of The Air Force Hole matrix vertical junction solar cell
US4430519A (en) * 1982-05-28 1984-02-07 Amp Incorporated Electron beam welded photovoltaic cell interconnections
US4461922A (en) * 1983-02-14 1984-07-24 Atlantic Richfield Company Solar cell module
US4626613A (en) 1983-12-23 1986-12-02 Unisearch Limited Laser grooved solar cell
US4758792A (en) * 1985-09-13 1988-07-19 Southwest Research Institute Method for utilizing a spherical dipole probe for detecting faults in high voltage transmission line insulators
US4672023A (en) * 1985-10-21 1987-06-09 Avantek, Inc. Method for planarizing wafers
DE3537483C1 (en) 1985-10-22 1986-12-04 Kernforschungszentrum Karlsruhe Gmbh, 7500 Karlsruhe Process for producing a large number of plate-shaped microstructure bodies made of metal
US4724393A (en) * 1985-11-12 1988-02-09 Murata Manufacturing Co., Ltd. Surface potential detector
US5024953A (en) 1988-03-22 1991-06-18 Hitachi, Ltd. Method for producing opto-electric transducing element
US4922277A (en) * 1988-11-28 1990-05-01 The United States Of America As Represented By The Secretary Of The Air Force Silicon wafer photoresist developer
US5208068A (en) * 1989-04-17 1993-05-04 International Business Machines Corporation Lamination method for coating the sidewall or filling a cavity in a substrate
US4983954A (en) * 1989-09-29 1991-01-08 Rockwell International Corporation Shock hazard warning device
GB8927709D0 (en) * 1989-12-07 1990-02-07 Secretary Of The State For Def Silicon quantum wires
US5073230A (en) * 1990-04-17 1991-12-17 Arizona Board Of Regents Acting On Behalf Of Arizona State University Means and methods of lifting and relocating an epitaxial device layer
US5420067A (en) * 1990-09-28 1995-05-30 The United States Of America As Represented By The Secretary Of The Navy Method of fabricatring sub-half-micron trenches and holes
US5248621A (en) * 1990-10-23 1993-09-28 Canon Kabushiki Kaisha Method for producing solar cell devices of crystalline material
US5112453A (en) * 1990-10-31 1992-05-12 Behr Omri M Method and apparatus for producing etched plates for graphic printing
US5315232A (en) * 1991-01-03 1994-05-24 Stewart Michael F Electric field measuring system
DE4141083A1 (en) 1991-12-13 1993-06-17 Raetz Karlheinz Sawtooth tandem solar cell - has different parts of saw-tooth metallic substrate coated with solar cells for different wavelength ranges
US5646525A (en) * 1992-06-16 1997-07-08 Elbit Ltd. Three dimensional tracking system employing a rotating field
JPH0690014A (en) * 1992-07-22 1994-03-29 Mitsubishi Electric Corp Thin solar cell and its production, etching method and automatic etching device, and production of semiconductor device
US5458755A (en) 1992-11-09 1995-10-17 Canon Kabushiki Kaisha Anodization apparatus with supporting device for substrate to be treated
US5316593A (en) * 1992-11-16 1994-05-31 Midwest Research Institute Heterojunction solar cell with passivated emitter surface
DE4310206C2 (en) * 1993-03-29 1995-03-09 Siemens Ag Method for producing a solar cell from a substrate wafer
US5660680A (en) * 1994-03-07 1997-08-26 The Regents Of The University Of California Method for fabrication of high vertical aspect ratio thin film structures
US5645684A (en) * 1994-03-07 1997-07-08 The Regents Of The University Of California Multilayer high vertical aspect ratio thin film structures
US5538564A (en) * 1994-03-18 1996-07-23 Regents Of The University Of California Three dimensional amorphous silicon/microcrystalline silicon solar cells
US6072396A (en) * 1994-12-30 2000-06-06 Advanced Business Sciences Apparatus and method for continuous electronic monitoring and tracking of individuals
US5899360A (en) * 1995-06-09 1999-05-04 Colgate - Palmolive Company Multi-chamber refillable dispenser
US5882988A (en) * 1995-08-16 1999-03-16 Philips Electronics North America Corporation Semiconductor chip-making without scribing
CA2232857C (en) * 1995-10-05 2003-05-13 Jalal Salami Structure and fabrication process for self-aligned locally deep-diffused emitter (salde) solar cell
US5616185A (en) 1995-10-10 1997-04-01 Hughes Aircraft Company Solar cell with integrated bypass diode and method
US5681392A (en) * 1995-12-21 1997-10-28 Xerox Corporation Fluid reservoir containing panels for reducing rate of fluid flow
US5935653A (en) * 1996-01-18 1999-08-10 Micron Technology, Inc. Methods for coating a substrate
ATE197192T1 (en) * 1996-03-29 2000-11-15 Hubertus Maschek FIELD SENSOR AND DEVICE AND USE OF THE DEVICE FOR MEASURING ELECTRICAL AND/OR MAGNETIC FIELDS
US6399143B1 (en) * 1996-04-09 2002-06-04 Delsys Pharmaceutical Corporation Method for clamping and electrostatically coating a substrate
DE19615203A1 (en) * 1996-04-18 1997-10-23 Bosch Gmbh Robert Device for the detection of electromagnetic interference
US6058945A (en) * 1996-05-28 2000-05-09 Canon Kabushiki Kaisha Cleaning methods of porous surface and semiconductor surface
US6091021A (en) * 1996-11-01 2000-07-18 Sandia Corporation Silicon cells made by self-aligned selective-emitter plasma-etchback process
AUPO347196A0 (en) * 1996-11-06 1996-12-05 Pacific Solar Pty Limited Improved method of forming polycrystalline-silicon films on glass
US6552414B1 (en) * 1996-12-24 2003-04-22 Imec Vzw Semiconductor device with selectively diffused regions
US6756289B1 (en) * 1996-12-27 2004-06-29 Canon Kabushiki Kaisha Method of producing semiconductor member and method of producing solar cell
US20030039843A1 (en) * 1997-03-14 2003-02-27 Christopher Johnson Photoactive coating, coated article, and method of making same
US7176111B2 (en) * 1997-03-28 2007-02-13 Interuniversitair Microelektronica Centrum (Imec) Method for depositing polycrystalline SiGe suitable for micromachining and devices obtained thereof
DE19715788C1 (en) 1997-04-16 1998-10-08 Eurocopter Deutschland Solar generator for satellites
JP3740251B2 (en) * 1997-06-09 2006-02-01 キヤノン株式会社 Manufacturing method of solar cell module
JPH1140832A (en) 1997-07-17 1999-02-12 Ion Kogaku Kenkyusho:Kk Thin-film solar cell and manufacture therefor
US6114046A (en) 1997-07-24 2000-09-05 Evergreen Solar, Inc. Encapsulant material for solar cell module and laminated glass applications
JP3501642B2 (en) * 1997-12-26 2004-03-02 キヤノン株式会社 Substrate processing method
US6441297B1 (en) * 1998-03-13 2002-08-27 Steffen Keller Solar cell arrangement
DE19811878C2 (en) * 1998-03-18 2002-09-19 Siemens Solar Gmbh Process and etching solution for wet chemical pyramidal texture etching of silicon surfaces
US6416647B1 (en) * 1998-04-21 2002-07-09 Applied Materials, Inc. Electro-chemical deposition cell for face-up processing of single semiconductor substrates
US6331208B1 (en) * 1998-05-15 2001-12-18 Canon Kabushiki Kaisha Process for producing solar cell, process for producing thin-film semiconductor, process for separating thin-film semiconductor, and process for forming semiconductor
AUPP437598A0 (en) * 1998-06-29 1998-07-23 Unisearch Limited A self aligning method for forming a selective emitter and metallization in a solar cell
JP2000022185A (en) * 1998-07-03 2000-01-21 Sharp Corp Solar cell and its manufacture
US6096229A (en) * 1998-07-30 2000-08-01 Lucent Technologies Inc. Method of making alignment grooves in an optical connector support member
CA2246087A1 (en) 1998-08-28 2000-02-28 Northern Telecom Limited Method of cleaving a semiconductor wafer
US6313397B1 (en) * 1998-08-31 2001-11-06 Sharp Kabushiki Kaisha Solar battery cell
TW469643B (en) 1998-09-04 2001-12-21 Canon Kk Process for producing semiconductor substrate
DE19851873A1 (en) 1998-11-10 2000-05-11 Zae Bayern Process for growing a crystalline structure
US6555443B1 (en) * 1998-11-11 2003-04-29 Robert Bosch Gmbh Method for production of a thin film and a thin-film solar cell, in particular, on a carrier substrate
US6461932B1 (en) * 1998-12-14 2002-10-08 National Semiconductor Corporation Semiconductor trench isolation process that utilizes smoothening layer
US6230105B1 (en) * 1999-01-22 2001-05-08 Bolt, Inc. Transfer impedance measurement instrument system
EP1024523A1 (en) 1999-01-27 2000-08-02 Imec (Interuniversity Microelectronics Center) VZW Method for fabricating thin film semiconductor devices
JP2000277478A (en) * 1999-03-25 2000-10-06 Canon Inc Anodization device and system, substrate processing device and method, and manufcature thereof
US6881644B2 (en) * 1999-04-21 2005-04-19 Silicon Genesis Corporation Smoothing method for cleaved films made using a release layer
JP3619053B2 (en) 1999-05-21 2005-02-09 キヤノン株式会社 Method for manufacturing photoelectric conversion device
DE19925468A1 (en) * 1999-06-03 2000-12-07 Hauni Maschinenbau Ag Stray field probe
US6664169B1 (en) 1999-06-08 2003-12-16 Canon Kabushiki Kaisha Process for producing semiconductor member, process for producing solar cell, and anodizing apparatus
JP2001007362A (en) 1999-06-17 2001-01-12 Canon Inc Semiconductor substrate and manufacture of solar cell
AU6790000A (en) * 1999-08-26 2001-03-19 Brewer Science, Inc. Improved fill material for dual damascene processes
US6859141B1 (en) * 1999-12-08 2005-02-22 Nortel Networks Limited Electric field proximity detector for floating and grounded targets
US6602767B2 (en) * 2000-01-27 2003-08-05 Canon Kabushiki Kaisha Method for transferring porous layer, method for making semiconductor devices, and method for making solar battery
AU781761B2 (en) * 2000-03-09 2005-06-09 Interuniversitair Micro-Elektronica Centrum (Imec) Method for the formation and lift-off of porous silicon layers
US6964732B2 (en) * 2000-03-09 2005-11-15 Interuniversitair Microelektronica Centrum (Imec) Method and apparatus for continuous formation and lift-off of porous silicon layers
US6294725B1 (en) * 2000-03-31 2001-09-25 Trw Inc. Wireless solar cell array electrical interconnection scheme
JP2001284622A (en) * 2000-03-31 2001-10-12 Canon Inc Method for manufacturing semiconductor member and method for manufacturing solar cell
US6980814B2 (en) * 2000-07-18 2005-12-27 Pioneer Corporation Mobile communication system with mobile station position detection
US6518172B1 (en) * 2000-08-29 2003-02-11 Micron Technology, Inc. Method for applying uniform pressurized film across wafer
US6551908B2 (en) * 2000-10-02 2003-04-22 Canon Kabushiki Kaisha Method for producing semiconductor thin films on moving substrates
US6414492B1 (en) * 2000-11-02 2002-07-02 Amalgamated Explorations, Inc. Method and apparatus for passive detection of geophysical discontinuities in the earth
US7632434B2 (en) 2000-11-17 2009-12-15 Wayne O. Duescher Abrasive agglomerate coated raised island articles
AUPR174800A0 (en) 2000-11-29 2000-12-21 Australian National University, The Semiconductor processing
NL1016779C2 (en) * 2000-12-02 2002-06-04 Cornelis Johannes Maria V Rijn Mold, method for manufacturing precision products with the aid of a mold, as well as precision products, in particular microsieves and membrane filters, manufactured with such a mold.
US6602760B2 (en) * 2000-12-21 2003-08-05 Interuniversitair Microelektronica Centrum (Imec) Method of producing a semiconductor layer on a substrate
WO2002073413A2 (en) * 2001-03-12 2002-09-19 Nice Systems Limited System and method for capturing, analyzing and recording screen events
JP4903314B2 (en) 2001-03-30 2012-03-28 京セラ株式会社 Thin film crystalline Si solar cell
US6969472B2 (en) * 2001-04-19 2005-11-29 Lsi Logic Corporation Method of fabricating sub-micron hemispherical and hemicylidrical structures from non-spherically shaped templates
US6524880B2 (en) * 2001-04-23 2003-02-25 Samsung Sdi Co., Ltd. Solar cell and method for fabricating the same
JP2002353423A (en) 2001-05-25 2002-12-06 Canon Inc Separation device and processing method of plate member
JP2003052185A (en) * 2001-05-30 2003-02-21 Canon Inc Power converter, and photovoltaic element module using the same and power generator
US6900642B2 (en) * 2001-09-28 2005-05-31 Bae Systems Information And Electronic Systems Integration Inc Aircraft electrostatic discharge test system
WO2003037798A1 (en) 2001-10-30 2003-05-08 Catalysts & Chemicals Industries Co., Ltd. Tubular titanium oxide particles, method for preparing the same, and use of the same
US7109517B2 (en) 2001-11-16 2006-09-19 Zaidi Saleem H Method of making an enhanced optical absorption and radiation tolerance in thin-film solar cells and photodetectors
US7359314B2 (en) * 2001-12-26 2008-04-15 Hitachi, Ltd. Signal transmission system for transmitting a signal with a guard interval and a demodulation method thereof
JP2004055803A (en) * 2002-07-19 2004-02-19 Renesas Technology Corp Semiconductor device
US6995032B2 (en) * 2002-07-19 2006-02-07 Cree, Inc. Trench cut light emitting diodes and methods of fabricating same
EP1385199A1 (en) * 2002-07-24 2004-01-28 IMEC vzw, Interuniversitair Microelectronica Centrum vzw Method for making thin film devices intended for solar cells or SOI application
US7332369B2 (en) * 2002-08-06 2008-02-19 Merck Patent Gmbh Organic electronic devices
WO2004015369A2 (en) * 2002-08-09 2004-02-19 Intersense, Inc. Motion tracking system and method
DE60335399D1 (en) 2002-10-25 2011-01-27 Nakajima Glass Co Inc METHOD OF MANUFACTURING SOLAR BATTERY MODULES
GB0227902D0 (en) 2002-11-29 2003-01-08 Ingenia Holdings Ltd Template
NL1022155C2 (en) * 2002-12-12 2004-06-22 Otb Group Bv Method and device for treating a surface of at least one substrate.
US7312440B2 (en) 2003-01-14 2007-12-25 Georgia Tech Research Corporation Integrated micro fuel processor and flow delivery infrastructure
US7402448B2 (en) * 2003-01-31 2008-07-22 Bp Corporation North America Inc. Photovoltaic cell and production thereof
US6911379B2 (en) * 2003-03-05 2005-06-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming strained silicon on insulator substrate
US20040175893A1 (en) 2003-03-07 2004-09-09 Applied Materials, Inc. Apparatuses and methods for forming a substantially facet-free epitaxial film
JP4761706B2 (en) * 2003-12-25 2011-08-31 京セラ株式会社 Method for manufacturing photoelectric conversion device
JP3982502B2 (en) * 2004-01-15 2007-09-26 セイコーエプソン株式会社 Drawing device
EP1560272B1 (en) * 2004-01-29 2016-04-27 Panasonic Intellectual Property Management Co., Ltd. Solar cell module
US7335555B2 (en) * 2004-02-05 2008-02-26 Advent Solar, Inc. Buried-contact solar cells with self-doping contacts
US7144751B2 (en) * 2004-02-05 2006-12-05 Advent Solar, Inc. Back-contact solar cells and methods for fabrication
US7244682B2 (en) 2004-05-06 2007-07-17 Micron Technology, Inc. Methods of removing metal-containing materials
WO2006015185A2 (en) * 2004-07-30 2006-02-09 Aonex Technologies, Inc. GaInP/GaAs/Si TRIPLE JUNCTION SOLAR CELL ENABLED BY WAFER BONDING AND LAYER TRANSFER
WO2006031798A2 (en) * 2004-09-10 2006-03-23 Jx Crystals Inc. Solar photovoltaic mirror modules
JP4464240B2 (en) * 2004-10-06 2010-05-19 キヤノン株式会社 Member processing apparatus and processing method
WO2006058034A2 (en) 2004-11-22 2006-06-01 Intermolecular, Inc. Molecular self-assembly in substrate processing
US8129822B2 (en) 2006-10-09 2012-03-06 Solexel, Inc. Template for three-dimensional thin-film solar cell manufacturing and methods of use
US9508886B2 (en) 2007-10-06 2016-11-29 Solexel, Inc. Method for making a crystalline silicon solar cell substrate utilizing flat top laser beam
US20090107545A1 (en) * 2006-10-09 2009-04-30 Soltaix, Inc. Template for pyramidal three-dimensional thin-film solar cell manufacturing and methods of use
US8637340B2 (en) 2004-11-30 2014-01-28 Solexel, Inc. Patterning of silicon oxide layers using pulsed laser ablation
US8420435B2 (en) 2009-05-05 2013-04-16 Solexel, Inc. Ion implantation fabrication process for thin-film crystalline silicon solar cells
US8399331B2 (en) 2007-10-06 2013-03-19 Solexel Laser processing for high-efficiency thin crystalline silicon solar cell fabrication
US20120225515A1 (en) 2004-11-30 2012-09-06 Solexel, Inc. Laser doping techniques for high-efficiency crystalline semiconductor solar cells
US7248054B2 (en) * 2004-12-23 2007-07-24 Power Survey Company Apparatus and method for detecting an electric field
US7253642B2 (en) * 2004-12-23 2007-08-07 Power Survey Company Method for sensing an electric field
JP4340246B2 (en) * 2005-03-07 2009-10-07 シャープ株式会社 Thin film solar cell and manufacturing method thereof
US7402523B2 (en) * 2005-03-31 2008-07-22 Tokyo Electron Limited Etching method
US20060266916A1 (en) * 2005-05-25 2006-11-30 Molecular Imprints, Inc. Imprint lithography template having a coating to reflect and/or absorb actinic energy
US7349140B2 (en) * 2005-05-31 2008-03-25 Miradia Inc. Triple alignment substrate method and structure for packaging devices
US20060283495A1 (en) 2005-06-06 2006-12-21 Solaria Corporation Method and system for integrated solar cell using a plurality of photovoltaic regions
US7648927B2 (en) 2005-06-21 2010-01-19 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US20070077763A1 (en) * 2005-09-30 2007-04-05 Molecular Imprints, Inc. Deposition technique to planarize a multi-layer structure
KR100699348B1 (en) * 2005-10-11 2007-03-23 삼성전자주식회사 Photoresist Coating Apparatus and Method for Efficiently Spraying Photoresist Solutions
US7786376B2 (en) * 2006-08-22 2010-08-31 Solexel, Inc. High efficiency solar cells and manufacturing methods
US20100304521A1 (en) 2006-10-09 2010-12-02 Solexel, Inc. Shadow Mask Methods For Manufacturing Three-Dimensional Thin-Film Solar Cells
US8937243B2 (en) 2006-10-09 2015-01-20 Solexel, Inc. Structures and methods for high-efficiency pyramidal three-dimensional solar cells
US7745313B2 (en) * 2008-05-28 2010-06-29 Solexel, Inc. Substrate release methods and apparatuses
US8853521B2 (en) 2007-10-06 2014-10-07 Solexel, Inc. Truncated pyramid structures for see-through solar cells
US8293558B2 (en) 2006-10-09 2012-10-23 Solexel, Inc. Method for releasing a thin-film substrate
US8035028B2 (en) * 2006-10-09 2011-10-11 Solexel, Inc. Pyramidal three-dimensional thin-film solar cells
US8193076B2 (en) 2006-10-09 2012-06-05 Solexel, Inc. Method for releasing a thin semiconductor substrate from a reusable template
US20080264477A1 (en) 2006-10-09 2008-10-30 Soltaix, Inc. Methods for manufacturing three-dimensional thin-film solar cells
US7999174B2 (en) 2006-10-09 2011-08-16 Solexel, Inc. Solar module structures and assembly methods for three-dimensional thin-film solar cells
US20080128641A1 (en) 2006-11-08 2008-06-05 Silicon Genesis Corporation Apparatus and method for introducing particles using a radio frequency quadrupole linear accelerator for semiconductor materials
WO2009026240A1 (en) 2007-08-17 2009-02-26 Solexel, Inc. Methods for liquid transfer coating of three-dimensional substrates
US20120167819A1 (en) 2007-10-06 2012-07-05 Solexel, Inc. Method for reconstructing a semiconductor template
US20120125256A1 (en) 2007-10-06 2012-05-24 Solexel, Inc. Apparatus and method for repeatedly fabricating thin film semiconductor substrates using a template
US9455362B2 (en) 2007-10-06 2016-09-27 Solexel, Inc. Laser irradiation aluminum doping for monocrystalline silicon substrates
US8198528B2 (en) 2007-12-14 2012-06-12 Sunpower Corporation Anti-reflective coating with high optical absorption layer for backside contact solar cells
US20090199901A1 (en) 2008-02-08 2009-08-13 Applied Materials, Inc. Photovoltaic device comprising a sputter deposited passivation layer as well as a method and apparatus for producing such a device
EP2195853B1 (en) 2008-04-17 2015-12-16 LG Electronics Inc. Solar cell and method of manufacturing the same
US20100144080A1 (en) 2008-06-02 2010-06-10 Solexel, Inc. Method and apparatus to transfer coat uneven surface
EP2356675B1 (en) 2008-11-13 2016-06-01 Solexel, Inc. Three dimensional thin film solar cell and manufacturing method thereof
US8288195B2 (en) 2008-11-13 2012-10-16 Solexel, Inc. Method for fabricating a three-dimensional thin-film semiconductor substrate from a template
WO2010063003A1 (en) * 2008-11-26 2010-06-03 Solexel, Inc. Truncated pyramid structures for see-through solar cells
EP2387458B1 (en) 2009-01-15 2014-03-05 Solexel, Inc. Porous silicon electro-etching system and method
US8906218B2 (en) 2010-05-05 2014-12-09 Solexel, Inc. Apparatus and methods for uniformly forming porous semiconductor on a substrate
MY162405A (en) 2009-02-06 2017-06-15 Solexel Inc Trench Formation Method For Releasing A Thin-Film Substrate From A Reusable Semiconductor Template
US8828517B2 (en) 2009-03-23 2014-09-09 Solexel, Inc. Structure and method for improving solar cell efficiency and mechanical strength
WO2010111417A1 (en) 2009-03-24 2010-09-30 Solexel, Inc. Method for fabricating a three-dimensional thin-film semiconductor substrate from a template
US8656860B2 (en) 2009-04-14 2014-02-25 Solexel, Inc. High efficiency epitaxial chemical vapor deposition (CVD) reactor
US9099584B2 (en) 2009-04-24 2015-08-04 Solexel, Inc. Integrated three-dimensional and planar metallization structure for thin film solar cells
MY165969A (en) 2009-05-05 2018-05-18 Solexel Inc High-productivity porous semiconductor manufacturing equipment
US8445314B2 (en) 2009-05-22 2013-05-21 Solexel, Inc. Method of creating reusable template for detachable thin film substrate
MY159405A (en) 2009-05-29 2016-12-30 Solexel Inc Three-dimensional thin-film semiconductor substrate with through-holes and methods of manufacturing
US8241940B2 (en) 2010-02-12 2012-08-14 Solexel, Inc. Double-sided reusable template for fabrication of semiconductor substrates for photovoltaic cell and microelectronics device manufacturing
US20120192789A1 (en) 2010-04-14 2012-08-02 Solexel, Inc. Deposition systems and processes
EP2561558A4 (en) 2010-04-23 2014-04-16 Solexel Inc Passivation methods and apparatus for achieving ultra-low surface recombination velocities for high-efficiency solar cells
WO2011156657A2 (en) 2010-06-09 2011-12-15 Solexel, Inc. High productivity thin film deposition method and system
US8946547B2 (en) 2010-08-05 2015-02-03 Solexel, Inc. Backplane reinforcement and interconnects for solar cells

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06260670A (en) * 1993-03-05 1994-09-16 Hitachi Ltd Light confining structure for solar cell
US5704992A (en) * 1993-07-29 1998-01-06 Willeke; Gerhard Solar cell and method for manufacturing a solar cell
US20030017712A1 (en) * 1997-06-30 2003-01-23 Rolf Brendel Method for producing layered structures on a substrate, substrate and semiconductor components produced according to said method

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9590035B2 (en) 2008-11-13 2017-03-07 Solexel, Inc. Three-dimensional semiconductor template for making high efficiency solar cells
US20140166092A1 (en) * 2012-12-14 2014-06-19 Robert Bosch Gmbh Method of Fabricating Nanocone Texture on Glass and Transparent Conductors
US9831361B2 (en) * 2012-12-14 2017-11-28 Robert Bosch Gmbh Method of fabricating nanocone texture on glass and transparent conductors

Also Published As

Publication number Publication date
US20120174861A1 (en) 2012-07-12
US20100148319A1 (en) 2010-06-17
US8294026B2 (en) 2012-10-23
US20100148318A1 (en) 2010-06-17
US20100175752A1 (en) 2010-07-15
US20150061086A1 (en) 2015-03-05
WO2010057060A2 (en) 2010-05-20
EP2356675A4 (en) 2013-05-01
US8664737B2 (en) 2014-03-04
EP2356675A2 (en) 2011-08-17
WO2010057060A3 (en) 2010-07-08
US9590035B2 (en) 2017-03-07
EP2356675B1 (en) 2016-06-01
US8168465B2 (en) 2012-05-01

Similar Documents

Publication Publication Date Title
US9590035B2 (en) Three-dimensional semiconductor template for making high efficiency solar cells
US8288195B2 (en) Method for fabricating a three-dimensional thin-film semiconductor substrate from a template
US20130288418A1 (en) Method for fabricating a three-dimensional thin-film semiconductor substrate from a template
US9680041B2 (en) Three-dimensional thin-film semiconductor substrate with through-holes and methods of manufacturing
US8278192B2 (en) Trench formation method for releasing a thin-film substrate from a reusable semiconductor template
US8241940B2 (en) Double-sided reusable template for fabrication of semiconductor substrates for photovoltaic cell and microelectronics device manufacturing
US8420435B2 (en) Ion implantation fabrication process for thin-film crystalline silicon solar cells
WO2011072153A2 (en) High-efficiency photovoltaic back-contact solar cell structures and manufacturing methods using three-dimensional semiconductor absorbers
KR20100118087A (en) Silicon substrate with periodical structure
US10483415B2 (en) Methods to introduce sub-micrometer, symmetry-breaking surface corrugation to silicon substrates to increase light trapping
EP2412029A1 (en) Method for fabricating a three-dimensional thin-film semiconductor substrate from a template
US20170372887A1 (en) Trench formation method for releasing a substrate from a semiconductor template

Legal Events

Date Code Title Description
AS Assignment

Owner name: OPUS BANK, CALIFORNIA

Free format text: SECURITY INTEREST;ASSIGNOR:SOLEXEL, INC.;REEL/FRAME:034731/0001

Effective date: 20141219

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION

AS Assignment

Owner name: BEAMREACH SOLAR, INC., CALIFORNIA

Free format text: CHANGE OF NAME;ASSIGNOR:SOLEXEL, INC.;REEL/FRAME:043342/0439

Effective date: 20160726

AS Assignment

Owner name: OB REALTY, LLC, CALIFORNIA

Free format text: RECORDATION OF FORECLOSURE OF PATENT PROPERTIES;ASSIGNOR:OB REALTY, LLC;REEL/FRAME:043350/0822

Effective date: 20170512

AS Assignment

Owner name: BEAMREACH SOLAR, INC., CALIFORNIA

Free format text: CHANGE OF NAME;ASSIGNOR:SOLEXEL, INC.;REEL/FRAME:043367/0649

Effective date: 20160726