US20120231630A1 - Etching Gas - Google Patents

Etching Gas Download PDF

Info

Publication number
US20120231630A1
US20120231630A1 US13/513,038 US201013513038A US2012231630A1 US 20120231630 A1 US20120231630 A1 US 20120231630A1 US 201013513038 A US201013513038 A US 201013513038A US 2012231630 A1 US2012231630 A1 US 2012231630A1
Authority
US
United States
Prior art keywords
etching
chf
gas
cof
etching gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US13/513,038
Inventor
Naoto Takada
Isamu Mori
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Central Glass Co Ltd
Original Assignee
Central Glass Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Central Glass Co Ltd filed Critical Central Glass Co Ltd
Assigned to CENTRAL GLASS COMPANY, LIMITED reassignment CENTRAL GLASS COMPANY, LIMITED ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: MORI, ISAMU, TAKADA, NAOTO
Publication of US20120231630A1 publication Critical patent/US20120231630A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C19/00Acyclic saturated compounds containing halogen atoms
    • C07C19/08Acyclic saturated compounds containing halogen atoms containing fluorine
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C53/00Saturated compounds having only one carboxyl group bound to an acyclic carbon atom or hydrogen
    • C07C53/38Acyl halides
    • C07C53/46Acyl halides containing halogen outside the carbonyl halide group
    • C07C53/48Halogenated acetyl halides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/10Etching compositions
    • C23F1/12Gaseous compositions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching

Definitions

  • the present invention relates to an etching gas used for producing thin film devices represented by IC, LSI, TFT and the like, and particularly to an etching gas that accomplishes both environmental performances and micro-patterning performances.
  • PFCs perfluorocarbons
  • CF 4 , C 2 F 6 , C 3 F 8 and the like have been used as an etching gas in etching for forming circuits, in fabrication of thin film devices.
  • these gases exist in the environment stably for a long period of time and therefore regarded as having high global warming potentials, so that their adverse influence on the environment has come to an issue.
  • An etching gas partially having the structure of CF 3 group e.g. C 2 F 6 , C 3 F 8 and the like generates active species exemplified by CF 3 radicals, ions and the like in a deposition room (a chamber) thereby exhibiting the etching effect; however, CF 3 active species are brought into contact with fluorine radicals or with fluorine active species of ions to be recombined thereto, thereby forming CF4 as a by-product.
  • Patent Publication 1 Japanese Patent Application Publication No. 2000-63826
  • Patent Publication 2 Japanese Patent Application Publication No. 2000-265275
  • Patent Publication 3 Japanese Patent Application Publication No. 2002-158181
  • Patent Publications 1 and 2 state that by-production of CF 4 can be reduced by optimizing an etching condition for CF 3 COF.
  • the optimized etching condition is found not to be optimized in respect of the micro-patterning speed and the patterning accuracy, which means that the aimed patterning accuracy and the like are restricted by the rate of CF 4 by-production.
  • F/C fluorine number to carbon number
  • F/C of CF 4 is 4
  • F/C of C 2 F 6 is 3
  • F/C of C 3 F 8 is 2.7.
  • F/C thus approaches 1 or the above-mentioned requirement, but the boiling point also gets increased so as to become difficult to handle as gas.
  • F/C of CF 3 COF is 2 and therefore it is not a satisfying value too.
  • an object of the present invention is to provide a novel etching gas which is not only excellent in etching performances but also easily available and does not substantially by-produce CF 4 that places a burden on the environment.
  • the present inventors had eagerly made studies on the above-mentioned object and thereby found that CHF 2 COF can accomplish both the environmental performances and the environmental safety, with which the present invention has come to completion. More specifically, the present invention is as follows.
  • An etching gas used for etching semiconductors, dielectric substances or thin films formed of metals comprising CHF 2 COF.
  • An etching gas of Invention 1 wherein the semiconductors or the dielectric substances are a silicon-containing substance.
  • An etching gas of Invention 1 wherein the etching gas contains at least one kind of gas selected from O 2 , O 3 , CO, CO 2 , F 2 , NF 3 , Cl 2 , Br 2 , I 2 , XF n (In this formula, X represents Cl, I or Br. n represents an integer satisfying 1 ⁇ n ⁇ 7.), CH 4 , CH 3 F, CH 2 F 2 , CHF 3 , N 2 , He, Ar, Ne and Kr, as an additive.
  • An etching gas of Invention 1 wherein the etching gas contains at least one kind of gas selected from CH 4 , C 2 H 2 , C 2 H 4 , C 2 H 6 , C 3 H 4 , C 3 H 6 , C 3 H 8 , HI, HBr, HCl, CO, NO, NH 3 , H 2 , N 2 , He, Ar, Ne and Kr, as an additive.
  • An etching gas of Invention 1 wherein the etching gas contains at least one kind of gas selected from CH 4 , CH 3 F, CH 2 F 2 , CHF 3 , as an additive.
  • a method for etching semiconductor films, dielectric films or metal films comprising the step of: using an etching gas of Invention 1.
  • FIG. 1A A schematic cross section of a sample for etching used in Examples and Comparative Examples.
  • FIG. 1B A schematic cross section of a sample after etching (showing a case of having facets).
  • FIG. 2 A schematic cross section of a remote plasma apparatus used in Examples and Comparative Examples.
  • the etching gas according to the present invention is not only characterized by placing a slight burden on the environment by virtue of its containing CHF 2 COF but also exhibits good etching performances in semiconductor film-forming process, i.e., a performance of high etching rates, a performance of not bringing corrosion to the apparatus and the like. Hence the etching gas is useful for micro-patterning conducted on thin films by etching in semiconductor film-forming process.
  • CHF 2 COF can be readily and rationally synthesized by catalytic cracking of 1-alkoxy-1,1,2,2-tetrafluoroethane represented by CHF 2 CF 2 OR (where R is an alkyl group including Me, Et, n-Pr, iso-Pr, n-Bu, sec-Bu, iso-Bu, tert-Bu and the like) and used as a cleaning agent, a foaming agent or the like such as HFE-254pc (CHF 2 CF 2 OMe), HFE-374pc-f (CHF 2 CF 2 OEt) and the like.
  • HFE-254pc and HFE-374pc-f can be synthesized by adding methanol or ethanol to an industrially mass-produced tetrafluoroethylene so as to be greatly available compounds.
  • CHF 2 COF has a boiling point of 0° C. and therefore serves as a highly convenient etching gas that can be handled as either liquid or gas. Additionally, CHF2COF is reacted with water to be decomposed into difluoroacetic acid (CHF 2 COOH) and hydrogen fluoride (HF), so that usually its hazard can be eliminated by using a water scrubber. It is also preferable to use an alkaline water scrubber. Even in the event of passing the hazard-eliminating step so as to be emitted into the air, CHF 2 COF is reacted with rain and steam in the air thereby being readily decomposed. Thus its environmental impact is extremely minimal.
  • CHF 2 COF of the present invention is significantly different from the existing CF 3 COF in property, it is possible to cite an easiness to establish a ketene structure.
  • CHF 2 COF is known to be able to take on a ketene structure represented by CF 2 ⁇ C ⁇ O as shown in the following equation.
  • a reaction for taking on the ketene structure is an endothermic reaction calculated at 165.9 kcal.
  • a further activation energy is required in addition to the above free energy, so that the likelihood of this reaction can be said to be actually remarkably little.
  • the heat of reaction is a value calculated by B3LYP/6-311G+**.
  • the etching gas containing CHF 2 COF according to the present invention can be particularly preferably used for etching of; semiconductors when manufacturing semiconductor devices; dielectric substances; or thin films formed of metals.
  • the etching gas containing CHF 2 COF As substances able to be etched by the etching gas containing CHF 2 COF according to the present invention, it is possible to cite B, P, W, Si, Ti, V, Nb, Ta, Se, Te, Mo, Re, Os, Ru, Ir, Sb, Ge, Au, Ag, As, Cr, Hf, Zr, Ni, Co and their compounds deposited on semiconductor substrates such as silicon wafers, GaAs wafers and the like, metal substrates such as W, Ta, Mo and the like, insulating or dielectric substrates such as SiO 2 , Al 2 O 3 , Ta 2 O 3 and the like, glasses such as soda-lime glasses, borosilicate glasses and the like, or substrates formed of single crystals or polycrystals of other compounds or the like.
  • semiconductor substrates such as silicon wafers, GaAs wafers and the like, metal substrates such as W, Ta, Mo and the like, insulating or dielectric substrates such
  • this etching gas is particularly effective at etching oxides, nitrides, carbides, or composites of them. It is particularly preferable to use W, WSi x , Ti, TiN, Ta 2 O 5 , Mo, Re, Ge, Si 3 N 4 , Si, SiO 2 or the like, more preferably silicon-containing substances such as WSi x , Si 3 N 4 , Si, SiO 2 and the like, and much more preferably Si or SiO 2 .
  • the above-mentioned substances may be any of single crystal, polycrystal and amorphous form.
  • the etching gas of the present invention can be used for etching exemplified by RIE (reactive-ion etching), ECR (electron cyclotron resonance) plasma etching, microwave etching and the like, but not limited to these. Additionally, these kinds of etching processes are a common knowledge among the skilled in the art and can be referred to from publications as needed.
  • the reaction conditions are not required particularly.
  • fluorine radicals reach recessed portions of channels and then CFy ions (where y represents an integer of from 1 to 3) enter there, with which etching develops in a longitudinal direction.
  • An etching method of the present invention is practicable under various dry etching conditions and allows the addition of various additives depending on the property, productivity, micro-patterning accuracy and the like of the target film.
  • Inert gases exemplified by N 2 , He, Ar, Ne, Kr and the like are usable as a dilutent, and more particularly, Ar is effective at stabilizing plasma and therefore provides an enhanced etching rate by a synergistic effect with CHF 2 COF.
  • the amount of the added inert gases depends on the configuration and performances of the apparatus such as the output, the amount of discharged gas and the like or on the properties of the target film, it is preferably 1/10 to 30 times the amount of flow of CHF 2 COF.
  • the amount of the added oxidizing gas depends on the configuration and performances of the apparatus such as the output and the like or on the properties of the target film, it is usually 1/20 to 30 times the amount of flow of CHF 2 COF, more preferably 1/10 to 10 times the amount of flow of CHF 2 COF.
  • the addition exceeding 30 times impairs the excellent anisotropic etching performance of CHF 2 COF and therefore not preferable.
  • the addition of oxygen allows selectively accelerating the etching rate on metals, and more specifically, greatly improves the selection ratio of the etching rate on metals thereby allowing a selective etching on metals.
  • inert gases such as N 2 , He, Ar, Ne, Kr and the like in addition to the oxidizing gas is acceptable as desired.
  • the addition of a reducing gas exemplified by CH 4 , C 2 H 2 , C 2 H 4 , C 2 H 6 , C 3 H 4 , C 3 H 6 , C 3 H 8 , HI, HBr, HCl, CO, NO, NH 3 and H 2 provides a good effect.
  • the amount of the addition is preferably not larger than 10 times.
  • fluorine radicals that work on etching is significantly reduced thereby decreasing the productivity.
  • the addition of H 2 or C 2 H 2 decreases the etching rate on Si while the etching rate on SiO 2 is not changed, so that the selectivity is enhanced. Thus it becomes possible to etch SiO 2 selectively against a foundation silicon.
  • gases having a carbon number of 1 such as CH 4 , CH 3 F, CH 2 F 2 and CHF 3 are effective in a fine-tuning of the ratio of fluorine to carbon in the etching gas.
  • the amount of the addition thereof also is preferably not larger than 10 times the amount of CHF 2 COF. When the addition exceeds the above, the excellent etching performances of CHF 2 COF get impaired.
  • CO traps HF (which has been by-produced, for example when ketene is generated) in the form of HCOF and works as an etching agent in itself, so as to be efficiently used.
  • the amount of CO to be added is from 10:1 to 1:5, preferably from 5:1 to 1:1 in a mole ratio represented by CHF 2 COF:CO.
  • the pressure in the case of using the etching gas of the present invention is preferably not higher than 660 Pa (5 Torr) in order to perform anisotropic etching; however, pressures of not higher than 0.13 Pa (0.001 Torr) reduce the etching rate and therefore not preferable.
  • the flow rate of gas to be used depends on the volume of a reactor of the etching apparatus and on the size of the wafer, but it is preferable to carry out etching at a flow rate of between 10 to 10000 SCCM.
  • the temperature for etching is preferably not greater than 400° C. High temperatures exceeding 400° C. are not preferable since etching tends to develop isotropically so that a desired patterning accuracy cannot be obtained and since a resist is excessively etched.
  • a SiO 2 interlaminar insulating film 22 was formed on a single crystal silicon wafer 21 .
  • the sample was put to use upon forming on the SiO 2 film a resist mask 23 having apertures to serve as an etching mask.
  • a sample before etching is shown in FIG. 1A .
  • FIG. 2 A schematic cross section of an apparatus used in the experiment is shown in FIG. 2 .
  • etching gases difluoroacetyl fluoride (CHF 2 COF), oxygen (O 2 ), argon (Ar)
  • CHF 2 COF, CF 3 COF and CF 4 were introduced from a first gas inlet while O 2 was introduced from a second gas inlet, through a mass flow controller (though not shown).
  • the temperature of the substrate (or the sample holder 11 ) was set at 25° C., the pressure was set at 2.67 Pa (0.02 Torr), and the RF power density was set at 2.2 W/cm 2 .
  • a discharged gas was diluted with nitrogen supplied at 5 L/min on a discharge side of a mechanical booster pump, and then the concentration of CF 4 was quantified by calibration curve method with the use of FT-IR. Results of the above are shown in Table 1. Incidentally, “ND” shown in the Table refers to less than the floor limit for detection (0.05 volume %).
  • the etching rate ( ⁇ /min) was determined in such a manner as to divide film thicknesses obtained before and after etching by an etching time. The film thicknesses were measured by an optical interferotype film-thickness meter. A sample after etching (in the case of having facets) is shown in FIG. 1B .

Abstract

Disclosed is an etching gas provided containing CHF2COF. The etching gas may contain, as an additive, at least one kind of gas selected from O2, O3, CO, CO2, F2, NF3, Cl2, Br2, I2, XFn (In this formula, X represents Cl, I or Br. n represents an integer satisfying 1≦n≦7.), CH4, CH3F, CH2F2, CHF3, N2, He, Ar, Ne, Kr and the like, from CH4, C2H2,C2H4,C2H6, C3H4, C3H6, C3H5, HI, HBr, HCl, CO, NO, NH3, H2 and the like, or from CH4, CH3F, CH2F2 and CHF3. This etching gas is not only excellent in etching performances such as the selection ratio to a resist and the patterning profile but also easily available and does not substantially by-produce CF4 that places a burden on the environment.

Description

    TECHNICAL FIELD
  • The present invention relates to an etching gas used for producing thin film devices represented by IC, LSI, TFT and the like, and particularly to an etching gas that accomplishes both environmental performances and micro-patterning performances.
  • BACKGROUND OF THE INVENTION
  • In processes for producing semiconductor thin film devices, optical devices, super steel materials and the like, there have been produced various thin films, thick films and the like by means of CVD method, sputtering method, sol-gel method, vapor deposition method and the like. Moreover, in order to form a circuit pattern, gas etching for partially removing a thin film material has been conducted on semiconductors or in fabrication of semiconductors for IC, LSI, TFT and the like.
  • Hitherto, perfluorocarbons (PFCs) such as CF4, C2F6, C3F8 and the like have been used as an etching gas in etching for forming circuits, in fabrication of thin film devices. However, these gases exist in the environment stably for a long period of time and therefore regarded as having high global warming potentials, so that their adverse influence on the environment has come to an issue.
  • For example, their GWPs known from the IPCC Fourth Assessment Report are as follows (on a 100 year scale):
  • CF4: 7390
  • C2F6: 12200
  • C3F8: 8830
  • An etching gas partially having the structure of CF3 group e.g. C2F6, C3F8 and the like generates active species exemplified by CF3 radicals, ions and the like in a deposition room (a chamber) thereby exhibiting the etching effect; however, CF3 active species are brought into contact with fluorine radicals or with fluorine active species of ions to be recombined thereto, thereby forming CF4 as a by-product.
  • Guidelines on the destruction of PFCs issued by Office of Fluorocarbons Control Policy, Global Environmental Issues Division of the Global Environment Bureau of the Ministry of the Environment (issued in March 2009) states that CF4 is the most undecomposable PFC in the environment and therefore it may not be sufficiently destructed under the destructing conditions similar to those for other fluorocarbons.
  • As a fluorine-containing etching gas having low global warming potentials and substitutable for PFC, there have been proposed COF2, CHF2OF (Patent Publication 1), CF3COF (Patent Publications 2 and 3) and the like. These publications state it is possible to reduce by-production of CF4, for example, by optimizing an etching condition for CF3COF.
  • REFERENCES ABOUT PRIOR ART Patent Publication
  • Patent Publication 1: Japanese Patent Application Publication No. 2000-63826
  • Patent Publication 2: Japanese Patent Application Publication No. 2000-265275
  • Patent Publication 3: Japanese Patent Application Publication No. 2002-158181
  • SUMMARY OF THE INVENTION
  • As mentioned above, Patent Publications 1 and 2 state that by-production of CF4 can be reduced by optimizing an etching condition for CF3COF. However, it is considered difficult to fundamentally avoid a recombination of the CF3 active species and the fluorine active species so long as the etching gas partially having the structure of CF3 group is used. In view of the above, the optimized etching condition is found not to be optimized in respect of the micro-patterning speed and the patterning accuracy, which means that the aimed patterning accuracy and the like are restricted by the rate of CF4 by-production. In fact, there are not a few cases difficult to constantly reduce by-production of CF4 under a condition satisfying required performances such as the etching rate, the anisotropy, the aspect ratio, the resist ratio and the like.
  • In order to obtain a good anisotropy in etching that requires micro-patterning performances, a compound having a ratio of fluorine number to carbon number (F/C) close to 1 in a is demanded. For example, in the case of perfluorocarbons, F/C of CF4 is 4, F/C of C2F6 is 3 and F/C of C3F8 is 2.7. As the carbon number gets increased, F/C thus approaches 1 or the above-mentioned requirement, but the boiling point also gets increased so as to become difficult to handle as gas. Moreover, F/C of CF3COF is 2 and therefore it is not a satisfying value too.
  • In view of the above, an object of the present invention is to provide a novel etching gas which is not only excellent in etching performances but also easily available and does not substantially by-produce CF4 that places a burden on the environment.
  • The present inventors had eagerly made studies on the above-mentioned object and thereby found that CHF2COF can accomplish both the environmental performances and the environmental safety, with which the present invention has come to completion. More specifically, the present invention is as follows.
  • [Invention 1]
  • An etching gas used for etching semiconductors, dielectric substances or thin films formed of metals, comprising CHF2COF.
  • [Invention 2]
  • An etching gas of Invention 1, wherein the semiconductors or the dielectric substances are a silicon-containing substance.
  • [Invention 3]
  • An etching gas of Invention 1, wherein the etching gas contains at least one kind of gas selected from O2, O3, CO, CO2, F2, NF3, Cl2, Br2, I2, XFn (In this formula, X represents Cl, I or Br. n represents an integer satisfying 1≦n≦7.), CH4, CH3F, CH2F2, CHF3, N2, He, Ar, Ne and Kr, as an additive.
  • [Invention 4]
  • An etching gas of Invention 1, wherein the etching gas contains at least one kind of gas selected from CH4, C2H2, C2H4, C2H6, C3H4, C3H6, C3H8, HI, HBr, HCl, CO, NO, NH3, H2, N2, He, Ar, Ne and Kr, as an additive.
  • [Invention 5]
  • An etching gas of Invention 1, wherein the etching gas contains at least one kind of gas selected from CH4, CH3F, CH2F2, CHF3, as an additive.
  • [Invention 6]
  • A method for etching semiconductor films, dielectric films or metal films, comprising the step of: using an etching gas of Invention 1.
  • [Invention 7]
  • A method for etching, of Invention 6, further comprising the step of: thereafter ashing by F2 or O2.
  • BRIEF EXPLANATION OF THE DRAWINGS
  • [FIG. 1A] A schematic cross section of a sample for etching used in Examples and Comparative Examples.
  • [FIG. 1B] A schematic cross section of a sample after etching (showing a case of having facets).
  • [FIG. 2] A schematic cross section of a remote plasma apparatus used in Examples and Comparative Examples.
  • DETAILED DESCRIPTION
  • The etching gas according to the present invention is not only characterized by placing a slight burden on the environment by virtue of its containing CHF2COF but also exhibits good etching performances in semiconductor film-forming process, i.e., a performance of high etching rates, a performance of not bringing corrosion to the apparatus and the like. Hence the etching gas is useful for micro-patterning conducted on thin films by etching in semiconductor film-forming process.
  • The present invention will be hereinafter discussed in detail.
  • CHF2COF can be readily and rationally synthesized by catalytic cracking of 1-alkoxy-1,1,2,2-tetrafluoroethane represented by CHF2CF2OR (where R is an alkyl group including Me, Et, n-Pr, iso-Pr, n-Bu, sec-Bu, iso-Bu, tert-Bu and the like) and used as a cleaning agent, a foaming agent or the like such as HFE-254pc (CHF2CF2OMe), HFE-374pc-f (CHF2CF2OEt) and the like. Moreover, HFE-254pc and HFE-374pc-f can be synthesized by adding methanol or ethanol to an industrially mass-produced tetrafluoroethylene so as to be greatly available compounds.
  • CHF2COF has a boiling point of 0° C. and therefore serves as a highly convenient etching gas that can be handled as either liquid or gas. Additionally, CHF2COF is reacted with water to be decomposed into difluoroacetic acid (CHF2COOH) and hydrogen fluoride (HF), so that usually its hazard can be eliminated by using a water scrubber. It is also preferable to use an alkaline water scrubber. Even in the event of passing the hazard-eliminating step so as to be emitted into the air, CHF2COF is reacted with rain and steam in the air thereby being readily decomposed. Thus its environmental impact is extremely minimal.
  • As a point where CHF2COF of the present invention is significantly different from the existing CF3COF in property, it is possible to cite an easiness to establish a ketene structure. CHF2COF is known to be able to take on a ketene structure represented by CF2═C═O as shown in the following equation. In the case of CF3COF, a reaction for taking on the ketene structure is an endothermic reaction calculated at 165.9 kcal. In order to develop this reaction a further activation energy is required in addition to the above free energy, so that the likelihood of this reaction can be said to be actually remarkably little.

  • CHF2COF→CF2═C═O+HF+48.9 kcal/mol

  • CF3COF→CF2═C═O+F2+165.9 kcal/mol
  • The heat of reaction is a value calculated by B3LYP/6-311G+**.
  • As will be discussed in Examples, in the cases of using CHF2COF as the etching gas, CF4 was not detected at all even under variously modified conditions. It can be supposed from this fact that etching was developed through a vastly different mechanism from CF3COF.
  • Furthermore, in the case of using CF3COF, once generated CF3 active species are brought into contact with fluorine active species with a certain probability to cause recombination thereby by-producing CF4 (in an etching process employing plasma, for example). On the contrary, in the case of using CHF2COF, by-production remains at CHF3 which is relatively reasonably decomposable even if CHF2 active species and fluorine active species are brought into contact with each other. Stochastically there is the possibility that CHF3 is so decomposed as to form CF3 active species and it is bonded to the fluorine active species again thereby to by-produce CF4; however, it is easily supposed that such a probability is extremely small as compared to etching gases partially having the structure of CF3 group (CF3COF, etc.). For the above reasons CHF2COF is considered not to substantially by-produce CF4. As a matter of fact, by-production of CF4 was not recognized in any of the Examples.
  • The etching gas containing CHF2COF according to the present invention can be particularly preferably used for etching of; semiconductors when manufacturing semiconductor devices; dielectric substances; or thin films formed of metals.
  • As substances able to be etched by the etching gas containing CHF2COF according to the present invention, it is possible to cite B, P, W, Si, Ti, V, Nb, Ta, Se, Te, Mo, Re, Os, Ru, Ir, Sb, Ge, Au, Ag, As, Cr, Hf, Zr, Ni, Co and their compounds deposited on semiconductor substrates such as silicon wafers, GaAs wafers and the like, metal substrates such as W, Ta, Mo and the like, insulating or dielectric substrates such as SiO2, Al2O3, Ta2O3 and the like, glasses such as soda-lime glasses, borosilicate glasses and the like, or substrates formed of single crystals or polycrystals of other compounds or the like. Among them, this etching gas is particularly effective at etching oxides, nitrides, carbides, or composites of them. It is particularly preferable to use W, WSix, Ti, TiN, Ta2O5, Mo, Re, Ge, Si3N4, Si, SiO2 or the like, more preferably silicon-containing substances such as WSix, Si3N4, Si, SiO2 and the like, and much more preferably Si or SiO2. The above-mentioned substances may be any of single crystal, polycrystal and amorphous form.
  • The etching gas of the present invention can be used for etching exemplified by RIE (reactive-ion etching), ECR (electron cyclotron resonance) plasma etching, microwave etching and the like, but not limited to these. Additionally, these kinds of etching processes are a common knowledge among the skilled in the art and can be referred to from publications as needed. The reaction conditions are not required particularly. When CHF2COF is used, fluorine radicals reach recessed portions of channels and then CFy ions (where y represents an integer of from 1 to 3) enter there, with which etching develops in a longitudinal direction. Side walls are protected by deposition of a fluorocarbon polymer, thereby preventing an isotropic etching due to fluorine radicals and allowing an anisotropic etching. Moreover, since CHF2COF contains oxygen (O), there is an advantage that the anisotropic etching can be developed while efficiently removing fluorocarbon films deposited on the side walls.
  • As a reason for the specifically good micro-patterning of CHF2COF, it is possible to cite not only the fact that a ratio represented by F/C is 1.5 in the case of CHF2COF while it is 2 in the case of CF3COF but also the effect of the above-mentioned ketene which is polymerized to protect the side walls. It is also possible to remove organic substances including polymers, in such a manner as to carry out heating by using an oxidizing gas such as F2, O2 and the like or to carry out plasma ashing after conducting etching by using the etching gas of the present invention,
  • An etching method of the present invention is practicable under various dry etching conditions and allows the addition of various additives depending on the property, productivity, micro-patterning accuracy and the like of the target film. Inert gases exemplified by N2, He, Ar, Ne, Kr and the like are usable as a dilutent, and more particularly, Ar is effective at stabilizing plasma and therefore provides an enhanced etching rate by a synergistic effect with CHF2COF. Though the amount of the added inert gases depends on the configuration and performances of the apparatus such as the output, the amount of discharged gas and the like or on the properties of the target film, it is preferably 1/10 to 30 times the amount of flow of CHF2COF.
  • With the addition of the oxidizing gas to CHF2COF, it becomes possible to increase the etching rate and to enhance the productivity. Concrete examples thereof are O2, O3, CO2, F2, NF3, Cl2, Br2, I2, XFn (In this formula, X represents Cl, I or Br. n represents an integer satisfying 1≦n≦7. Concrete examples are ClF, ClF3, BrF, BrF3, IF5 and IF7.). Though the amount of the added oxidizing gas depends on the configuration and performances of the apparatus such as the output and the like or on the properties of the target film, it is usually 1/20 to 30 times the amount of flow of CHF2COF, more preferably 1/10 to 10 times the amount of flow of CHF2COF. The addition exceeding 30 times impairs the excellent anisotropic etching performance of CHF2COF and therefore not preferable. In the case of less than 1/20, the effect of the addition of the oxidizing gas cannot be sufficiently exhibited and therefore not preferable. In particular, the addition of oxygen allows selectively accelerating the etching rate on metals, and more specifically, greatly improves the selection ratio of the etching rate on metals thereby allowing a selective etching on metals. It is a matter of course that the addition of inert gases such as N2, He, Ar, Ne, Kr and the like in addition to the oxidizing gas is acceptable as desired.
  • If reduction of the amount of fluorine radicals that accelerates the isotropic etching is required, the addition of a reducing gas exemplified by CH4, C2H2, C2H4, C2H6, C3H4, C3H6, C3H8, HI, HBr, HCl, CO, NO, NH3 and H2 provides a good effect. The amount of the addition is preferably not larger than 10 times. When the addition exceeds the above, fluorine radicals that work on etching is significantly reduced thereby decreasing the productivity. Particularly, the addition of H2 or C2H2 decreases the etching rate on Si while the etching rate on SiO2 is not changed, so that the selectivity is enhanced. Thus it becomes possible to etch SiO2 selectively against a foundation silicon.
  • Furthermore, gases having a carbon number of 1 such as CH4, CH3F, CH2F2 and CHF3 are effective in a fine-tuning of the ratio of fluorine to carbon in the etching gas. The amount of the addition thereof also is preferably not larger than 10 times the amount of CHF2COF. When the addition exceeds the above, the excellent etching performances of CHF2COF get impaired. CO traps HF (which has been by-produced, for example when ketene is generated) in the form of HCOF and works as an etching agent in itself, so as to be efficiently used. The amount of CO to be added is from 10:1 to 1:5, preferably from 5:1 to 1:1 in a mole ratio represented by CHF2COF:CO.
  • The pressure in the case of using the etching gas of the present invention is preferably not higher than 660 Pa (5 Torr) in order to perform anisotropic etching; however, pressures of not higher than 0.13 Pa (0.001 Torr) reduce the etching rate and therefore not preferable. The flow rate of gas to be used depends on the volume of a reactor of the etching apparatus and on the size of the wafer, but it is preferable to carry out etching at a flow rate of between 10 to 10000 SCCM. Moreover, the temperature for etching is preferably not greater than 400° C. High temperatures exceeding 400° C. are not preferable since etching tends to develop isotropically so that a desired patterning accuracy cannot be obtained and since a resist is excessively etched.
  • EXAMPLES
  • The present invention will be more readily understood with reference to the following Examples.
  • Examples 1 to 3 and Comparative Examples 1 and 2
  • There are shown examples where etching was conducted on an interlaminar insulating film (SiO2) by using an etching gas of the present invention for contact hole-fabrication.
  • As a sample, a SiO2 interlaminar insulating film 22 was formed on a single crystal silicon wafer 21. The sample was put to use upon forming on the SiO2 film a resist mask 23 having apertures to serve as an etching mask. A sample before etching is shown in FIG. 1A.
  • A schematic cross section of an apparatus used in the experiment is shown in FIG. 2. By using a high-frequency source 3 (13.56 MHz, 50 W), etching gases (difluoroacetyl fluoride (CHF2COF), oxygen (O2), argon (Ar)) having been supplied from a gas inlet at flow rates shown in Table 1 were excited in a sapphire tube 7 attached to the top of a reaction chamber 1 thereby generating active species. The active species were supplied into the chamber by the flow of gas, upon which etching was conducted on a sample 12 fixed by a sample holder 11. Among the gas specimens, CHF2COF, CF3COF and CF4 were introduced from a first gas inlet while O2 was introduced from a second gas inlet, through a mass flow controller (though not shown).
  • The temperature of the substrate (or the sample holder 11) was set at 25° C., the pressure was set at 2.67 Pa (0.02 Torr), and the RF power density was set at 2.2 W/cm2. A discharged gas was diluted with nitrogen supplied at 5 L/min on a discharge side of a mechanical booster pump, and then the concentration of CF4 was quantified by calibration curve method with the use of FT-IR. Results of the above are shown in Table 1. Incidentally, “ND” shown in the Table refers to less than the floor limit for detection (0.05 volume %). The etching rate (Å/min) was determined in such a manner as to divide film thicknesses obtained before and after etching by an etching time. The film thicknesses were measured by an optical interferotype film-thickness meter. A sample after etching (in the case of having facets) is shown in FIG. 1B.
  • TABLE 1
    Flow Flow CH4
    Rate Rate Etching Selection Concentration
    of Gas 1 of Gas 2 Rate Ratio to Aspect Patterning in Discharged
    Gas 1 SCCM Gas 2 SCCM Å/min Resist Ratio Profile Gas
    Example 1 CHF2COF 50 None 4058 6 6 or No facet, Less than
    more Good side floor limit for
    wall detection
    Example 2 CHF2COF 10 Ar 200 4121 6 6 or No facet, Less than
    more Good side floor limit for
    wall detection
    Example 3 CHF2COF 50 O 2  10 15598 7 6 or No facet, Less than
    more Good side floor limit for
    wall detection
    Comparative CF3COF 50 None 3218 5 6 or Partially 0.11%
    Example 1 more having
    facets and
    gouges in
    side wall
    Comparative CF4 50 None 608 4 5 Partially 0.18%
    Example 2 having
    facets and
    gouges in
    side wall
    CHF2COF: Difluoroacetyl fluoride
    CF3COF: Trifluoroacetyl fluoride
    O2: Oxygen
    Ar: Argon
    CF4: Carbon tetrafluoride
  • EXPLANATION OF REFERENCE NUMERALS
  • 1 Chamber
  • 2 Earth
  • 3 High-frequency source
  • 4 First gas inlet
  • 5 Second gas inlet
  • 6 Third gas inlet
  • 7 Sapphire tube
  • 8 Induction coil
  • 9 Electronic pressure meter
  • 10 Discharged-gas line
  • 11 Sample holder
  • 12 Sample
  • 21 Silicon wafer
  • 22 SiO2 interlaminar insulating film
  • 23 Resist mask
  • 24 Facets

Claims (7)

1. An etching gas used for etching semiconductors, dielectric substances or thin films formed of metals, comprising:
CHF2COF.
2. An etching gas as claimed in claim 1, wherein the semiconductors or the dielectric substances are a silicon-containing substance.
3. An etching gas as claimed in claim 1, wherein the etching gas contains at least one kind of gas selected from O2, O3, CO, CO2, F2, NF3, Cl2, Br2, I2, XFn (In this formula, X represents Cl, I or Br. n represents an integer satisfying 1≦n≦7.), CH4, CH3F, CH2F2, CHF3, N2, He, Ar, Ne and Kr, as an additive.
4. An etching gas as claimed in claim 1, wherein the etching gas contains at least one kind of gas selected from CH4, C2H2, C2H4, C2H6, C3H4, C3H6, C3H8, HI, HBr, HCl, CO, NO, NH3, H2, N2, He, Ar, Ne and Kr, as an additive.
5. An etching gas as claimed in claim 1, wherein the etching gas contains at least one kind of gas selected from CH4, CH3F, CH2F2, CHF3, as an additive.
6. A method for etching semiconductor films, dielectric films or metal films, comprising the step of:
using an etching gas as claimed in claim 1.
7. A method for etching, as claimed in claim 6, further comprising the step of:
thereafter ashing by F2 or O2.
US13/513,038 2009-12-01 2010-11-19 Etching Gas Abandoned US20120231630A1 (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2009273031A JP5655296B2 (en) 2009-12-01 2009-12-01 Etching gas
JP2009-273031 2009-12-01
PCT/JP2010/070656 WO2011068039A1 (en) 2009-12-01 2010-11-19 Etching gas

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2010/070656 A-371-Of-International WO2011068039A1 (en) 2009-12-01 2010-11-19 Etching gas

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US14/455,128 Division US9234133B2 (en) 2009-12-01 2014-08-08 Etching gas

Publications (1)

Publication Number Publication Date
US20120231630A1 true US20120231630A1 (en) 2012-09-13

Family

ID=44114888

Family Applications (2)

Application Number Title Priority Date Filing Date
US13/513,038 Abandoned US20120231630A1 (en) 2009-12-01 2010-11-19 Etching Gas
US14/455,128 Active US9234133B2 (en) 2009-12-01 2014-08-08 Etching gas

Family Applications After (1)

Application Number Title Priority Date Filing Date
US14/455,128 Active US9234133B2 (en) 2009-12-01 2014-08-08 Etching gas

Country Status (7)

Country Link
US (2) US20120231630A1 (en)
EP (1) EP2508500A4 (en)
JP (1) JP5655296B2 (en)
KR (1) KR101391347B1 (en)
CN (1) CN102648171A (en)
TW (1) TWI431686B (en)
WO (1) WO2011068039A1 (en)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120234351A1 (en) * 2009-12-01 2012-09-20 Central Glass Company ,Limited Cleaning Gas
US20150228457A1 (en) * 2012-09-21 2015-08-13 Tokyo Electron Limited Gas supply method and plasma processing apparatus
US9623590B2 (en) * 2012-01-27 2017-04-18 Asahi Kasei E-Materials Corporation Fine concavo-convex structure product, heat-reactive resist material for dry etching, mold manufacturing method and mold
US20170358460A1 (en) * 2016-06-10 2017-12-14 Tokyo Electron Limited Etching process method
CN110523351A (en) * 2019-09-18 2019-12-03 苏州金宏气体股份有限公司 A kind of synthesizer and synthetic method of hydrogen bromide
CN111373511A (en) * 2018-10-26 2020-07-03 株式会社日立高新技术 Plasma processing apparatus and plasma processing method
US11183393B2 (en) 2017-09-15 2021-11-23 Kanto Denka Kogyo Co., Ltd. Atomic layer etching using acid halide
US11289340B2 (en) 2017-11-14 2022-03-29 Central Glass Company, Limited Dry etching method

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9530667B2 (en) 2015-02-13 2016-12-27 Tokyo Electron Limited Method for roughness improvement and selectivity enhancement during arc layer etch using carbon
WO2016131061A1 (en) * 2015-02-13 2016-08-18 Tokyo Electron Limited Method for roughness improvement and selectivity enhancement during arc layer etch
US9576816B2 (en) 2015-02-13 2017-02-21 Tokyo Electron Limited Method for roughness improvement and selectivity enhancement during arc layer etch using hydrogen
JP2016178222A (en) 2015-03-20 2016-10-06 ルネサスエレクトロニクス株式会社 Method of manufacturing semiconductor device
JP2016178223A (en) 2015-03-20 2016-10-06 ルネサスエレクトロニクス株式会社 Method of manufacturing semiconductor device
JP6748354B2 (en) 2015-09-18 2020-09-02 セントラル硝子株式会社 Dry etching method and dry etching agent
JP6385915B2 (en) * 2015-12-22 2018-09-05 東京エレクトロン株式会社 Etching method
KR102496037B1 (en) 2016-01-20 2023-02-06 삼성전자주식회사 method and apparatus for plasma etching
JP6981267B2 (en) * 2018-01-17 2021-12-15 東京エレクトロン株式会社 Etching method and etching equipment
WO2021153219A1 (en) * 2020-01-30 2021-08-05 昭和電工株式会社 Etching method
US20230207328A1 (en) * 2020-04-01 2023-06-29 Lam Research Corporation Selective precision etching of semiconductor materials

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4357282A (en) * 1979-08-31 1982-11-02 E. I. Du Pont De Nemours And Company Preparation of fluorocarbonyl compounds
US5710317A (en) * 1994-07-28 1998-01-20 Asahi Glass Company Ltd. Preparation of difluoroacetic acid fluoride and difluoroacetic acid esters
US5905169A (en) * 1995-03-20 1999-05-18 E. I. Du Pont De Nemours And Company Process for producing polyfluoroacyl compositions
US5994599A (en) * 1997-06-19 1999-11-30 E. I. Du Pont De Nemours And Company Halogenated ethers containing fluorine and processes for their manufacture
US20120234351A1 (en) * 2009-12-01 2012-09-20 Central Glass Company ,Limited Cleaning Gas

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3427534B2 (en) * 1995-01-11 2003-07-22 ソニー株式会社 Forming connection holes
WO1999008805A1 (en) * 1997-08-20 1999-02-25 Air Liquide Electronics Chemicals & Services, Inc. Plasma cleaning and etching methods using non-global-warming compounds
JP3611729B2 (en) 1998-08-26 2005-01-19 セントラル硝子株式会社 Etching gas
JP2000265275A (en) 1999-03-15 2000-09-26 Central Glass Co Ltd Cleaning method
JP3406265B2 (en) * 2000-01-20 2003-05-12 松下電器産業株式会社 Semiconductor device and manufacturing method thereof
JP4112198B2 (en) * 2000-09-11 2008-07-02 財団法人地球環境産業技術研究機構 Cleaning gas and etching gas, and chamber cleaning method and etching method
US7189332B2 (en) * 2001-09-17 2007-03-13 Texas Instruments Incorporated Apparatus and method for detecting an endpoint in a vapor phase etch
US7357138B2 (en) * 2002-07-18 2008-04-15 Air Products And Chemicals, Inc. Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials
US6794313B1 (en) * 2002-09-20 2004-09-21 Taiwan Semiconductor Manufacturing Company, Ltd. Oxidation process to improve polysilicon sidewall roughness
US20050014383A1 (en) * 2003-07-15 2005-01-20 Bing Ji Use of hypofluorites, fluoroperoxides, and/or fluorotrioxides as oxidizing agent in fluorocarbon etch plasmas
US6949460B2 (en) * 2003-11-12 2005-09-27 Lam Research Corporation Line edge roughness reduction for trench etch
US20080274334A1 (en) * 2004-05-31 2008-11-06 National Institute Of Advanced Industrial Science And Technology Dry Etching Gas and Method of Dry Etching

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4357282A (en) * 1979-08-31 1982-11-02 E. I. Du Pont De Nemours And Company Preparation of fluorocarbonyl compounds
US5710317A (en) * 1994-07-28 1998-01-20 Asahi Glass Company Ltd. Preparation of difluoroacetic acid fluoride and difluoroacetic acid esters
US5905169A (en) * 1995-03-20 1999-05-18 E. I. Du Pont De Nemours And Company Process for producing polyfluoroacyl compositions
US5994599A (en) * 1997-06-19 1999-11-30 E. I. Du Pont De Nemours And Company Halogenated ethers containing fluorine and processes for their manufacture
US20120234351A1 (en) * 2009-12-01 2012-09-20 Central Glass Company ,Limited Cleaning Gas

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120234351A1 (en) * 2009-12-01 2012-09-20 Central Glass Company ,Limited Cleaning Gas
US9623590B2 (en) * 2012-01-27 2017-04-18 Asahi Kasei E-Materials Corporation Fine concavo-convex structure product, heat-reactive resist material for dry etching, mold manufacturing method and mold
US20150228457A1 (en) * 2012-09-21 2015-08-13 Tokyo Electron Limited Gas supply method and plasma processing apparatus
TWI608515B (en) * 2012-09-21 2017-12-11 Tokyo Electron Ltd Gas supply method and plasma processing apparatus
US20170358460A1 (en) * 2016-06-10 2017-12-14 Tokyo Electron Limited Etching process method
US10600654B2 (en) * 2016-06-10 2020-03-24 Tokyo Electron Limited Etching process method
US11183393B2 (en) 2017-09-15 2021-11-23 Kanto Denka Kogyo Co., Ltd. Atomic layer etching using acid halide
US11289340B2 (en) 2017-11-14 2022-03-29 Central Glass Company, Limited Dry etching method
CN111373511A (en) * 2018-10-26 2020-07-03 株式会社日立高新技术 Plasma processing apparatus and plasma processing method
CN110523351A (en) * 2019-09-18 2019-12-03 苏州金宏气体股份有限公司 A kind of synthesizer and synthetic method of hydrogen bromide

Also Published As

Publication number Publication date
TWI431686B (en) 2014-03-21
JP2011119310A (en) 2011-06-16
EP2508500A4 (en) 2013-05-15
US20140349488A1 (en) 2014-11-27
KR101391347B1 (en) 2014-05-07
WO2011068039A1 (en) 2011-06-09
CN102648171A (en) 2012-08-22
JP5655296B2 (en) 2015-01-21
EP2508500A1 (en) 2012-10-10
TW201140685A (en) 2011-11-16
KR20120078749A (en) 2012-07-10
US9234133B2 (en) 2016-01-12

Similar Documents

Publication Publication Date Title
US9234133B2 (en) Etching gas
US20120234351A1 (en) Cleaning Gas
US9299581B2 (en) Methods of dry stripping boron-carbon films
US7744769B2 (en) Gas for removing deposit and removal method using same
US20140216498A1 (en) Methods of dry stripping boron-carbon films
KR100682042B1 (en) Plasma cleaning gas and plasma cleaning method
US9093388B2 (en) Dry etching agent and dry etching method using the same
JP2007016315A (en) Remote plasma cleaning method of cvd process chamber
TWI475611B (en) Selective etching and formation of xenon difluoride
KR101877827B1 (en) Etching gas and etching method
US7485580B2 (en) Method for removing organic electroluminescent residues from a substrate
JP2003518738A (en) Silicon metal mask etching method
KR100575847B1 (en) Method collection residual products for fpd and semiconducor
JP2008078582A (en) Method of plasma etching
TWI824098B (en) Dry etching method, dry etching agent, and storage container thereof
EP2944385A1 (en) A process for etching and chamber cleaning and a gas therefor
JPH06283477A (en) Method of manufacturing semiconductor device
JP2740818B2 (en) Surface processing method of gallium nitride based compound semiconductor
TW202328401A (en) Etch process and a processing assembly
JPH11214357A (en) Dry etching gas composition and dry etching method using the same
JPH11121439A (en) Method of dry etching

Legal Events

Date Code Title Description
AS Assignment

Owner name: CENTRAL GLASS COMPANY, LIMITED, JAPAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:TAKADA, NAOTO;MORI, ISAMU;SIGNING DATES FROM 20120416 TO 20120422;REEL/FRAME:028416/0180

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION