US20120061840A1 - Damascene interconnection structure and dual damascene process thereof - Google Patents

Damascene interconnection structure and dual damascene process thereof Download PDF

Info

Publication number
US20120061840A1
US20120061840A1 US13/298,312 US201113298312A US2012061840A1 US 20120061840 A1 US20120061840 A1 US 20120061840A1 US 201113298312 A US201113298312 A US 201113298312A US 2012061840 A1 US2012061840 A1 US 2012061840A1
Authority
US
United States
Prior art keywords
layer
dielectric layer
dual damascene
damascene structure
via opening
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US13/298,312
Inventor
Chun-jen Huang
Yu-Tsung Lai
Jyh-Cherng Yau
Jiunn-Hsiung Liao
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
United Microelectronics Corp
Original Assignee
United Microelectronics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by United Microelectronics Corp filed Critical United Microelectronics Corp
Priority to US13/298,312 priority Critical patent/US20120061840A1/en
Assigned to UNITED MICROELECTRONICS CORP. reassignment UNITED MICROELECTRONICS CORP. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: HUANG, CHUN-JEN, LAI, YU-TSUNG, LIAO, JIUNN-HSIUNG, YAU, JYH-CHERNG
Publication of US20120061840A1 publication Critical patent/US20120061840A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76811Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks

Definitions

  • the present invention relates generally to copper interconnects and semiconductor manufacturing process. More particularly, the present invention relates to an improved copper dual damascene process, which is particularly suited for a copper dual damascene process utilizing a metal hard mask and is capable of solving undesired recess defects near a lower wiring layer caused by misalignment between a via hole and the lower wiring layer.
  • the aforesaid recess defect is etched through a capping layer that caps the lower wiring layer and into a low-k dielectric layer that encapsulates the lower wiring layer.
  • Damascene interconnect processes incorporated with copper are known in the art, which are also referred to as “copper damascene processes” in the semiconductor industry.
  • the copper damascene processes provide a solution to form a conductive wire coupled with an integral via plug without the need of dry etching copper.
  • Either a single damascene or a dual damascene structure is used to connect devices and/or wires of an integrated circuit.
  • the dual damascene process encompasses trench-first, via-first, partial-via-first, and self-aligned processes.
  • FIGS. 1-5 are schematic, cross-sectional diagrams showing a conventional partial-via-first dual damascene process.
  • a substrate 1 having thereon a base layer or a lower low-k dielectric layer 10 is provided.
  • a lower copper wiring 12 is inlaid into the lower low-k dielectric layer 10 .
  • the lower copper wiring 12 and the low-k dielectric layer 10 are covered with a lower cap layer 14 .
  • a low-k dielectric layer 16 , a silicon oxide cap layer 18 , a metal hard mask layer 20 and a bottom anti-reflective coating (BARC) layer 22 are sequentially deposited on the lower cap layer 14 .
  • a layer of photoresist (Trench Photo) 30 having a trench opening 32 therein is formed on the BARC layer 22 .
  • a dry etching process is carried out.
  • a trench recess 36 is etched into the metal hard mask layer 20 and the silicon oxide cap layer 18 through the trench opening 32 .
  • the remaining photoresist 30 and BARC layer 22 are then stripped off.
  • Another BARC layer 38 is coated over the substrate 1 and fills the trench recess 36 .
  • a layer of photoresist (Via Photo) 40 is then formed on the BARC layer 38 .
  • the photoresist layer 40 has a via opening 42 patterned by using conventional lithographic methods. The via opening 42 is situated directly above the trench recess 36 .
  • the BARC layer 38 , the silicon oxide cap layer 18 , and the lower low-k dielectric layer 16 are etched through the via opening 42 , thereby forming a partial via feature 46 in an upper portion of the dielectric layer 16 .
  • the remaining photoresist layer 40 and the BARC layer 38 are stripped off by using oxygen plasma, thereby exposing the remaining metal hard mask layer 20 .
  • a dry etching is performed to etch away the exposed silicon oxide cap layer 18 and the lower low-k dielectric layer 16 simultaneously through the trench recess 36 and the partial via 46 , thereby forming a dual damascene opening 50 comprising a trench opening 56 and a via opening 66 .
  • This dry etching stops on the lower cap layer 14 .
  • a so-called liner removal step or LRM step is carried out to remove the exposed lower cap layer 14 from the via opening 66 , thereby exposing the lower copper wiring 12 .
  • the subsequent steps for forming an upper damascene wiring structure including, for example, deposition of barrier and plating of copper are known in the art and are therefore omitted.
  • the aforesaid LRM step usually uses a plasma source comprising hydrogen-containing carbon fluoride such as CH 2 F 2 or CHF 3 .
  • the misalignment between the via opening 66 of the dual damascene opening 50 and the lower copper wiring 12 becomes worse.
  • the low-k dielectric layer 10 that encapsulates the lower copper wiring 12 is recess etched in the aforesaid LRM step after the overlying cap layer 14 is etched through. Therefore, an undesired recess defect 80 forms next to the lower copper wiring 12 .
  • Such recess defect 80 becomes problematic when performing the following barrier deposition because the barrier cannot uniformly deposit into the recess defect 80 , thus adversely affecting the performance and reliability of the semiconductor integrated circuit devices.
  • the dielectric structure is etched in a plasma-etching step, which plasma-etching step is conducted using a plasma source gas that comprises nitrogen atoms and fluorine atoms.
  • the plasma source gas can comprise a gaseous species that comprises one or more nitrogen atoms and one or more fluorine atoms (e.g., NF 3 ).
  • the plasma source gas can comprise (a) a gaseous species that comprises one or more nitrogen atoms (e.g., N 2 ) and (b) a gaseous species that comprises one or more fluorine atoms (e.g., a fluorocarbon gas such as CF 4 ).
  • a gaseous species that comprises one or more nitrogen atoms e.g., N 2
  • a gaseous species that comprises one or more fluorine atoms e.g., a fluorocarbon gas such as CF 4
  • U.S. Pat. No. 6,905,968 teaches that the cap layer exposed by the via opening is removed by using CH 2 F 2 or CHF 3 plasma.
  • a substrate comprises a base dielectric layer, a lower wiring layer inlaid in the base dielectric layer, and a cap layer capping the lower wiring layer and the base dielectric layer.
  • a dielectric layer is deposited on the cap layer.
  • An opening is etched into the dielectric layer to expose a portion of the cap layer.
  • a liner removal step is performed by employing CF 4 /NF 3 plasma to selectively remove the exposed cap layer from the opening, thereby revealing a portion of the lower wiring layer and a portion of the base dielectric layer, wherein at bottom of the opening, a step height between the exposed base dielectric layer and exposed top surface of the lower wiring layer is less than 150 angstroms.
  • a dual damascene process is disclosed.
  • a substrate comprising a base dielectric layer, a lower wiring layer inlaid in the base dielectric layer, and a cap layer capping the lower wiring layer is provided.
  • a dielectric layer is deposited on the cap layer.
  • a silicon oxide layer is then deposited on the dielectric layer.
  • a metal hard mask is formed on the silicon oxide layer.
  • a trench opening is etched into the metal hard mask and the silicon oxide layer.
  • a partial via feature is etched into the dielectric layer within the trench opening, wherein the partial via opening misaligns with the lower wiring layer.
  • the trench opening and the partial via feature are etch transferred into the dielectric layer, thereby forming a dual damascene opening therein, which exposes a portion of the cap layer.
  • a liner removal step is performed to selectively remove the exposed cap layer from the dual damascene opening by employing CF 4 /NF 3 plasma, thereby revealing a portion of the lower wiring layer and a portion of the base dielectric layer.
  • a substrate comprising a base dielectric layer, a lower wiring layer inlaid in the base dielectric layer, and a cap layer capping the lower wiring layer is provided.
  • a dielectric layer is deposited on the cap layer.
  • a silicon oxide layer is deposited on the dielectric layer.
  • a metal hard mask is formed on the silicon oxide layer.
  • a trench opening is etched into the metal hard mask.
  • a via opening is etched into the dielectric layer through the trench opening to expose a portion of the cap layer.
  • a liner removal step is performed to selectively remove the exposed cap layer from the via opening by employing a plasma source comprising hydrogen-free carbon fluoride and nitrogen-containing gas, thereby revealing a portion of the lower wiring layer and a portion of the base dielectric layer.
  • a dual damascene structure includes a substrate comprising thereon a base dielectric layer; a lower wiring layer inlaid in the base dielectric layer; a cap layer capping the lower wiring layer and the base dielectric layer; a dielectric layer on the cap layer; a via opening in the dielectric layer and the cap layer, wherein the via opening misaligns with the lower wiring layer thus exposing a portion of the lower wiring layer and a portion of the base dielectric layer; a barrier layer lining interior surface of the via opening and covers the exposed lower wiring layer and the base dielectric layer; and a copper layer filling the via opening on the barrier layer.
  • FIGS. 1-6 are schematic, cross-sectional diagrams showing a conventional partial-via-first dual damascene process
  • FIGS. 7-8 are schematic, cross-sectional diagrams showing misalignment between via opening and the lower copper wiring and recess defect
  • FIGS. 9-17 are schematic, cross-sectional diagrams showing a dual damascene process in accordance with one preferred embodiment of this invention.
  • FIG. 18 is an enlarged diagram illustrating the interface between the via plug of the dual damascene wiring structure and the lower copper wiring of FIG. 17 .
  • FIG. 19 is an SEM image showing the step height that is less than 50 angstroms at the bottom of the via in accordance with this invention.
  • FIGS. 9-17 are schematic, cross-sectional diagrams showing a dual damascene process according to one preferred embodiment of this invention, wherein like numeral numbers designate like elements, regions or layers. It is understood that the present invention is not limited to the embodiments illustrated in FIGS. 9-17 . The present invention is suited for other types of damascene process such as trench-first damascene, via-first damascene or partial via-first damascene processes, among others.
  • a substrate 1 having thereon a base layer or a lower low-k dielectric layer 10 is provided.
  • a lower copper wiring 12 is inlaid into the lower low-k dielectric layer 10 .
  • the lower copper wiring 12 and the low-k dielectric layer 10 are covered with a lower cap layer 14 .
  • the lower cap layer 14 is made, for example, of nitrogen doped silicon carbide (SiCN) and has a thickness of about 300-800 angstroms, preferably about 500 angstroms.
  • the lower cap layer 14 may be made of other materials such as silicon nitride, silicon oxy-nitride, silicon carbide, oxygen-doped silicon carbide (SiCO), etc.
  • a low-k dielectric layer 16 , a TEOS-based silicon oxide cap layer 118 , a metal hard mask layer 20 and a bottom anti-reflective coating (BARC) layer 22 are sequentially deposited on the lower cap layer 14 .
  • the metal hard mask layer 20 is made of titanium nitride (TiN), but not limited thereto.
  • the metal hard mask layer 20 may be made of tantalum nitride (TaN) or other suitable metals or alloys.
  • the thickness of the metal hard mask layer 20 ranges between 250-450 angstroms, preferably about 300-350 angstroms.
  • the low-k dielectric layers 10 and 16 may include organosilicate glass (OSG), which is a silicon oxide that is doped with carbon and hydrogen atoms and has a dielectric constant (k) value between 2 and 3, thereby providing a needed reduction in capacitance coupling between wirings.
  • OSG organosilicate glass
  • Suitable low-k materials for the low-k dielectric layers 10 and 16 may include, for example, Black DiamondTM available from Applied Materials, CORALTM available from Novellus, among others.
  • the thickness of the low-k dielectric layer 16 ranges between 2500-4500 angstroms, preferably about 3000-3500 angstroms.
  • the TEOS-based silicon oxide cap layer 118 is deposited by using a plasma-enhanced chemical vapor deposition (PECVD) method, wherein tetraethylorthosilicate precursor, oxygen (O 2 ) and a relatively higher O 2 /TEOS ratio are employed.
  • PECVD plasma-enhanced chemical vapor deposition
  • the TEOS-based silicon oxide cap layer 118 having a reduced carbon content is deposited by employing the following process conditions: a pressure of about 3-8 Torr, preferably 5 Torr; a process temperature of about 100-450° C., preferably 350-400° C.; a high-frequency RF power of about 200-350 Watts, preferably 250-300 Watts, more preferably 280 Watts, for a duration of about 25 seconds; a low-frequency RF power (bias RF power) of about 30-70 Watts, preferably 40-60 Watts, more preferably about 50 Watts; TEOS precursor of about 0.2-5 gm; helium (carrier gas) flowrate of about 7500-9500 sccm, preferably 8500-9000 sccm; and oxygen (O 2 ) flowrate of about 5000-10000 sccm, preferably about 8000 sccm.
  • a pressure of about 3-8 Torr preferably 5 Torr
  • a process temperature of about 100-450° C.
  • the above-described PECVD process is carried out at relatively low deposition rate ranging between 800-4000 angstroms/minute.
  • the TEOS-based silicon oxide cap layer 118 has a thickness of about 300-1000 angstroms, preferably 500 angstroms.
  • a layer of photoresist (Trench Photo) 30 having a trench opening 32 therein is formed on the BARC layer 22 .
  • the trench opening 32 defines the damascened wiring trench pattern to be etched into the underlying low-k dielectric layer 16 .
  • a dry etching process is carried out.
  • a trench recess 36 is etched into the metal hard mask layer 20 and the TEOS-based silicon oxide cap layer 118 through the trench opening 32 .
  • the dry etching stops on the TEOS-based silicon oxide cap layer 118 .
  • the remaining photoresist 30 and BARC layer 22 are then stripped off.
  • the photoresist 30 may be stripped with an oxygen containing plasma.
  • Another BARC layer 38 is coated over the substrate 1 and fills the trench recess 36 .
  • a layer of photoresist (Via Photo) 40 is then formed on the BARC layer 38 .
  • the photoresist layer 40 has a via opening 42 patterned by using conventional lithographic methods. The via opening 42 is situated directly above the trench recess 36 , but is misaligned with the lower copper wiring 12 .
  • the BARC layer 38 , the TEOS-based silicon oxide cap layer 118 , and the lower low-k dielectric layer 16 are etched through the via opening 42 , thereby forming a partial via feature 46 in an upper portion of the low-k dielectric layer 16 .
  • the remaining photoresist layer 40 and the BARC layer 38 are stripped off by using oxygen plasma.
  • oxygen plasma H 2 /N 2 or H 2 /He plasma may be employed to strip the photoresist layer 40 and the BARC layer 38 .
  • a dry etching is performed to etch away the exposed TEOS-based silicon oxide cap layer 118 and the lower low-k dielectric layer 16 through the trench recess 36 and the partial via 46 , thereby forming a dual damascene opening 50 comprising a trench opening 56 and a via opening 66 that exposes portion of the lower cap layer 14 .
  • another dry etching step or LRM step is carried out to selectively remove the exposed lower cap layer 14 from the via opening 66 , thereby exposing a portion of the lower copper wiring 12 and a portion of the low-k dielectric layer 10 .
  • the etching of the cap layer 14 has high selectivity to the underlying low-k dielectric layer 10 . Therefore, the exposed low-k dielectric layer 10 due to misalignment of the via opening 66 is not recess etched. The undesired recess defect is therefore avoided.
  • the aforesaid dry etching for removing the cap layer 14 utilizes plasma gas mixture source comprising hydrogen-free carbon fluoride such as carbon tetrafluoride (CF 4 ) and nitrogen-containing gas such as nitrogen trifluoride (NF 3 ).
  • the flowrate ratio of CF 4 to NF 3 is preferably 3:1.
  • the flowrate of CF 4 is 150 sccm and the flowrate of NF 3 is 50 sccm.
  • the CF 4 / NF 3 plasma can provide high selectivity during the etching of the cap layer 14 .
  • the exposed cap layer 14 can be removed rapidly and efficiently, without significantly etching the underlying low-k dielectric layer 10 .
  • NF 3 is critical. It is believed that NF 3 in the plasma can provide a thin nitride protection film on the low-k dielectric layer 10 when the cap layer 14 is etched through.
  • the dry etching for removing the cap layer 14 may use CF 4 /NO, CF 4 /NO 2 or CF 4 /N 2 .
  • the plasma containing CF 4 /NH 3 is not suggested because ammonia contains hydrogen atoms and residues may form during the etching.
  • the subsequent steps for forming an upper damascene wiring structure including, for example, deposition of barrier, plating of copper and chemical mechanical polishing (CMP) are known in the art.
  • CMP chemical mechanical polishing
  • a dual damascene wiring structure 100 is depicted in FIG. 17 .
  • the dual damascene wiring structure 100 includes a barrier layer 82 such as Ti, TiN, Ta or TaN lining the trench opening 56 and the via opening 66 , an upper copper wiring 86 inlaid in the trench opening 56 , and an integral via plug 96 inlaid in the via opening 66 .
  • An upper cap layer 104 such as nitrogen-doped SiC, SiC or SiN is typically deposited on the dual damascene wiring structure 100 and on the exposed surface of the low-k dielectric layer 16 .
  • FIG. 18 is an enlarged diagram illustrating the interface between the via plug 96 of the dual damascene wiring structure 100 and the lower copper wiring 12 of FIG. 17 .
  • the present invention also provide a dual damascene wiring structure having a via plug 96 that is landing partly on the low-k dielectric layer 10 and partly on the lower copper wiring 12 due to the misalignment between the via opening 66 and the lower copper wiring 12 .
  • the cap layer 14 is etched away by employing high selectivity CF 4 / NF 3 plasma and only a very thin layer of the exposed low-k dielectric layer 10 is removed to form a slightly recessed area 110 that is lower than the top surface of the lower copper wiring 12 .
  • the step height d between the top surface of the lower copper wiring 12 and the surface of the slightly recessed area 110 can be well controlled within 150 angstroms. It is critical to control the step height d within 150 angstroms, more preferably less than 50 angstroms, because with such small step height between the top surface of the lower copper wiring 12 and the surface of the slightly recessed area 110 , the barrier layer can uniformly deposit in the interior surface of the via opening 66 without generating void defect next to the lower copper wiring 12 .
  • FIG. 19 demonstrates an SEM image showing the step height that is less than 50 angstroms at the bottom of the via in accordance with this invention.

Abstract

A dual damascene structure is disclosed. The dual damascene structure includes: a substrate comprising thereon a base dielectric layer and a lower wiring layer inlaid in the base dielectric layer; a dielectric layer on the substrate; a via opening in the dielectric layer, wherein the via opening misaligns with the lower wiring layer thus exposing a portion of the lower wiring layer and a portion of the base dielectric layer, wherein the via opening comprises a bottom including a recessed area; a barrier layer lining interior surface of the via opening and covers the exposed lower wiring layer and the base dielectric layer, wherein only the barrier layer fills the recessed area; and a copper layer filling the via opening on the barrier layer.

Description

    CROSS REFERENCE TO RELATED APPLICATIONS
  • This is a continuation application of U.S. patent application Ser. No. 12/821,136 filed on Jun. 23, 2010, which is a division application of U.S. patent application Ser. No. 11/621,996 filed on Jan. 11, 2007 (now issued as U.S. Pat. No. 7,767,578), and all benefits of such earlier application are hereby claimed for this new continuation application.
  • BACKGROUND OF THE INVENTION
  • 1. Field of the Invention
  • The present invention relates generally to copper interconnects and semiconductor manufacturing process. More particularly, the present invention relates to an improved copper dual damascene process, which is particularly suited for a copper dual damascene process utilizing a metal hard mask and is capable of solving undesired recess defects near a lower wiring layer caused by misalignment between a via hole and the lower wiring layer. The aforesaid recess defect is etched through a capping layer that caps the lower wiring layer and into a low-k dielectric layer that encapsulates the lower wiring layer.
  • 2. Description of the Prior Art
  • Damascene interconnect processes incorporated with copper are known in the art, which are also referred to as “copper damascene processes” in the semiconductor industry. The copper damascene processes provide a solution to form a conductive wire coupled with an integral via plug without the need of dry etching copper. Either a single damascene or a dual damascene structure is used to connect devices and/or wires of an integrated circuit. Generally, the dual damascene process encompasses trench-first, via-first, partial-via-first, and self-aligned processes.
  • FIGS. 1-5 are schematic, cross-sectional diagrams showing a conventional partial-via-first dual damascene process. As shown in FIG. 1, a substrate 1 having thereon a base layer or a lower low-k dielectric layer 10 is provided. A lower copper wiring 12 is inlaid into the lower low-k dielectric layer 10. The lower copper wiring 12 and the low-k dielectric layer 10 are covered with a lower cap layer 14. A low-k dielectric layer 16, a silicon oxide cap layer 18, a metal hard mask layer 20 and a bottom anti-reflective coating (BARC) layer 22 are sequentially deposited on the lower cap layer 14. A layer of photoresist (Trench Photo) 30 having a trench opening 32 therein is formed on the BARC layer 22.
  • Subsequently, as shown in FIG. 2, a dry etching process is carried out. A trench recess 36 is etched into the metal hard mask layer 20 and the silicon oxide cap layer 18 through the trench opening 32. The dry etching stops on the silicon oxide cap layer 18. The remaining photoresist 30 and BARC layer 22 are then stripped off.
  • As shown in FIG. 3, another BARC layer 38 is coated over the substrate 1 and fills the trench recess 36. A layer of photoresist (Via Photo) 40 is then formed on the BARC layer 38. The photoresist layer 40 has a via opening 42 patterned by using conventional lithographic methods. The via opening 42 is situated directly above the trench recess 36.
  • Thereafter, using the photoresist layer 40 as an etching hard mask, the BARC layer 38, the silicon oxide cap layer 18, and the lower low-k dielectric layer 16 are etched through the via opening 42, thereby forming a partial via feature 46 in an upper portion of the dielectric layer 16. As shown in FIG. 4, the remaining photoresist layer 40 and the BARC layer 38 are stripped off by using oxygen plasma, thereby exposing the remaining metal hard mask layer 20.
  • As shown in FIG. 5, using the metal hard mask layer 20 as an etching hard mask, a dry etching is performed to etch away the exposed silicon oxide cap layer 18 and the lower low-k dielectric layer 16 simultaneously through the trench recess 36 and the partial via 46, thereby forming a dual damascene opening 50 comprising a trench opening 56 and a via opening 66. This dry etching stops on the lower cap layer 14.
  • As shown in FIG. 6, a so-called liner removal step or LRM step is carried out to remove the exposed lower cap layer 14 from the via opening 66, thereby exposing the lower copper wiring 12. The subsequent steps for forming an upper damascene wiring structure including, for example, deposition of barrier and plating of copper are known in the art and are therefore omitted. The aforesaid LRM step usually uses a plasma source comprising hydrogen-containing carbon fluoride such as CH2F2 or CHF3.
  • However, as the critical dimensions of semiconductor integrated circuit devices shrink, the misalignment between the via opening 66 of the dual damascene opening 50 and the lower copper wiring 12 becomes worse. As shown in FIG. 7 and FIG. 8, when misalignment occurs, the low-k dielectric layer 10 that encapsulates the lower copper wiring 12 is recess etched in the aforesaid LRM step after the overlying cap layer 14 is etched through. Therefore, an undesired recess defect 80 forms next to the lower copper wiring 12. Such recess defect 80 becomes problematic when performing the following barrier deposition because the barrier cannot uniformly deposit into the recess defect 80, thus adversely affecting the performance and reliability of the semiconductor integrated circuit devices. Another drawback is that the use of hydrogen-containing carbon fluoride such as CH2F2 or CHF3 in the aforesaid LRM step results in residues that are difficult to be removed. It is believed that such residues include organic meal substances derived from the plasma gas and the metal hard mask.
  • U.S. Pat. No. 6,905,968 discloses a process for selectively etching dielectric structure comprising a lower layer of undoped silicon oxide or F-doped silicon oxide and an upper layer of C, H-doped silicon oxide (k=2.5˜3) in order to avoid the use of an etch stop layer between the lower layer and the upper layer. The dielectric structure is etched in a plasma-etching step, which plasma-etching step is conducted using a plasma source gas that comprises nitrogen atoms and fluorine atoms. The plasma source gas can comprise a gaseous species that comprises one or more nitrogen atoms and one or more fluorine atoms (e.g., NF3). The plasma source gas can comprise (a) a gaseous species that comprises one or more nitrogen atoms (e.g., N2) and (b) a gaseous species that comprises one or more fluorine atoms (e.g., a fluorocarbon gas such as CF4). U.S. Pat. No. 6,905,968 teaches that the cap layer exposed by the via opening is removed by using CH2F2 or CHF3 plasma.
  • In light of the above, there is a need in this industry to provide an improved method of forming dual damascene structure in the fabrication of integrated circuits, which is capable of solving the aforesaid problems.
  • SUMMARY OF THE INVENTION
  • It is one object of the present invention to provide an improved copper dual damascene method, which is capable solving the above-described problems.
  • It is one object of the present invention to provide an improved copper dual damascene method to address the misalignment between the via opening and the lower copper wiring.
  • According to the claimed invention, a damascene process is provided. A substrate comprises a base dielectric layer, a lower wiring layer inlaid in the base dielectric layer, and a cap layer capping the lower wiring layer and the base dielectric layer. A dielectric layer is deposited on the cap layer. An opening is etched into the dielectric layer to expose a portion of the cap layer. A liner removal step is performed by employing CF4/NF3 plasma to selectively remove the exposed cap layer from the opening, thereby revealing a portion of the lower wiring layer and a portion of the base dielectric layer, wherein at bottom of the opening, a step height between the exposed base dielectric layer and exposed top surface of the lower wiring layer is less than 150 angstroms.
  • According to the claimed invention, a dual damascene process is disclosed. A substrate comprising a base dielectric layer, a lower wiring layer inlaid in the base dielectric layer, and a cap layer capping the lower wiring layer is provided. A dielectric layer is deposited on the cap layer. A silicon oxide layer is then deposited on the dielectric layer. A metal hard mask is formed on the silicon oxide layer. A trench opening is etched into the metal hard mask and the silicon oxide layer. A partial via feature is etched into the dielectric layer within the trench opening, wherein the partial via opening misaligns with the lower wiring layer. The trench opening and the partial via feature are etch transferred into the dielectric layer, thereby forming a dual damascene opening therein, which exposes a portion of the cap layer. A liner removal step is performed to selectively remove the exposed cap layer from the dual damascene opening by employing CF4/NF3 plasma, thereby revealing a portion of the lower wiring layer and a portion of the base dielectric layer.
  • From one aspect of this invention, a dual damascene process is disclosed. A substrate comprising a base dielectric layer, a lower wiring layer inlaid in the base dielectric layer, and a cap layer capping the lower wiring layer is provided. A dielectric layer is deposited on the cap layer. A silicon oxide layer is deposited on the dielectric layer. A metal hard mask is formed on the silicon oxide layer. A trench opening is etched into the metal hard mask. A via opening is etched into the dielectric layer through the trench opening to expose a portion of the cap layer. A liner removal step is performed to selectively remove the exposed cap layer from the via opening by employing a plasma source comprising hydrogen-free carbon fluoride and nitrogen-containing gas, thereby revealing a portion of the lower wiring layer and a portion of the base dielectric layer.
  • From another aspect of this invention, a dual damascene structure is provided. The dual damascene structure includes a substrate comprising thereon a base dielectric layer; a lower wiring layer inlaid in the base dielectric layer; a cap layer capping the lower wiring layer and the base dielectric layer; a dielectric layer on the cap layer; a via opening in the dielectric layer and the cap layer, wherein the via opening misaligns with the lower wiring layer thus exposing a portion of the lower wiring layer and a portion of the base dielectric layer; a barrier layer lining interior surface of the via opening and covers the exposed lower wiring layer and the base dielectric layer; and a copper layer filling the via opening on the barrier layer.
  • These and other objectives of the present invention will no doubt become obvious to those of ordinary skill in the art after reading the following detailed description of the preferred embodiment that is illustrated in the various figures and drawings.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The accompanying drawings are included to provide a further understanding of the invention, and are incorporated in and constitute a part of this specification. The drawings illustrate embodiments of the invention and, together with the description, serve to explain the principles of the invention. In the drawings:
  • FIGS. 1-6 are schematic, cross-sectional diagrams showing a conventional partial-via-first dual damascene process;
  • FIGS. 7-8 are schematic, cross-sectional diagrams showing misalignment between via opening and the lower copper wiring and recess defect;
  • FIGS. 9-17 are schematic, cross-sectional diagrams showing a dual damascene process in accordance with one preferred embodiment of this invention; and
  • FIG. 18 is an enlarged diagram illustrating the interface between the via plug of the dual damascene wiring structure and the lower copper wiring of FIG. 17.
  • FIG. 19 is an SEM image showing the step height that is less than 50 angstroms at the bottom of the via in accordance with this invention.
  • DETAILED DESCRIPTION
  • FIGS. 9-17 are schematic, cross-sectional diagrams showing a dual damascene process according to one preferred embodiment of this invention, wherein like numeral numbers designate like elements, regions or layers. It is understood that the present invention is not limited to the embodiments illustrated in FIGS. 9-17. The present invention is suited for other types of damascene process such as trench-first damascene, via-first damascene or partial via-first damascene processes, among others.
  • As shown in FIG. 9, a substrate 1 having thereon a base layer or a lower low-k dielectric layer 10 is provided. Likewise, a lower copper wiring 12 is inlaid into the lower low-k dielectric layer 10. The lower copper wiring 12 and the low-k dielectric layer 10 are covered with a lower cap layer 14. According to the preferred embodiment, the lower cap layer 14 is made, for example, of nitrogen doped silicon carbide (SiCN) and has a thickness of about 300-800 angstroms, preferably about 500 angstroms. The lower cap layer 14 may be made of other materials such as silicon nitride, silicon oxy-nitride, silicon carbide, oxygen-doped silicon carbide (SiCO), etc.
  • Subsequently, a low-k dielectric layer 16, a TEOS-based silicon oxide cap layer 118, a metal hard mask layer 20 and a bottom anti-reflective coating (BARC) layer 22 are sequentially deposited on the lower cap layer 14. Preferably, the metal hard mask layer 20 is made of titanium nitride (TiN), but not limited thereto. For example, the metal hard mask layer 20 may be made of tantalum nitride (TaN) or other suitable metals or alloys. According to the preferred embodiment, the thickness of the metal hard mask layer 20 ranges between 250-450 angstroms, preferably about 300-350 angstroms.
  • The low-k dielectric layers 10 and 16 may include organosilicate glass (OSG), which is a silicon oxide that is doped with carbon and hydrogen atoms and has a dielectric constant (k) value between 2 and 3, thereby providing a needed reduction in capacitance coupling between wirings. Suitable low-k materials for the low-k dielectric layers 10 and 16 may include, for example, Black Diamond™ available from Applied Materials, CORAL™ available from Novellus, among others. According to the preferred embodiment, the thickness of the low-k dielectric layer 16 ranges between 2500-4500 angstroms, preferably about 3000-3500 angstroms.
  • According to the preferred embodiment, the TEOS-based silicon oxide cap layer 118 is deposited by using a plasma-enhanced chemical vapor deposition (PECVD) method, wherein tetraethylorthosilicate precursor, oxygen (O2) and a relatively higher O2/TEOS ratio are employed.
  • According to the preferred embodiment, the TEOS-based silicon oxide cap layer 118 having a reduced carbon content is deposited by employing the following process conditions: a pressure of about 3-8 Torr, preferably 5 Torr; a process temperature of about 100-450° C., preferably 350-400° C.; a high-frequency RF power of about 200-350 Watts, preferably 250-300 Watts, more preferably 280 Watts, for a duration of about 25 seconds; a low-frequency RF power (bias RF power) of about 30-70 Watts, preferably 40-60 Watts, more preferably about 50 Watts; TEOS precursor of about 0.2-5 gm; helium (carrier gas) flowrate of about 7500-9500 sccm, preferably 8500-9000 sccm; and oxygen (O2) flowrate of about 5000-10000 sccm, preferably about 8000 sccm. The above-described PECVD process is carried out at relatively low deposition rate ranging between 800-4000 angstroms/minute. The TEOS-based silicon oxide cap layer 118 has a thickness of about 300-1000 angstroms, preferably 500 angstroms.
  • As shown in FIG. 7, a layer of photoresist (Trench Photo) 30 having a trench opening 32 therein is formed on the BARC layer 22. The trench opening 32 defines the damascened wiring trench pattern to be etched into the underlying low-k dielectric layer 16.
  • Subsequently, as shown in FIG. 11, a dry etching process is carried out. A trench recess 36 is etched into the metal hard mask layer 20 and the TEOS-based silicon oxide cap layer 118 through the trench opening 32. The dry etching stops on the TEOS-based silicon oxide cap layer 118. The remaining photoresist 30 and BARC layer 22 are then stripped off. The photoresist 30 may be stripped with an oxygen containing plasma.
  • As shown in FIG. 12, another BARC layer 38 is coated over the substrate 1 and fills the trench recess 36. A layer of photoresist (Via Photo) 40 is then formed on the BARC layer 38. The photoresist layer 40 has a via opening 42 patterned by using conventional lithographic methods. The via opening 42 is situated directly above the trench recess 36, but is misaligned with the lower copper wiring 12.
  • As shown in FIG. 13, using the photoresist layer 40 as an etching hard mask, the BARC layer 38, the TEOS-based silicon oxide cap layer 118, and the lower low-k dielectric layer 16 are etched through the via opening 42, thereby forming a partial via feature 46 in an upper portion of the low-k dielectric layer 16.
  • As shown in FIG. 14, the remaining photoresist layer 40 and the BARC layer 38 are stripped off by using oxygen plasma. Alternatively, H2/N2 or H2/He plasma may be employed to strip the photoresist layer 40 and the BARC layer 38.
  • As shown in FIG. 15, using the metal hard mask layer 20 as an etching hard mask, a dry etching is performed to etch away the exposed TEOS-based silicon oxide cap layer 118 and the lower low-k dielectric layer 16 through the trench recess 36 and the partial via 46, thereby forming a dual damascene opening 50 comprising a trench opening 56 and a via opening 66 that exposes portion of the lower cap layer 14.
  • As shown in FIG. 16, another dry etching step or LRM step is carried out to selectively remove the exposed lower cap layer 14 from the via opening 66, thereby exposing a portion of the lower copper wiring 12 and a portion of the low-k dielectric layer 10. It is one salient feature of this invention that the etching of the cap layer 14 has high selectivity to the underlying low-k dielectric layer 10. Therefore, the exposed low-k dielectric layer 10 due to misalignment of the via opening 66 is not recess etched. The undesired recess defect is therefore avoided.
  • According to the preferred embodiment, the aforesaid dry etching for removing the cap layer 14 utilizes plasma gas mixture source comprising hydrogen-free carbon fluoride such as carbon tetrafluoride (CF4) and nitrogen-containing gas such as nitrogen trifluoride (NF3). The flowrate ratio of CF4 to NF3 is preferably 3:1. For example, the flowrate of CF4 is 150 sccm and the flowrate of NF3 is 50 sccm. According to the preferred embodiment, the CF4/ NF3 plasma can provide high selectivity during the etching of the cap layer 14. The exposed cap layer 14 can be removed rapidly and efficiently, without significantly etching the underlying low-k dielectric layer 10.
  • It has been experimentally confirmed that merely using the CF4 plasma without incorporating NF3 results in poor selectivity although is can solve the residue problem. When the CF4 plasma (without NF3) is used to etch the cap layer 14 through the misaligned via opening 66, recess defect still forms next to the lower copper wiring 12. Accordingly, to prevent the recess defect, NF3 is critical. It is believed that NF3 in the plasma can provide a thin nitride protection film on the low-k dielectric layer 10 when the cap layer 14 is etched through.
  • In other preferred embodiments, the dry etching for removing the cap layer 14 may use CF4/NO, CF4/NO2 or CF4/N2. However, the plasma containing CF4/NH3 is not suggested because ammonia contains hydrogen atoms and residues may form during the etching.
  • The subsequent steps for forming an upper damascene wiring structure including, for example, deposition of barrier, plating of copper and chemical mechanical polishing (CMP) are known in the art. After the CMP, a dual damascene wiring structure 100 is depicted in FIG. 17. The dual damascene wiring structure 100 includes a barrier layer 82 such as Ti, TiN, Ta or TaN lining the trench opening 56 and the via opening 66, an upper copper wiring 86 inlaid in the trench opening 56, and an integral via plug 96 inlaid in the via opening 66. An upper cap layer 104 such as nitrogen-doped SiC, SiC or SiN is typically deposited on the dual damascene wiring structure 100 and on the exposed surface of the low-k dielectric layer 16.
  • Please refer to FIG. 18. FIG. 18 is an enlarged diagram illustrating the interface between the via plug 96 of the dual damascene wiring structure 100 and the lower copper wiring 12 of FIG. 17. As shown in FIG. 18, the present invention also provide a dual damascene wiring structure having a via plug 96 that is landing partly on the low-k dielectric layer 10 and partly on the lower copper wiring 12 due to the misalignment between the via opening 66 and the lower copper wiring 12. The cap layer 14 is etched away by employing high selectivity CF4/ NF3 plasma and only a very thin layer of the exposed low-k dielectric layer 10 is removed to form a slightly recessed area 110 that is lower than the top surface of the lower copper wiring 12. The step height d between the top surface of the lower copper wiring 12 and the surface of the slightly recessed area 110 can be well controlled within 150 angstroms. It is critical to control the step height d within 150 angstroms, more preferably less than 50 angstroms, because with such small step height between the top surface of the lower copper wiring 12 and the surface of the slightly recessed area 110, the barrier layer can uniformly deposit in the interior surface of the via opening 66 without generating void defect next to the lower copper wiring 12. FIG. 19 demonstrates an SEM image showing the step height that is less than 50 angstroms at the bottom of the via in accordance with this invention.
  • Those skilled in the art will readily observe that numerous modifications and alterations of the device and method may be made while retaining the teachings of the invention. Accordingly, the above disclosure should be construed as limited only by the metes and bounds of the appended claims.

Claims (10)

What is claimed is:
1. A dual damascene structure, comprising:
a substrate comprising thereon a base dielectric layer and a lower wiring layer inlaid in the base dielectric layer;
a dielectric layer on the substrate;
a via opening in the dielectric layer, wherein the via opening misaligns with the lower wiring layer thus exposing a portion of the lower wiring layer and a portion of the base dielectric layer, wherein the via opening comprises a bottom including a recessed area;
a barrier layer lining interior surface of the via opening and covers the exposed lower wiring layer and the base dielectric layer, wherein only the barrier layer fills the recessed area; and
a copper layer filling the via opening on the barrier layer.
2. The dual damascene structure of claim 1 wherein the base dielectric layer comprises organosilicate glass.
3. The dual damascene structure of claim 1 wherein the base dielectric layer comprises C, H-doped silicon oxide dielectric.
4. The dual damascene structure of claim 1 wherein the dielectric layer has a dielectric constant that is less than 3.
5. The dual damascene structure of claim 1 wherein a step height between the recessed area and a top surface of the lower wiring layer is less than 150 angstroms.
6. The dual damascene structure of claim 1 wherein a step height between the recessed area and a top surface of the lower wiring layer is less than 50 angstroms.
7. The dual damascene structure of claim 1 further comprising a cap layer capping the lower wiring layer and the base dielectric layer.
8. The dual damascene structure of claim 7 wherein the cap layer comprises nitrogen doped silicon carbide (SiCN).
9. The dual damascene structure of claim 7 wherein the cap layer comprises SiCN, SiN, SiON, SiC, or SiCO.
10. The dual damascene structure of claim 7 wherein the cap layer has a thickness of 300-800 angstroms.
US13/298,312 2007-01-11 2011-11-17 Damascene interconnection structure and dual damascene process thereof Abandoned US20120061840A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US13/298,312 US20120061840A1 (en) 2007-01-11 2011-11-17 Damascene interconnection structure and dual damascene process thereof

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/621,996 US7767578B2 (en) 2007-01-11 2007-01-11 Damascene interconnection structure and dual damascene process thereof
US12/821,136 US8080877B2 (en) 2007-01-11 2010-06-23 Damascene interconnection structure and dual damascene process thereof
US13/298,312 US20120061840A1 (en) 2007-01-11 2011-11-17 Damascene interconnection structure and dual damascene process thereof

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US12/821,136 Continuation US8080877B2 (en) 2007-01-11 2010-06-23 Damascene interconnection structure and dual damascene process thereof

Publications (1)

Publication Number Publication Date
US20120061840A1 true US20120061840A1 (en) 2012-03-15

Family

ID=39618113

Family Applications (3)

Application Number Title Priority Date Filing Date
US11/621,996 Active 2028-05-29 US7767578B2 (en) 2007-01-11 2007-01-11 Damascene interconnection structure and dual damascene process thereof
US12/821,136 Active US8080877B2 (en) 2007-01-11 2010-06-23 Damascene interconnection structure and dual damascene process thereof
US13/298,312 Abandoned US20120061840A1 (en) 2007-01-11 2011-11-17 Damascene interconnection structure and dual damascene process thereof

Family Applications Before (2)

Application Number Title Priority Date Filing Date
US11/621,996 Active 2028-05-29 US7767578B2 (en) 2007-01-11 2007-01-11 Damascene interconnection structure and dual damascene process thereof
US12/821,136 Active US8080877B2 (en) 2007-01-11 2010-06-23 Damascene interconnection structure and dual damascene process thereof

Country Status (1)

Country Link
US (3) US7767578B2 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104051326A (en) * 2013-03-12 2014-09-17 旺宏电子股份有限公司 Forming method of device with contact landing areas at different depths of substrate and 3-D structure
US11062943B2 (en) * 2019-08-09 2021-07-13 International Business Machines Corporation Top via interconnects with wrap around liner

Families Citing this family (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7977244B2 (en) * 2006-12-18 2011-07-12 United Microelectronics Corp. Semiconductor manufacturing process
US20090117745A1 (en) * 2007-11-02 2009-05-07 Li Siyi Methods for selectively etching a barrier layer in dual damascene applications
US8138093B2 (en) * 2009-08-12 2012-03-20 International Business Machines Corporation Method for forming trenches having different widths and the same depth
US8796150B2 (en) 2011-01-24 2014-08-05 International Business Machines Corporation Bilayer trench first hardmask structure and process for reduced defectivity
US10199232B2 (en) 2011-02-24 2019-02-05 United Microelectronics Corporation Conductor line structure
US8569888B2 (en) 2011-05-24 2013-10-29 International Business Machines Corporation Wiring structure and method of forming the structure
US8399359B2 (en) 2011-06-01 2013-03-19 United Microelectronics Corp. Manufacturing method for dual damascene structure
CN102420179B (en) * 2011-09-15 2014-03-12 上海华力微电子有限公司 Copper-interconnection production method for ultra-low dielectric constant film
CN102324400A (en) * 2011-09-28 2012-01-18 上海华力微电子有限公司 Method for manufacturing copper interconnection structure
CN102324401B (en) * 2011-09-28 2013-10-23 上海华力微电子有限公司 Method for manufacturing copper interconnection structure
US8726221B2 (en) * 2012-06-19 2014-05-13 Globalfoundries Singapore Pte. Ltd. Topology density aware flow (TDAF)
US8735295B2 (en) 2012-06-19 2014-05-27 United Microelectronics Corp. Method of manufacturing dual damascene structure
US8647991B1 (en) 2012-07-30 2014-02-11 United Microelectronics Corp. Method for forming dual damascene opening
CN102867778B (en) * 2012-09-17 2015-06-24 上海华力微电子有限公司 Defect solution scheme for 40/45 nano process metal hard photomask structure
US8742587B1 (en) * 2012-11-18 2014-06-03 United Microelectronics Corp. Metal interconnection structure
US8921226B2 (en) 2013-01-14 2014-12-30 United Microelectronics Corp. Method of forming semiconductor structure having contact plug
KR102068677B1 (en) 2013-04-10 2020-01-22 삼성전자 주식회사 Method for fabricating semiconductor device
US8962490B1 (en) 2013-10-08 2015-02-24 United Microelectronics Corp. Method for fabricating semiconductor device
US10163655B2 (en) * 2015-11-20 2018-12-25 Micron Technology, Inc. Through substrate via liner densification
JP6877290B2 (en) * 2017-08-03 2021-05-26 東京エレクトロン株式会社 How to process the object to be processed

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6355552B1 (en) * 1998-05-27 2002-03-12 Stmicroelectronics S.A. Integrated circuit with stop layer and associated fabrication process
US6441492B1 (en) * 1999-09-10 2002-08-27 James A. Cunningham Diffusion barriers for copper interconnect systems
US6528411B2 (en) * 1997-02-27 2003-03-04 Nec Corporation Semiconductor device and method of its fabrication
US20060186548A1 (en) * 2005-02-14 2006-08-24 Yoshiyuki Enomoto Method of manufacturing semiconductor device and semiconductor device
US20070284744A1 (en) * 2003-06-26 2007-12-13 Dubin Valery M Apparatus for an improved air gap interconnect structure
US20090065946A1 (en) * 2005-03-22 2009-03-12 Kabushiki Kaisha Toshiba Method for fabricating semiconductor device and semiconductor device

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10242271A (en) * 1997-02-28 1998-09-11 Sony Corp Semiconductor device and its manufacture
US6312874B1 (en) * 1998-11-06 2001-11-06 Advanced Micro Devices, Inc. Method for forming a dual damascene trench and underlying borderless via in low dielectric constant materials
JP2003124189A (en) * 2001-10-10 2003-04-25 Fujitsu Ltd Method of manufacturing semiconductor device
US6905968B2 (en) 2001-12-12 2005-06-14 Applied Materials, Inc. Process for selectively etching dielectric layers
US7226853B2 (en) * 2001-12-26 2007-06-05 Applied Materials, Inc. Method of forming a dual damascene structure utilizing a three layer hard mask structure
US7157366B2 (en) * 2002-04-02 2007-01-02 Samsung Electronics Co., Ltd. Method of forming metal interconnection layer of semiconductor device
US6930048B1 (en) * 2002-09-18 2005-08-16 Lam Research Corporation Etching a metal hard mask for an integrated circuit structure
US7033929B1 (en) * 2002-12-23 2006-04-25 Lsi Logic Corporation Dual damascene interconnect structure with improved electro migration lifetimes
US6806579B2 (en) * 2003-02-11 2004-10-19 Infineon Technologies Ag Robust via structure and method
JP4360881B2 (en) * 2003-03-24 2009-11-11 Necエレクトロニクス株式会社 Semiconductor device including multilayer wiring and manufacturing method thereof
JP3778174B2 (en) * 2003-04-14 2006-05-24 ソニー株式会社 Semiconductor device and manufacturing method thereof
US20040245636A1 (en) * 2003-06-06 2004-12-09 International Business Machines Corporation Full removal of dual damascene metal level
US20050082089A1 (en) * 2003-10-18 2005-04-21 Stephan Grunow Stacked interconnect structure between copper lines of a semiconductor circuit
US20050106888A1 (en) * 2003-11-14 2005-05-19 Taiwan Semiconductor Manufacturing Co. Method of in-situ damage removal - post O2 dry process
DE102004021085A1 (en) * 2004-04-29 2005-11-24 Advanced Micro Devices, Inc., Sunnyvale A technique for reducing the roughness of metal lines in a metallization layer
US7180187B2 (en) * 2004-06-22 2007-02-20 International Business Machines Corporation Interlayer connector for preventing delamination of semiconductor device
JP5180426B2 (en) * 2005-03-11 2013-04-10 ルネサスエレクトロニクス株式会社 Manufacturing method of semiconductor device
US7317253B2 (en) * 2005-04-25 2008-01-08 Sony Corporation Cobalt tungsten phosphate used to fill voids arising in a copper metallization process
US7214612B2 (en) * 2005-08-31 2007-05-08 United Microelectronics Corp. Dual damascene structure and fabrication thereof
US20070222076A1 (en) * 2006-03-21 2007-09-27 Masanaga Fukasawa Single or dual damascene structure reducing or eliminating the formation of micro-trenches arising from lithographic misalignment
US7838428B2 (en) * 2006-03-23 2010-11-23 International Business Machines Corporation Method of repairing process induced dielectric damage by the use of GCIB surface treatment using gas clusters of organic molecular species
US20070232048A1 (en) * 2006-03-31 2007-10-04 Koji Miyata Damascene interconnection having a SiCOH low k layer
US20070254476A1 (en) * 2006-04-28 2007-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Cleaning porous low-k material in the formation of an interconnect structure
US7800228B2 (en) * 2006-05-17 2010-09-21 International Business Machines Corporation Reliable via contact interconnect structure
US7396762B2 (en) * 2006-08-30 2008-07-08 International Business Machines Corporation Interconnect structures with linear repair layers and methods for forming such interconnection structures

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6528411B2 (en) * 1997-02-27 2003-03-04 Nec Corporation Semiconductor device and method of its fabrication
US6355552B1 (en) * 1998-05-27 2002-03-12 Stmicroelectronics S.A. Integrated circuit with stop layer and associated fabrication process
US6762497B2 (en) * 1998-05-27 2004-07-13 Stmicroelectronics S.A. Integrated circuit with stop layer and associated fabrication process
US6441492B1 (en) * 1999-09-10 2002-08-27 James A. Cunningham Diffusion barriers for copper interconnect systems
US20070284744A1 (en) * 2003-06-26 2007-12-13 Dubin Valery M Apparatus for an improved air gap interconnect structure
US7586196B2 (en) * 2003-06-26 2009-09-08 Intel Corporation Apparatus for an improved air gap interconnect structure
US20060186548A1 (en) * 2005-02-14 2006-08-24 Yoshiyuki Enomoto Method of manufacturing semiconductor device and semiconductor device
US20090065946A1 (en) * 2005-03-22 2009-03-12 Kabushiki Kaisha Toshiba Method for fabricating semiconductor device and semiconductor device

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104051326A (en) * 2013-03-12 2014-09-17 旺宏电子股份有限公司 Forming method of device with contact landing areas at different depths of substrate and 3-D structure
US11062943B2 (en) * 2019-08-09 2021-07-13 International Business Machines Corporation Top via interconnects with wrap around liner

Also Published As

Publication number Publication date
US20100258941A1 (en) 2010-10-14
US8080877B2 (en) 2011-12-20
US7767578B2 (en) 2010-08-03
US20080171433A1 (en) 2008-07-17

Similar Documents

Publication Publication Date Title
US8080877B2 (en) Damascene interconnection structure and dual damascene process thereof
US7378343B2 (en) Dual damascence process utilizing teos-based silicon oxide cap layer having reduced carbon content
US20060246717A1 (en) Method for fabricating a dual damascene and polymer removal
US6472306B1 (en) Method of forming a dual damascene opening using CVD Low-K material and spin-on-polymer
US7977244B2 (en) Semiconductor manufacturing process
US7557043B2 (en) Method of fabricating the stacked structure and damascene process
US20050161821A1 (en) Method for forming interconnection line in semiconductor device and interconnection line structure
US8415799B2 (en) Dual damascene interconnect in hybrid dielectric
US20050233572A1 (en) Dual damascene structure formed of low-k dielectric materials
US7759244B2 (en) Method for fabricating an inductor structure or a dual damascene structure
US7436009B2 (en) Via structures and trench structures and dual damascene structures
US7569481B2 (en) Method for forming via-hole in semiconductor device
US7488687B2 (en) Methods of forming electrical interconnect structures using polymer residues to increase etching selectivity through dielectric layers
US20050239286A1 (en) Two-step stripping method for removing via photoresist during the fabrication of partial-via dual damascene features
CN101231968B (en) Inlaying inner connecting line structure and double inlaying process
US7622331B2 (en) Method for forming contacts of semiconductor device
US7307014B2 (en) Method of forming a via contact structure using a dual damascene process
US6713379B1 (en) Method for forming a damascene structure
EP1646083B1 (en) Alternative dual damascene patterning approach
US7232746B2 (en) Method for forming dual damascene interconnection in semiconductor device
TW200830461A (en) Damascene interconnection structure and dual damascene process thereof
KR101138082B1 (en) A method for forming a dual damascene pattern in semiconductor device
KR100737701B1 (en) Method of manufacturing wire in a semiconductor device
US20070210406A1 (en) Semiconductor device and method of manufacturing the same
KR20050116479A (en) Method of forming a via contact structure using a dual damascene process

Legal Events

Date Code Title Description
AS Assignment

Owner name: UNITED MICROELECTRONICS CORP., TAIWAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:HUANG, CHUN-JEN;LAI, YU-TSUNG;YAU, JYH-CHERNG;AND OTHERS;REEL/FRAME:027240/0461

Effective date: 20070105

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION