US20120052693A1 - Film deposition apparatus, film deposition method, and computer program storage medium - Google Patents

Film deposition apparatus, film deposition method, and computer program storage medium Download PDF

Info

Publication number
US20120052693A1
US20120052693A1 US13/216,350 US201113216350A US2012052693A1 US 20120052693 A1 US20120052693 A1 US 20120052693A1 US 201113216350 A US201113216350 A US 201113216350A US 2012052693 A1 US2012052693 A1 US 2012052693A1
Authority
US
United States
Prior art keywords
plasma
substrate
intensity
film deposition
reaction gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US13/216,350
Inventor
Shigenori Ozaki
Hitoshi Kato
Takeshi Kumagai
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Assigned to TOKYO ELECTRON LIMITED reassignment TOKYO ELECTRON LIMITED ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: KATO, HITOSHI, KUMAGAI, TAKESHI, OZAKI, SHIGENORI
Publication of US20120052693A1 publication Critical patent/US20120052693A1/en
Priority to US15/811,919 priority Critical patent/US11118265B2/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • H01L21/205Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy using reduction or decomposition of a gaseous compound yielding a solid condensate, i.e. chemical deposition
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields

Definitions

  • This invention relates to a film deposition apparatus and a film deposition method, where two or more kinds of reaction gases are alternately supplied to a surface of a substrate under vacuum, thereby to deposit a thin film on the substrate, and a storage medium that stores a computer program that causes the film deposition apparatus to perform the film deposition method.
  • a silicon oxide film is deposited on a substrate such as a semiconductor wafer made of silicon (referred to as a wafer hereinafter) having patterns including pillar-shaped or line-shaped convex portions formed on the surface of the wafer
  • a film deposition method called an Atomic Layer Film deposition (ALD) method or a Molecular Layer Film deposition (MLD) method
  • ALD Atomic Layer Film deposition
  • MLD Molecular Layer Film deposition
  • a thin film of silicon oxide is formed on the wafer by alternately supplying an organic material gas containing silicon and an oxidizing gas to the wafer under vacuum, thereby to accumulate an atomic layer or a molecular layer made of the reaction product.
  • the thin film formed by such a film deposition method may have lower density because organic substances originating from the organic material gas remain in the thin film. This may be caused in part because a film deposition temperature of the film deposition method is relatively lower than that of a conventional Chemical Vapor Film deposition (CVD) method.
  • CVD Chemical Vapor Film deposition
  • Patent Document 1 U.S. Pat. No. 7,153,542.
  • Patent Document 2 Japanese Patent Publication No. 3,144,664.
  • Patent Document 3 U.S. Pat. No. 6,869,641.
  • the present invention has been made in view of the above, and is directed to a technology that can yield a thin film having a sufficient density along a thickness direction when the thin film is deposited on a substrate by repeatedly alternately supplying plural kinds of gases to the substrate under vacuum.
  • the present invention provides a technology contributing to fabrication of high-performance semiconductor devices.
  • a film deposition apparatus that forms a thin film on a substrate by repeating a cycle of alternately supplying plural kinds of reaction gases to the substrate under vacuum, wherein a first reaction gas among the plural kinds of the reaction gases reacts with a second reaction gas among the plural kinds of the reaction gases, the second reaction gas being adsorbed on the substrate, thereby to produce a reaction product.
  • the film deposition apparatus includes a susceptor that is provided in a vacuum chamber and includes a substrate receiving area in which a substrate is placed; an evacuation system that evacuates the vacuum chamber; plural reaction gas supplying parts that supply the corresponding reaction gases to the substrate placed in the substrate receiving area; a plasma generation part that generates plasma including a chemical component that reacts with the second reaction gas adsorbed on the substrate, and supplies the plasma to the substrate during formation of a thin film of the reaction product thereby to alter the thin film on the substrate; and a controlling part that outputs a controlling signal in order to change plasma intensity of the plasma that is generated and supplied to the substrate by the plasma generation part at a predetermined point of time to a different plasma intensity before the predetermined point of time.
  • a film deposition method that forms a thin film on a substrate by repeating a cycle of alternately supplying plural kinds of reaction gases to the substrate under vacuum, wherein a first reaction gas among the plural kinds of the reaction gases reacts with a second reaction gas among the plural kinds of the reaction gases, the second reaction gas being adsorbed on the substrate, thereby to produce a reaction product.
  • the film deposition method includes steps of placing a substrate in a substrate receiving area of a susceptor provided in a vacuum chamber; evacuating the vacuum chamber; alternately supplying plural kinds of the reaction gases to the substrate in the substrate receiving area from corresponding reaction gas supplying parts thereby to form a thin film on the substrate; supplying plasma including a chemical component that reacts with the second reaction gas adsorbed on the substrate from a plasma generation part to the substrate when the thin film is being formed, thereby to alter the thin film on the substrate; and changing plasma intensity of the plasma supplied to the substrate, at a predetermined point of time to a different plasma intensity of the plasma that is generated and supplied to the substrate by the plasma generation part before the predetermined point of time.
  • a film deposition method that forms a thin film on a substrate by repeating a cycle of alternately supplying plural kinds of reaction gases to the substrate under vacuum, wherein a first reaction gas among the plural kinds of the reaction gases reacts with a second reaction gas among the plural kinds of the reaction gases, the second reaction gas being adsorbed on the substrate, thereby to produce a reaction product.
  • the film deposition method includes steps of placing a substrate in a substrate receiving area of a susceptor provided in a vacuum chamber; evacuating the vacuum chamber; supplying the plural kinds of the reaction gases from corresponding reaction gas supplying parts toward the susceptor; supplying plasma including a chemical component that reacts with one of the second reaction gas adsorbed on the substrate and at least apart of the substrate from the plasma generation part toward the susceptor; and rotating the susceptor around a vertical axis so that the substrate receiving area passes alternately through a supplying area to which the second reaction gas is supplied, a reaction area where the first reaction gas reacts with the second reaction gas adsorbed on the substrate, and a plasma area that is arranged downstream relative to the reaction area along a rotation direction of the susceptor and where the plasma is supplied, wherein the supplying area, the reaction area, and the plasma area are arranged at intervals along a circumferential direction of the vacuum chamber.
  • FIG. 1 is a schematic view illustrating a thin film obtained by a conventional method
  • FIG. 2 is a cross-sectional view illustrating a film deposition apparatus according to an embodiment of the present invention, where the view is taken along I-I′ line in FIG. 4 ;
  • FIG. 3 is a perspective view illustrating an inner structure of the film deposition apparatus
  • FIG. 4 is a plan view illustrating the film deposition apparatus
  • FIG. 5 is a perspective view illustrating apart of the inner structure of the film deposition apparatus
  • FIG. 6 is a cross-sectional view illustrating a part of the inner structure of the film deposition apparatus
  • FIG. 7 is another cross-sectional view illustrating a part of the inner structure of the film deposition apparatus.
  • FIG. 8 is a cross-sectional view illustrating an example of an activated gas injector provided in the film deposition apparatus
  • FIG. 9 is a cross-sectional view illustrating a substrate subject to a process performed in the film deposition apparatus.
  • FIG. 10 is a schematic view illustrating a process performed in the film deposition apparatus
  • FIG. 11 is an explanatory view for explaining plasma intensity
  • FIG. 12 is a schematic view illustrating a process flow
  • FIG. 13 is another schematic view illustrating a process flow, following FIG. 12 ;
  • FIG. 14 is yet another schematic view illustrating a process flow, following FIG. 13 ;
  • FIG. 15 is a schematic view illustrating an alteration step performed in the film deposition apparatus
  • FIG. 16 is a schematic view illustrating gas flow in the film deposition apparatus
  • FIG. 17 is a schematic view illustrating a substrate processed in the film deposition apparatus
  • FIG. 18 is a schematic view illustrating another process performed in the film deposition apparatus.
  • FIG. 19 is a schematic view illustrating yet another process performed in the film deposition apparatus.
  • FIG. 20 is an explanatory view for explaining another example of the present invention.
  • FIG. 21 is a schematic view illustrating a process performed with respect to a substrate, according to another example of the present invention.
  • FIG. 22 is another schematic view illustrating a process performed with respect to a substrate, according to another example of the present invention.
  • FIG. 23 is a schematic view illustrating a process performed with respect to a substrate, according to another example of the present invention.
  • FIG. 24 is a schematic view illustrating a process performed with respect to a substrate, according to another example of the present invention.
  • FIG. 25 is an explanatory view for explaining a process according to another example of the present invention.
  • FIG. 26 is a schematic view illustrating another process according to another example of the present invention.
  • FIG. 27 is a schematic view illustrating another process according to another example of the present invention.
  • FIG. 28 is a schematic view illustrating another process according to another example of the present invention.
  • FIG. 29 is a schematic view illustrating another process according to another example of the present invention.
  • FIG. 30 is a cross-sectional view of another film deposition apparatus according to another example of the present invention.
  • FIG. 31 is a graph illustrating a relationship between an oxide film thickness and an inner pressure in a vacuum chamber of the film deposition apparatus
  • FIG. 32 is a graph illustrating a relationship between an oxide film thickness and high frequency power supplied to electrodes thereby to generate plasma
  • FIG. 33 is a graph illustrating a relationship between a film shrinkage and an oxide film thickness.
  • FIG. 34 is a graph illustrating a relationship between an increased film thickness and plasma irradiation time.
  • a thin film formed on a substrate by repeatedly alternately supplying plural kinds of gases to the substrate under vacuum can be sufficiently densified along a thickness direction, because an alteration step where the substrate is exposed to plasma during the film deposition is carried out.
  • plasma intensity of the plasma is changed during the film deposition, influence incurred on the underlying layer of the thin film by the plasma can be controlled, and properties of the thin film can be uniform along the thickness direction, thereby contributing to fabrication of high-performance semiconductor devices.
  • the film deposition apparatus has a vacuum chamber 1 having a flattened cylinder shape, and a turntable 2 that is located inside the chamber 1 and has a rotation center at a center of the vacuum chamber 1 .
  • the vacuum chamber 1 is made so that a ceiling plate 11 can be separated from a chamber body 12 .
  • the ceiling plate 11 is pressed onto the chamber body 12 via a sealing member such as an O ring 13 , so that the vacuum chamber 1 is sealed in an airtight manner.
  • the ceiling plate 11 can be raised by a driving mechanism (not shown) when the ceiling plate 11 has to be removed from the chamber body 12 .
  • the turntable 2 is attached on a cylindrically shaped core portion 21 .
  • the core portion 21 is attached on a top end of a rotational shaft 22 that extends in a vertical direction.
  • the rotational shaft 22 goes through a bottom portion 14 of the chamber body 12 and is attached at the lower end to a driving mechanism 23 that can rotate the rotational shaft 22 clockwise, in this embodiment.
  • the rotational shaft 22 and the driving mechanism 23 are housed in a case body 20 having a cylinder with a bottom.
  • the case body 20 is attached in an airtight manner to a bottom surface of the bottom portion 14 via a flange part, which maintains airtightness of an inner environment of the case body 20 from an outer environment.
  • plural (five in the illustrated example) circular concave portions 24 are formed in an upper surface of the turntable 2 .
  • the concave portions 24 are located along a circumferential direction (or a rotational direction of the turntable 2 ).
  • the wafer W has plural convex parts 90 having pillar or line shapes on the upper surface of the wafer W, as shown in FIG. 9 .
  • only one wafer W placed in one of the concave portions 24 is illustrated in FIG. 3 .
  • the concave portion 24 has a diameter slightly larger, for example, by 4 mm than the diameter of the wafer W and a depth equal to a thickness of the wafer W. Therefore, when the wafer W is placed in the concave portion 24 , a surface of the wafer W is at the same elevation of a surface of an area of the turntable 2 , the area excluding the concave portions 24 .
  • the concave portions 24 are wafer W receiving areas provided to position the wafers W and prevent the wafers W from being thrown out by centrifugal force caused by rotation of the turntable 2 .
  • the concave portion 24 In the bottom of the concave portion 24 there are formed three through-holes (not shown) through which three corresponding elevation pins (described later) are raised/lowered.
  • the elevation pins support a back surface of the wafer W and raise/lower the wafer W.
  • a first reaction gas nozzle 31 , a second reaction gas nozzle 32 , separation gas nozzles 41 , 42 , and an activated gas injector 220 are arranged in radial directions and at predetermined angular intervals in the circumferential direction (or the rotation direction of the turntable 2 ).
  • the nozzles 31 , 32 , 41 , 42 oppose an area through which the concave portions 24 of the turntable 2 pass.
  • the activated gas injector 220 , the separation gas nozzle 41 , the first reaction gas nozzle 31 , the separation gas nozzle 42 , and the second reaction gas nozzle 31 are arranged in this order in a clockwise direction (or the rotation direction of the turntable 2 ) from a transfer opening 15 (described later).
  • the activated gas injector 220 and the nozzles 31 , 32 , 41 , 42 are introduced into the vacuum chamber 1 from an outer circumferential wall of the chamber body 12 , in order to extend along a radius direction of the chamber body 12 and to be parallel with the upper surface of the turntable 2 .
  • Gas introduction ports 31 a, 32 a, 41 a, 42 a serving as base ends of the corresponding nozzles 31 , 32 , 41 , 42 go through the outer circumferential wall of the chamber body 12 .
  • the first reaction gas nozzle 31 and the second reaction gas nozzle 32 serve as a first reaction gas supplying portion and a second reaction gas supplying portion, respectively; and the separation gas nozzles 41 , 42 serve as a separation gas supplying portion.
  • the activated gas injector 220 is described later.
  • the reaction gas nozzle 31 is connected to a gas supplying source (not shown) of a first reaction gas containing silicon (Si) such as a diisopropyl amino silane (DIPAS) gas and a bis (tertiary-butylamino) silane (SiH 2 (NH—C (CH 3 ) 3 ) 2 : BTBAS) gas, via a flow rate control valve (not shown).
  • a gas supplying source not shown
  • a second reaction gas such as a mixed gas of ozone (O 3 ) gas and oxygen (O 2 ) gas, or the combination thereof, via a flow rate control valve (not shown).
  • the separation gas nozzles 41 , 42 are connected to a gas supplying source (not shown) of nitrogen (N 2 ) gas serving as a separation gas, via a flow rate control valve (not shown).
  • N 2 nitrogen
  • a flow rate control valve not shown
  • the reaction gas nozzles 31 , 32 have plural ejection holes (not shown) open downward arranged in longitudinal directions of the reaction gas nozzles 31 , 32 , for example, at equal intervals thereby to eject the corresponding source gases to the turntable 2 .
  • An area below the reaction gas nozzle 31 is a first process area P 1 in which the silicon-containing gas is adsorbed on the wafer W.
  • An area below the second reaction gas nozzle 32 is a second process area P 2 in which the silicon-containing gas adsorbed on the wafer W is oxidized by the O 3 gas.
  • the separation gas nozzles 41 , 42 have plural ejection holes (not shown) arranged, for example, at equal intervals in longitudinal directions of the separation gas nozzles 41 , 42 thereby to eject the separation gases downward from the plural ejection holes 40 .
  • the separation nozzles 41 , 42 form corresponding separation areas D that separate the first process area P 1 and the second process area P 2 .
  • a convex portion 4 having a top view shape of a sector is provided on the lower surface of the ceiling plate 11 of the vacuum chamber 1 , as shown in FIGS. 3 and 4 .
  • the separation gas nozzles 41 , 42 are housed in groove portions (not shown) in the corresponding convex portions 4 .
  • this separation area D impedes the second reaction gas, which flows in the rotation direction of the turntable 2 , from entering a space below the convex portion 4 , and the first reaction gas, which flows in a direction opposite to the rotation direction of the turntable 2 , from entering the space below the convex portion 4 .
  • FIGS. 3 and 4 illustrate the vacuum chamber 1 as if the vacuum chamber 1 was horizontally severed at a level lower than the ceiling surface 45 and higher than the separation nozzles 41 , 42 .
  • FIG. 2 illustrates a vertical cross section of the vacuum chamber 1 where the high ceiling surfaces 45 are provided
  • FIG. 6 illustrates half of a vertical cross section of the vacuum chamber 1 where the low ceiling surface 44 is provided.
  • a bent portion 46 that bends in an L-shape, as shown in FIGS. 3 and 6 .
  • the bent portion 46 opposes the outer circumferential surface of the turntable 2 with a slight gap in relation to the inner circumferential surface of the chamber body 12 .
  • the bent portion 46 is provided in order to impede the reaction gases from entering the separation area D from the both sides of the separation area D and from being mixed.
  • Gaps between the outer circumferential surface of the turntable 2 and the inner circumferential surface of the bent portion 46 and between the outer circumferential surface of the bent portion 46 and the inner circumferential surface of the chamber body 12 may be as narrow as the height of the ceiling surface 44 with respect to the turntable 2 , for example.
  • a circumferential wall of the chamber body 12 is indented outward in areas that do not correspond to the separation areas D, as shown in FIGS. 2 and 4 , so that there is a relatively large space with respect to the outer circumferential surface of the turntable 2 and from the bottom of the chamber body 12 up to the outer circumferential surface of the turntable 2 .
  • the space having substantially a box shape is referred to as an evacuation area.
  • the evacuation area in gaseous communication with the first process area P 1 is referred to as a first evacuation area E 1
  • the evacuation area in gaseous communication with the second process area P 2 is referred to a second evacuation area E 2 , hereinafter (see FIG. 4 ).
  • a first evacuation port 61 and a second evacuation port 62 are formed, respectively, as shown in FIGS. 2 and 4 .
  • the first and the second evacuation ports 61 , 62 are connected to a vacuum pump 64 serving as an evacuation unit via an evacuation pipe 63 , as shown in FIG. 2 .
  • the evacuation pipe 63 is provided with a pressure controller 65 that controls an inner pressure in the vacuum chamber 1 .
  • a heater unit 7 serving as a heating portion is provided in a space between the bottom portion 14 of the chamber body 12 and the turntable 2 , so that the wafers W placed on the turntable 2 can be heated through the turntable 2 at a predetermined temperature, for example 300° C., which is determined by a process recipe.
  • a ring-shaped cover member 71 is provided beneath the turntable 2 and near the outer circumference of the turntable 2 in order to surround the heater unit 7 , so that the space where the heater unit 7 is placed is partitioned from the outside area of the cover member 71 , thereby impeding the gas from entering the space below the turntable 2 .
  • the cover member 71 includes an inner member 71 a provided to face the outer circumferential portion of the turntable 2 and an area outside of the turntable 2 from below, and an outer member 71 b provided between the inner member 71 a and the inner circumferential surface of the chamber body 12 , as shown in FIG. 6 .
  • the outer member 71 b is severed in part in order to leave spaces above the evacuation ports 61 , 62 , thereby allowing a space above the turntable 2 to be in gaseous communication with the evacuation ports 61 , 62 .
  • the upper surface of the outer member 71 b comes close to the bent portion 46 .
  • a part of the bottom portion 14 of the vacuum chamber 1 comes close to the lower surface of the core portion 21 .
  • This part is referred to as a protrusion portion 12 a.
  • the case body 20 is provided with a purge gas supplying pipe 72 .
  • plural purge gas supplying pipes 73 are arranged along the circumferential direction of the chamber body 12 and connected to areas below the heater unit 7 in order to purge the space where the heater unit 7 is housed.
  • a cover member 7 a which may be formed of, for example, quartz glass, is supported by the upper surface of the cover member 71 and the upper portion of the protrusion portion 12 a, so that the heater unit 7 is covered by the cover member 7 a and thus gases are substantially impeded from entering the space where the heater unit 7 is housed.
  • a separation gas supplying pipe 51 is connected to the top center portion of the ceiling plate 11 of the vacuum chamber 1 , so that N 2 gas is supplied as a separation gas to a space 52 between the ceiling plate 11 and the core portion 21 .
  • the separation gas supplied to the space 52 flows through a narrow gap 50 between the protrusion portion 5 and the turntable 2 and then along the top surface of the turntable 2 to the outer circumference of the turntable 2 , thereby impeding the reaction gases (silicon-containing gas and O 3 gas) from being intermixed through the center portion of the turntable 2 .
  • an area defined by the ceiling plate 11 , the core portion 21 , and the protrusion portion 5 is referred to as a center area C.
  • the vacuum chamber 1 is provided in the outer circumferential wall with the transport opening 15 through which the wafer W is transferred into or out from the vacuum chamber 1 by a transfer arm 10 (see FIG. 3 ).
  • the transfer opening 15 is provided with a gate valve (not shown) by which the transfer opening 15 is opened or closed. Because the wafer W is transferred into the vacuum chamber 1 through the transfer opening 15 and placed in the concave portion 24 in the turntable 2 , lift pins are provided in an area facing the transfer opening 16 below the turntable 2 . The lift pins can be moved upward/downward through corresponding through-holes (not shown) formed in the turntable 24 by an elevation mechanism (not shown), so that the wafer W is transferred between the transfer arm 10 and the concave portion 24 of the turntable 2 .
  • the activated gas injector 220 is arranged to generate plasma in an area above the concave portions 24 of the turntable 2 and along the radius direction of the turntable 2 thereby to alter properties of a silicon oxide film deposited on the wafers W through reaction of the silicon-containing gas and the O 3 gas. As shown in FIGS.
  • the activated gas injector 220 is provided with a gas introduction nozzle 34 that may be made of, for example, quartz glass and serves as a property alteration gas supplying portion that supplies a process gas from which plasma is substantially generated in the vacuum chamber 1 , and a pair of sheath pipes 35 a, 35 b located downstream relative to the gas introduction nozzle 34 along the rotation direction of the turntable 2 .
  • the sheath pipes 35 a, 35 b extend parallel with each other and generate the plasma from the process gas supplied from the gas introduction nozzle 34 .
  • gas introduction nozzle 34 and the sheath pipes 35 a, 35 b are introduced in an air-tight manner from the outer circumferential wall of the chamber body 12 to the center of the turntable 2 , are parallel with the wafer W on the turntable 2 , and orthogonally traverse the rotation direction of the turntable 2 .
  • Protection pipes 37 are connected to a base end side of the sheath pipes 35 a, 35 b ( FIGS. 3 and 8 ).
  • Plural gas holes 341 are formed in and along a longitudinal direction of the gas introduction nozzle 34 .
  • the gas introduction nozzle 34 is connected to one end of a plasma gas introduction line 251 that supplies the process gas for generating the plasma, and the other end of the plasma gas introduction line 251 is branched into two branch lines that are connected to an argon (Ar) gas supplying source 254 and an oxygen (O 2 ) gas supplying source 255 , respectively.
  • Each of the two branch lines is provided with a valve 252 and a flow rate controller 253 .
  • the sheath pipes 35 a, 35 b may be made of, for example, quartz, alumina (aluminum oxide), ittria (ittrium oxide), or the like.
  • electrodes 36 a, 36 b are inserted into the corresponding sheath pipes 35 a, 35 b thereby to constitute parallel electrodes.
  • the electrodes 36 a, 36 b may be made of, for example, nickel alloy, titanium, or the like.
  • a distance k between the electrodes 36 a, 36 b and the wafer W on the turntable 2 is about 7 mm in this embodiment.
  • These electrodes 36 a, 36 b are connected to a high frequency power supply 224 via a matching box 225 , as shown in FIG. 4 .
  • High frequency electric power which may have, for example, a frequency of 13.56 MHz and electric power of 500 W or less, is supplied to the electrodes 36 a, 36 b from the high frequency power supply 224 .
  • the sheath pipes 35 a, 35 b are simplified in the drawings except for FIG. 8 .
  • the gas introduction nozzle 34 and the sheath pipes 35 a, 35 b are provided with a cover body 221 .
  • the cover body 221 is arranged to cover a top and side (both sides along the long and short edges) of the gas introduction nozzle 34 and the sheath pipes 35 a, 35 b.
  • the cover body 221 is made of an insulating material such as quartz.
  • the cover body 221 is provided with flow limiting surfaces 222 that extend in a flange shape. Specifically, the flow limiting surfaces 222 are provided from one end through the other end of the cover body 221 along the longitudinal direction of the cover body 221 and extend outward from the corresponding lower edge portions of the cover body 221 .
  • the gases such as the O 3 gas and the N 2 gas flowing along the rotation direction of the turntable 2 over the upper surface of the turntable 2 are impeded from entering the inside of the cover body 221 .
  • the flow limiting surfaces 222 are arranged close to the upper surface of the turntable 2 so that a gap t between the flow limiting surface 222 and the upper surface of the turntable 2 is small enough to efficiently impede the gases from entering the inside of the cover body 221 .
  • the flow limiting surface 222 has a width u that becomes greater in the rotation direction of the turntable 2 along a direction toward the inner circumferential surface of the chamber body 12 .
  • the cover body 221 is supported by plural supporting members 223 (see FIG. 6 ) connected to the ceiling plate 11 of the vacuum chamber 1 .
  • the film deposition apparatus is provided with a controlling part 100 , which is made of a computer, for controlling entire operations of the film deposition apparatus.
  • the controlling part 100 includes a memory device (not shown) that stores a computer program that causes the film deposition apparatus to carry out a film deposition—alteration step including a film deposition step and an alteration step.
  • a film deposition—alteration step including a film deposition step and an alteration step.
  • the film deposition step and the alteration step are briefly explained.
  • the silicon oxide film which is made of the reaction product of the silicon-containing gas and the O 3 gas, is formed, and in the alteration step, the reaction product is altered or densified.
  • the film deposition step and the alteration step are alternately repeated so that plural layers of the reaction product are accumulated on the wafer W.
  • the controlling part 100 outputs a controlling signal to the high frequency power source 224 in order to adjust (or change) plasma intensity in the alteration step so that the silicon oxide film is uniformly altered in a thickness direction of the silicon oxide film while the plasma is prevented from penetrating through the silicon oxide film to reach the wafer W, which is made of silicon.
  • the controlling portion 100 may output a controlling signal to the pressure controller 65 in order to adjust the inner pressure in the vacuum chamber 1 .
  • plasma intensity is referred to as intensity of plasma to which the wafer W is exposed, and differs depending on electric power supplied to the electrodes 36 a, 36 b, an inner pressure in the vacuum chamber 1 , an exposure time of the plasma to the wafer W, a distance k between the electrodes 36 a, 36 b, and the like.
  • a film thickness j of a silicon oxide film obtained by continuously exposing the upper surface of the wafer W (or a silicon layer) to plasma for 180 s, as shown in FIG. 10 is used as an indicator in this embodiment.
  • the plasma intensity in this case is expressed as “plasma intensity corresponding to the film thickness j of 1 nm”.
  • the plasma intensity is expressed as “plasma intensity corresponding to the film thickness j of 2 nm”.
  • the plasma intensity is adjusted with electric power supplied to the electrodes 36 a, 36 b while other parameters such as the inner pressure in the vacuum chamber 1 are kept constant.
  • the electric power supplied to the electrodes 36 a, 36 b of 30 W provides the film thickness j corresponding to 1 nm
  • the electric power supplied to the electrodes 36 a, 36 b of 65 W provides the film thickness j corresponding to 2 nm.
  • a relationship between the electric power and the film thickness j depends on process conditions, and thus preferably is obtained in advance by, for example, carrying out an experiment using, for example, test wafers, under predetermined conditions.
  • the program includes groups of steps or instructions that cause the constituting members or parts of the film deposition apparatus to perform the film deposition—alteration process.
  • the program is stored in a memory portion 101 ( FIG. 4 ), which is a computer readable storage medium such as a hard disk, a compact disk, a magneto-optical disk, a memory card, a flexible disk, or the like, and installed into the control part 100 .
  • the operations of the film deposition apparatus according to this embodiment are described in the following.
  • the wafer W is transferred into the vacuum chamber 1 through the transfer opening 15 by the transferring arm 10 , after the gate valve (not shown) is opened.
  • the wafer W is placed in the concave portion 24 of the turntable 2 by the lift pins (not shown) and the transfer arm 10 that cooperatively operate.
  • Such operations are intermittently repeated so that five wafers W are placed in the corresponding concave portions 24 of the turntable 2 .
  • the gate valve (not shown) is closed, and the vacuum chamber 1 is evacuated to the lowest reachable pressure by the vacuum pump 64 .
  • the turntable 2 starts rotating in a clockwise direction at a rotational speed of, for example, 120 revolutions per minute, and the wafers W on the turntable are heated at, for example, 300° C.
  • the N 2 gas is supplied at predetermined flow rates from the separation gas nozzles 41 , 42 , the separation gas supplying pipe 51 , and the purge gas supplying pipes 72 .
  • the silicon-containing gas is supplied from the reaction gas nozzle 31 ; the O 3 gas is supplied from the second reaction gas nozzle 32 ; and the Ar gas and the O 2 gas are supplied at flow rates of, for example, 9.5 standard liters per minute (slm) and 0.5 slm, respectively, from the gas introduction nozzle 34 .
  • the vacuum chamber 1 is maintained at a predetermined pressure, for example, 266 Pa (2 Torr) by the pressure controller 65 .
  • the silicon-containing gas is adsorbed on the upper surface of the wafer W in the first process area P 1 , and the adsorbed silicon-containing gas on the upper surface of the wafer W is oxidized by the O 3 gas in the second process area P 2 , so that one molecular layer or plural molecular layers of the reaction product, i.e., silicon oxide is formed in the film deposition step (or a cycle of supplying reaction gases to the upper surface of the wafer W).
  • the plasma intensity is zero (a first plasma intensity).
  • the molecular layer or the plural molecular layers may include impurities such as organic groups and/or moisture (OH groups), which originate from, for example, the silicon-containing gas.
  • impurities such as organic groups and/or moisture (OH groups), which originate from, for example, the silicon-containing gas.
  • high frequency power of 45 W (a second plasma intensity) is applied across the electrodes 36 a, 36 b so that the plasma intensity corresponds to the oxide film thickness j of 1.5 nm.
  • FIG. 12 schematically illustrates the wafer W and the reaction product deposited on the wafer W.
  • FIGS. 13 and 14 which are referred to later, also schematically illustrate the wafer W and the reaction product.
  • the Ar gas ejected from the gas introduction nozzle 34 toward the sheath pipes 35 a, 35 b is activated by high frequency electric power between the sheath pipes 35 a, 35 b into plasma including ions and/or radicals, which in turn flow downward toward the wafers W on the turntable 2 , which is rotated, below the activated gas injector 220 .
  • the wafer W reaches the area below the activated gas injector 220 , the wafer W is exposed to the plasma and thus the alteration step that alters the silicon oxide film is carried out.
  • the impurities are degassed from the silicon oxide film, and the silicon atoms and the oxygen atoms are rearranged, resulting in densification of the silicon oxide film, as schematically shown in FIG. 15 .
  • the plasma intensity is set as described above, the plasma can enter or penetrate the silicon oxide film from the upper surface thereof through a vicinity of the upper surface of the underlying substance, which is the wafer W (silicon) in the illustrated example, as shown on the left side view of FIG. 13 . Therefore, the silicon oxide film formed at the plasma intensity of zero (see the right side view of FIG. 12 ) can be uniformly altered in the thickness direction by the penetrating plasma, while the upper surface of the wafer W (silicon) is prevented from being oxidized.
  • This film deposition—alteration step including the film deposition step and the alteration step is repeated plural times by rotating the turntable 2 until a film thickness of the silicon oxide film becomes 2 nm.
  • FIG. 13 schematically illustrate the plasma intensity.
  • Arrows in FIG. 14 which is referred to later, also illustrate the plasma intensity.
  • the separation area D is not provided between the activated gas injector 220 and the second reaction gas injector 32 in the vacuum chamber 1 , the O 3 gas and the separation gas (N 2 gas) flow toward the activated gas injector 220 from the upstream side of the activated gas injector 220 because of rotation of the turntable 2 .
  • such gases are least likely to flow through the space between the activated gas injector 220 and the turntable 2 , because the gases flow through above the activated gas injector 220 .
  • the activated gas injector 220 is provided with the cover body 221 .
  • the impurities removed from the silicon oxide film during the alteration step are turned into gas, and then the gas is evacuated together with the O 2 gas and the N 2 gas.
  • the silicon-containing gas and the O 3 gas are not intermixed with each other and are evacuated to the corresponding evacuation ports 61 , 62 .
  • the area below the turntable 2 is purged with the N 2 gas, for example, the silicon-containing gas that has flowed into the evacuation area E 1 (or E 2 ) cannot flow into the area where the O 3 gas is supplied through the space below the turntable 2 .
  • high frequency power is applied, for example, at 150 W across the electrodes 36 a, 36 b in order to obtain the plasma intensity corresponding to the oxide film thickness j of 3.7 nm.
  • the plasma can only reach the vicinity of the upper surface of the wafer W, as shown in FIG. 14 , so that the silicon oxide film deposited on the wafer W is uniformly altered, but the upper surface of the wafer W is prevented from being oxidized.
  • the film deposition—alteration step is continued until a thickness of the silicon oxide film becomes 10 nm, a silicon oxide film, which has been densified along the film thickness direction, is formed to cover the convex portions 90 formed on the upper surface of the wafer W, while the upper surface of the wafer W is prevented from being oxidized, as shown in FIG. 17 . Therefore, reduction of the width d of the convex portion 90 , which may be caused by silicon oxide grow due to irradiation of plasma, can be avoided.
  • the plasma intensity is set to zero when a thickness of the reaction product (or at an initial stage of the film deposition—alteration process), and then the plasma intensity of the plasma supplied to the wafer W is increased in a stepwise manner as a thickness of the reaction product is increased (or as the number of the film deposition steps is increased). Therefore, the thin film, which is densified along the thickness direction uniformity, can be obtained while the upper surface of the wafer W can be prevented from being oxidized, thereby yielding an excellent device structure with a desired feature as shown in FIG. 17 .
  • the alteration step is carried out with respect to the wafer W when the wafer W moves along from the second process area P 2 to the first process area P 1 in the vacuum chamber 1 every time after the film deposition step, in such a manner that the film deposition is not influenced by the alteration step.
  • the alteration process is carried out every time after the film deposition step in the film deposition—alteration process, the thin film can be altered in a shorter time compared to a case where the thin film is altered after the film deposition is completed.
  • the plasma intensity is increased in a stepwise manner in the above example, the plasma intensity is continuously increased as the number of the film deposition steps is increased, or every turn of the turntable 2 , as shown in FIGS. 18 and 19 .
  • the plasma intensity is set to zero at an initial stage of the film deposition—alteration process, or until a thickness of the reaction process reaches, for example, 1 nm.
  • the plasma intensity can reach the vicinity of the upper surface of the wafer W, which underlies the thin film of the reaction product, in the alteration step. Therefore, the film can be uniformly altered along the thickness direction.
  • FIG. 19 schematically illustrates the wafer W and the reaction product on the wafer W, where the plasma intensity is schematically represented by arrows.
  • the high frequency power may be supplied at 5 W to the electrodes 36 a, 36 b so that the plasma intensity corresponds to the oxide film thickness j of 0.2 nm.
  • the plasma intensity is preferably set to zero because it is not easy to maintain the plasma at such a lower level.
  • a silicon oxide film serving as a gate electrode film is formed on a substrate. While it is especially important for the gate electrode film to have excellent flatness at a boundary between the silicon oxide film and the underlying silicon wafer, a silicon oxide film formed by a conventional Chemical Vapor Deposition (CVD) method, an Atomic Layer Deposition (ALD) method or a Molecular Layer Deposition (MLD) method may have relatively degraded flatness, as schematically illustrated in FIG. 20 , compared to a thermally grown silicon oxide film.
  • CVD Chemical Vapor Deposition
  • ALD Atomic Layer Deposition
  • MLD Molecular Layer Deposition
  • the alteration step is performed at a second plasma intensity, which corresponds to the oxide film thickness j of 5.3 nm (or by setting the high frequency power supplied to the electrodes 36 a, 36 b to 400 W) until a thickness of the reaction product reaches 3 nm, as shown in FIG. 24 .
  • This plasma can reach the wafer W through the thin film of the reaction product, thereby oxidizing the upper surface (or portion) of the wafer W, as shown in FIG. 21 .
  • a first silicon oxide film 92 that is formed through the oxidization of the wafer W and a second silicon oxide film 93 that is formed by the film deposition—alteration process are formed on an underlying layer 91 , which corresponds to an upper portion of the wafer W, as shown in FIG. 22 .
  • a silicon oxide film 94 composed of the first silicon oxide film 92 and the second silicon oxide film 93 is formed on the wafer W (the underlying film 91 ). Because the plasma-oxidized first silicon oxide film is generally likely to provide better flatness at the boundary in relation to the underlying film than the thermally grown silicon oxide film, an excellent flatness can be achieved between the silicon oxide film 94 and the underlying film 91 .
  • the film deposition step and the alteration step which is performed at a first plasma intensity corresponding to the oxide film thickness j of 3.7 nm, are alternately performed until a thickness of the reaction product reaches 10 nm.
  • a thin film that is densified along the thickness direction and provides excellent flatness at the boundary in relation to the underlying film 91 can be obtained.
  • the plasma intensity is reduced from that corresponding to the oxide film thickness j of 5.3 nm to that corresponding to the oxide film thickness j of 3.7 nm, in order to avoid a further increase of a thickness of the first silicon oxide film 92 and to desirably control a thickness of the silicon oxide film 94 .
  • the plasma intensity is set to be relatively large at the initial stage of the film deposition in order to oxidize the upper surface (or portion) of the wafer W to form the plasma-oxidized silicon oxide film 92 in this embodiment
  • the plasma-oxidized silicon oxide film may be formed in the following manner in other embodiments.
  • the vacuum chamber 1 is maintained at a predetermined pressure with the N 2 gas supplied from the separation gas supplying portions 41 , 42 and the like and the turntable 2 starts rotating. Then, the plasma is generated by the activated gas injector 220 . In this case, because the upper surface of the wafer W is exposed to the plasma, the upper surface is readily oxidized, so that the plasma-oxidized silicon oxide film is obtained.
  • the silicon-containing gas, the oxidizing gas, and the separation gases are supplied to the vacuum chamber 1 from the corresponding gas supplying nozzles 31 , 32 , 41 , and 42 , so that the film deposition step and the alteration step are alternately repeated.
  • the densified silicon oxide film 94 can be formed on the wafer W.
  • the plasma intensity may be lower after the film deposition step starts than before it starts, or may be the same after and before the film deposition step starts.
  • influence incurred on the underlying layer of the thin film by the plasma can be controlled by adjusting the plasma intensity.
  • FIG. 25 schematically illustrates multilayers of the reaction product and the plasma irradiated to the reaction product.
  • the plasma irradiated to the reaction product is indicated by arrows in the drawing, while a thickness of the reaction product is increased.
  • a vertical length (or range) of each of the arrows indicates a thickness of the reaction product that is exposed to the plasma as the thickness is increased.
  • the leftmost arrow indicates that the plasma can penetrate through the reaction product having a thickness of about 3 nm into the wafer W from the upper surface of the reaction product.
  • the layer When paying attention to a particular layer of the reaction product having a thickness of 3 nm to 10 nm, if the layer is close to the upper surface of the wafer W, the layer is exposed to the plasma certain times as long as the plasma can penetrate through the layer, because the alteration step is repeated. However, if the layer is close to the upper surface of the reaction product having a thickness of 10 nm, the layer is exposed to the plasma fewer times. Namely, the reaction product goes through the plasma alteration step different times depending on a thickness of the reaction product.
  • the plasma intensity is set to the oxide film thickness j of 5.3 nm with respect to the reaction product having a thickness of 3 nm or less, and is set to the oxide film thickness j of less than 5.3 nm with respect to the reaction product having a thickness of 3 nm through 10 nm, an irradiation amount of the plasma irradiated to the layer near the upper surface of the wafer W becomes larger than that irradiated to the layer near the upper surface of the reaction product having a thickness of 10 nm.
  • the plasma intensity is adjusted in this embodiment so that the irradiation amount of the plasma irradiated to the reaction product is equalized irrespective of a thickness of the reaction product.
  • an upper portion of the reaction product which may correspond to thicknesses of 8 nm to 10 nm, is exposed to the plasma having a third plasma intensity corresponding to the oxide film thickness j of 5.3 nm.
  • the third plasma intensity is greater than that of the plasma irradiated to the reaction product having a thickness of 8 nm to 10 nm in the second embodiment (see FIG. 24 ).
  • the plasma intensity is set to the oxide film thickness j of 1.5 nm in order to reduce the irradiation amount of the plasma irradiated to the reaction product having a thickness of 3 nm or less, and linearly increased to the oxide film thickness j of 3.7 nm as a thickness of the reaction product is increased from 3 nm to 8 nm.
  • the plasma intensity is set to the oxide film thickness j of 5.3 nm with respect to the reaction product until a thickness of the reaction product reaches 1 nm in order to oxidize the underlying film 91 into the silicon oxide film 92 , and gradually increased to the oxide film thickness j of 5.3 nm as a thickness of the reaction product is increased from 1 nm to 10 nm, as shown in FIG. 24 .
  • properties of the reaction product can be equalized along the thickness direction of the reaction product even in the first embodiment, in the same manner as the third embodiment.
  • the plasma intensity is gradually increased from the oxide film thickness j of 1.5 nm to the oxide film thickness j of 2 nm as a thickness of the reaction product is increased from zero to 4 nm, and the plasma intensity is set to zero when a thickness of the reaction product is from 4 nm to 7 nm.
  • the plasma intensity is gradually increased from the oxide film thickness j of 3 nm (or the high frequency power of 110 W supplied to the electrodes 36 a, 36 b ) to the oxide film thickness j of 5.3 nm as a thickness of the reaction product is increased from 7 nm to 10 nm.
  • the plasma intensity may be set to zero at the initial stage of the film deposition step (or until a thickness of the reaction product becomes, for example, 1 nm).
  • the plasma intensity may be adjusted as a thickness of the reaction product is increased, depending on desired properties of semiconductor devices.
  • the adjustment of the plasma intensity may be made in order to make the properties of the thin film of the reaction product uniform or non-uniform along the thickness direction.
  • the silicon oxide film is used as a gate oxide film, the flat boundary between the underlying film 91 and the silicon oxide film 94 can be obtained, so that carrier mobility in a channel of a field effect transistor can be increased.
  • the first silicon oxide film 92 and the second silicon oxide film 93 of the silicon oxide film 94 FIG.
  • the film 22 can become low leakage, high reliability films by exposing the oxide films 92 , 93 to the plasma having the plasma intensity sufficient to appropriately alter the oxide films 92 , 93 .
  • the upper silicon oxide film 93 of the silicon oxide film 94 may have a high density and a high gas barrier characteristic by increasing the plasma intensity. In such ways, the film properties along the thickness direction can be arbitrarily adjusted.
  • the film deposition step and the alteration step are alternately performed. Namely, supplying the silicon-containing gas and the O 3 gas and irradiating the plasma to the wafer W are performed while the turntable 2 is rotated.
  • the alteration step may be performed every plural film deposition step. In this case, the high frequency power is not supplied to the electrodes 36 a, 36 b when the film deposition step is performed plural times, but supplied to the electrodes 36 a, 36 b only when the alteration step is performed.
  • the alteration step when the alteration step is performed, the silicon-containing gas and the O 3 gas are not supplied to the corresponding process areas P 1 , P 2 ( FIG. 3 ). In this case, the alteration step can be continuously performed plural times by rotating the turntable 2 .
  • a U-shaped electrode may be used.
  • ICP Inductively Coupled Plasma
  • SWP Surface Wave Plasma
  • ECR Electron Cyclotron Resonance
  • the oxidizing gas may be supplied to the wafer W on which the silicon-containing gas is adsorbed, through the activated gas injector 220 rather than the second reaction gas nozzle 32 .
  • a process gas Ar gas and O 2 gas
  • Ar gas and O 2 gas is supplied to and activated by the activated gas injector 220 , so that the silicon-containing gas adsorbed on the wafer W is oxidized and so-formed silicon oxide is altered by the process gas from the activated gas injector 220 .
  • the inner pressure in the vacuum chamber 1 may be adjusted instead of or in addition to the high frequency power, as explained later.
  • O 2 gas or O 3 gas may be used as the oxidizing gas supplied from the second reaction gas nozzle 32
  • Ar gas and O 3 gas may be used as a process gas for generating the plasma.
  • the silicon-containing gas and the O 3 gas are used to form the silicon oxide film in the above embodiments
  • the silicon-containing gas and ammonia (NH 3 ) gas may be used as the first reaction gas and the second reaction gas, respectively, thereby forming a silicon nitride film.
  • a mixed gas of Ar and ammonia or a mixed gas of Ar and nitrogen may be used as a process gas from which the plasma is generated. The film deposition step and the alteration step are alternately performed using these gases.
  • the plasma intensity is reduced or set to zero at the initial stage of the film deposition step and then increased as a thickness of the silicon nitride film is increased, the silicon nitride film having uniform density along the thickness direction while the upper surface of the wafer W is prevented from being nitrided.
  • the plasma intensity is so high that the plasma reaches the upper surface of the wafer W at the initial stage of the film deposition step, the upper surface of the wafer W is nitrided, and thus excellent flatness is achieved at the boundary between the silicon nitride film and the underlying layer.
  • titanium chloride (TiCl 2 ) gas and ammonia (NH 3 ) gas may be used as the first reaction gas and the second reaction gas, thereby forming titanium nitride (TiN) film.
  • a substrate made of silicon is used as the wafer W, and Ar gas or nitrogen gas is used as a process gas from which the plasma is generated.
  • the film deposition step and the alteration step may be performed in not only the film deposition apparatus (a so-called semi-batch apparatus) shown in FIG. 2 but also a single-wafer apparatus.
  • a single-wafer apparatus is provided with, for example, a vacuum chamber 1 , a susceptor 2 that is provided in the vacuum chamber 1 and on which the wafer W is placed, and a gas showerhead 200 arranged above the susceptor 2 in order to oppose the susceptor 2 .
  • the showerhead 200 has plural gas ejection holes 201 on the lower surface.
  • gas supplying lines 202 , 203 , 204 , and 205 are connected to corresponding gas conduits (not shown) formed in the showerhead 200 .
  • a first reaction gas, a second reaction gas, a separation gas (purge gas), and a process gas from which the plasma is generated are separately supplied toward the susceptor 2 from the corresponding gas ejection holes 201 through the corresponding gas supplying lines 202 , 203 , 204 , and 205 and the corresponding gas conduits.
  • a high frequency power source 206 is connected to the showerhead 200 , so that the showerhead 200 serves as parallel planar electrodes together with the susceptor 2 .
  • a reference symbol 210 represents a transfer opening through which the wafer W is transferred into/out from the vacuum chamber 1 ;
  • a reference symbol 211 represents an evacuation port; and
  • a reference symbol 212 represents an insulating member.
  • the first reaction gas and the second reaction gas are alternately supplied to the vacuum chamber 1 with purging periods therebetween.
  • the purge gas is supplied to the vacuum chamber 1 while the vacuum chamber 1 is evacuated.
  • the alteration step is performed, after the vacuum chamber 1 is purged with the purge gas and evacuated to vacuum, the process gas from which the plasma is generated is supplied to the vacuum chamber 1 , and the high frequency power is supplied across the showerhead 200 and the susceptor 2 . In such a manner, the film deposition step and the alteration step are alternately performed with the purging periods therebetween.
  • the batch type experimental apparatus was used. This apparatus is provided with a vacuum chamber, a susceptor inside the vacuum chamber, and an ICP type plasma source arranged to oppose the susceptor. Distance between the plasma source and the wafer W is set to 80 mm. After the wafer W was placed on the susceptor and the plasma was irradiated to the wafer W for 180 s, the oxide film thickness j of the silicon oxide film formed on the upper surface of the wafer W was measured. Such an experiment was carried out repeatedly, with different pressures of the vacuum chamber while the high frequency power was maintained at 200 W, and with different high frequency powers while the inner pressure in the vacuum chamber was maintained at 266 Pa (2 Torr)
  • the plasma intensity can be adjusted by changing the inner pressure in the vacuum chamber, as shown in FIG. 31 , and by changing the high frequency power, as shown in FIG. 32 .
  • shrinkage rates of silicon oxide films are explained.
  • the silicon oxide films used were formed on the wafers W by performing only the film deposition step without the alteration step.
  • the shrinkage rates were calculated using thicknesses of the silicon oxide films before and after the alteration step was performed with respect to the silicon oxide films at various plasma intensities.
  • oxidation of the silicon wafer W by the plasma including O 2 gas was studied.
  • a bare silicon wafer with the clean upper surface (or with silicon exposed) and a test silicon wafer with a thermal silicon dioxide layer having a thickness of 10 nm were used.
  • the upper surfaces of the bare silicon wafer and the test silicon wafer with the thermal silicon dioxide layer are exposed to the plasma for different periods of time while the turntable 2 is rotated in the film deposition apparatus explained with reference to FIGS. 2 through 8 .
  • a silicon oxide film having a thickness of only 0.6 nm was formed on the thermal silicon dioxide layer on the test silicon wafer, after the test silicon wafer (the thermal silicon dioxide layer) was exposed to the plasma for 10 minutes.
  • the silicon oxide is formed through the irradiation of the plasma, the silicon oxide is grown through the plasma-oxidization of the upper surface of the silicon wafer. Therefore, when the thermal silicon dioxide is formed on the upper surface of the silicon wafer, the plasma cannot penetrate deep into the silicon wafer, and thus the silicon oxide film having a thickness of only 0.6 nm was obtained.
  • this result indicates that the plasma can penetrate into the silicon wafer even when the thermal silicon dioxide layer is formed on the test silicon wafer. Therefore, it has been confirmed that the plasma can oxidize the upper surface of the wafer W through the silicon oxide film deposited in the film deposition step, while altering the properties of the deposited silicon oxide film.

Abstract

When alternately performing a film deposition step where a silicon-containing gas and O3 gas are alternately supplied to a substrate on a susceptor by rotating the susceptor thereby to forma thin film of the reaction product, and an alteration step where the reaction product is altered by irradiating plasma to the substrate, plasma intensity of the plasma is changed during film deposition. Specifically, the plasma intensity is lower when a thickness of the thin film is small (or at an initial stage of the film deposition—alteration step), and is increased as the thin film becomes thicker (or as the number of the film deposition steps is increased). Alternatively, the plasma intensity is higher when the thin film is relatively thin and then reduced.

Description

    CROSS-REFERENCE TO RELATED APPLICATION
  • This application claims the benefit of priority of Japanese Patent Application No. 2010-191247, filed on Aug. 27, 2010 with the Japanese Patent Office, the entire contents of which are hereby incorporated by reference.
  • BACKGROUND OF THE INVENTION
  • 1. Field of the Invention
  • This invention relates to a film deposition apparatus and a film deposition method, where two or more kinds of reaction gases are alternately supplied to a surface of a substrate under vacuum, thereby to deposit a thin film on the substrate, and a storage medium that stores a computer program that causes the film deposition apparatus to perform the film deposition method.
  • 2. Description of the Related Art
  • When, for example, a silicon oxide film is deposited on a substrate such as a semiconductor wafer made of silicon (referred to as a wafer hereinafter) having patterns including pillar-shaped or line-shaped convex portions formed on the surface of the wafer, a film deposition method called an Atomic Layer Film deposition (ALD) method or a Molecular Layer Film deposition (MLD) method may be used. Specifically, a thin film of silicon oxide is formed on the wafer by alternately supplying an organic material gas containing silicon and an oxidizing gas to the wafer under vacuum, thereby to accumulate an atomic layer or a molecular layer made of the reaction product. The thin film formed by such a film deposition method may have lower density because organic substances originating from the organic material gas remain in the thin film. This may be caused in part because a film deposition temperature of the film deposition method is relatively lower than that of a conventional Chemical Vapor Film deposition (CVD) method.
  • Then, densification of the thin film has been investigated by exposing the wafer to plasma of an alteration gas including oxygen (O2), thereby to alter or densify the reaction product. However, when the thin film becomes thicker than a film thickness (or depth) through which the plasma can penetrate, a lower part of the thin film cannot be altered. On the other hand, when the thin film is thinner than the penetration depth, the plasma can reach an underlying layer of the thin film, so that an upper surface of the underlying layer made of, for example, silicon may be oxidized, as shown in FIG. 1. In this case, a width d of the convex portion may become smaller than designed, which makes it difficult to obtain desired electrical properties.
  • Incidentally, when the silicon oxide film to be used as a gate oxide film is formed by the CVD method or the ALD method, a boundary between the thin film and the silicon wafer may become less flat, which may lead to defects, compared to where the silicon oxide film is formed by a thermal oxidization process. Patent Documents below do not address such a problem, while describing the ALD method.
  • Patent Document 1: U.S. Pat. No. 7,153,542.
  • Patent Document 2: Japanese Patent Publication No. 3,144,664.
  • Patent Document 3: U.S. Pat. No. 6,869,641.
  • SUMMARY OF THE INVENTION
  • The present invention has been made in view of the above, and is directed to a technology that can yield a thin film having a sufficient density along a thickness direction when the thin film is deposited on a substrate by repeatedly alternately supplying plural kinds of gases to the substrate under vacuum. In addition, the present invention provides a technology contributing to fabrication of high-performance semiconductor devices.
  • According to a first aspect of the present invention, there is provided a film deposition apparatus that forms a thin film on a substrate by repeating a cycle of alternately supplying plural kinds of reaction gases to the substrate under vacuum, wherein a first reaction gas among the plural kinds of the reaction gases reacts with a second reaction gas among the plural kinds of the reaction gases, the second reaction gas being adsorbed on the substrate, thereby to produce a reaction product. The film deposition apparatus includes a susceptor that is provided in a vacuum chamber and includes a substrate receiving area in which a substrate is placed; an evacuation system that evacuates the vacuum chamber; plural reaction gas supplying parts that supply the corresponding reaction gases to the substrate placed in the substrate receiving area; a plasma generation part that generates plasma including a chemical component that reacts with the second reaction gas adsorbed on the substrate, and supplies the plasma to the substrate during formation of a thin film of the reaction product thereby to alter the thin film on the substrate; and a controlling part that outputs a controlling signal in order to change plasma intensity of the plasma that is generated and supplied to the substrate by the plasma generation part at a predetermined point of time to a different plasma intensity before the predetermined point of time.
  • According to a second aspect of the present invention, there is provided a film deposition method that forms a thin film on a substrate by repeating a cycle of alternately supplying plural kinds of reaction gases to the substrate under vacuum, wherein a first reaction gas among the plural kinds of the reaction gases reacts with a second reaction gas among the plural kinds of the reaction gases, the second reaction gas being adsorbed on the substrate, thereby to produce a reaction product. The film deposition method includes steps of placing a substrate in a substrate receiving area of a susceptor provided in a vacuum chamber; evacuating the vacuum chamber; alternately supplying plural kinds of the reaction gases to the substrate in the substrate receiving area from corresponding reaction gas supplying parts thereby to form a thin film on the substrate; supplying plasma including a chemical component that reacts with the second reaction gas adsorbed on the substrate from a plasma generation part to the substrate when the thin film is being formed, thereby to alter the thin film on the substrate; and changing plasma intensity of the plasma supplied to the substrate, at a predetermined point of time to a different plasma intensity of the plasma that is generated and supplied to the substrate by the plasma generation part before the predetermined point of time.
  • According to a third embodiment of the present invention, there is provided a film deposition method that forms a thin film on a substrate by repeating a cycle of alternately supplying plural kinds of reaction gases to the substrate under vacuum, wherein a first reaction gas among the plural kinds of the reaction gases reacts with a second reaction gas among the plural kinds of the reaction gases, the second reaction gas being adsorbed on the substrate, thereby to produce a reaction product. The film deposition method includes steps of placing a substrate in a substrate receiving area of a susceptor provided in a vacuum chamber; evacuating the vacuum chamber; supplying the plural kinds of the reaction gases from corresponding reaction gas supplying parts toward the susceptor; supplying plasma including a chemical component that reacts with one of the second reaction gas adsorbed on the substrate and at least apart of the substrate from the plasma generation part toward the susceptor; and rotating the susceptor around a vertical axis so that the substrate receiving area passes alternately through a supplying area to which the second reaction gas is supplied, a reaction area where the first reaction gas reacts with the second reaction gas adsorbed on the substrate, and a plasma area that is arranged downstream relative to the reaction area along a rotation direction of the susceptor and where the plasma is supplied, wherein the supplying area, the reaction area, and the plasma area are arranged at intervals along a circumferential direction of the vacuum chamber.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 is a schematic view illustrating a thin film obtained by a conventional method;
  • FIG. 2 is a cross-sectional view illustrating a film deposition apparatus according to an embodiment of the present invention, where the view is taken along I-I′ line in FIG. 4;
  • FIG. 3 is a perspective view illustrating an inner structure of the film deposition apparatus;
  • FIG. 4 is a plan view illustrating the film deposition apparatus;
  • FIG. 5 is a perspective view illustrating apart of the inner structure of the film deposition apparatus;
  • FIG. 6 is a cross-sectional view illustrating a part of the inner structure of the film deposition apparatus;
  • FIG. 7 is another cross-sectional view illustrating a part of the inner structure of the film deposition apparatus;
  • FIG. 8 is a cross-sectional view illustrating an example of an activated gas injector provided in the film deposition apparatus;
  • FIG. 9 is a cross-sectional view illustrating a substrate subject to a process performed in the film deposition apparatus;
  • FIG. 10 is a schematic view illustrating a process performed in the film deposition apparatus;
  • FIG. 11 is an explanatory view for explaining plasma intensity;
  • FIG. 12 is a schematic view illustrating a process flow;
  • FIG. 13 is another schematic view illustrating a process flow, following FIG. 12;
  • FIG. 14 is yet another schematic view illustrating a process flow, following FIG. 13;
  • FIG. 15 is a schematic view illustrating an alteration step performed in the film deposition apparatus;
  • FIG. 16 is a schematic view illustrating gas flow in the film deposition apparatus;
  • FIG. 17 is a schematic view illustrating a substrate processed in the film deposition apparatus;
  • FIG. 18 is a schematic view illustrating another process performed in the film deposition apparatus;
  • FIG. 19 is a schematic view illustrating yet another process performed in the film deposition apparatus;
  • FIG. 20 is an explanatory view for explaining another example of the present invention;
  • FIG. 21 is a schematic view illustrating a process performed with respect to a substrate, according to another example of the present invention;
  • FIG. 22 is another schematic view illustrating a process performed with respect to a substrate, according to another example of the present invention;
  • FIG. 23 is a schematic view illustrating a process performed with respect to a substrate, according to another example of the present invention;
  • FIG. 24 is a schematic view illustrating a process performed with respect to a substrate, according to another example of the present invention;
  • FIG. 25 is an explanatory view for explaining a process according to another example of the present invention;
  • FIG. 26 is a schematic view illustrating another process according to another example of the present invention;
  • FIG. 27 is a schematic view illustrating another process according to another example of the present invention;
  • FIG. 28 is a schematic view illustrating another process according to another example of the present invention;
  • FIG. 29 is a schematic view illustrating another process according to another example of the present invention;
  • FIG. 30 is a cross-sectional view of another film deposition apparatus according to another example of the present invention;
  • FIG. 31 is a graph illustrating a relationship between an oxide film thickness and an inner pressure in a vacuum chamber of the film deposition apparatus;
  • FIG. 32 is a graph illustrating a relationship between an oxide film thickness and high frequency power supplied to electrodes thereby to generate plasma;
  • FIG. 33 is a graph illustrating a relationship between a film shrinkage and an oxide film thickness; and
  • FIG. 34 is a graph illustrating a relationship between an increased film thickness and plasma irradiation time.
  • DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENT
  • According to embodiments of the present invention, a thin film formed on a substrate by repeatedly alternately supplying plural kinds of gases to the substrate under vacuum can be sufficiently densified along a thickness direction, because an alteration step where the substrate is exposed to plasma during the film deposition is carried out. In addition, because plasma intensity of the plasma is changed during the film deposition, influence incurred on the underlying layer of the thin film by the plasma can be controlled, and properties of the thin film can be uniform along the thickness direction, thereby contributing to fabrication of high-performance semiconductor devices.
  • A First Embodiment
  • A film deposition apparatus according to a first embodiment of the present invention is explained referring to FIGS. 1 through 7. The film deposition apparatus has a vacuum chamber 1 having a flattened cylinder shape, and a turntable 2 that is located inside the chamber 1 and has a rotation center at a center of the vacuum chamber 1. The vacuum chamber 1 is made so that a ceiling plate 11 can be separated from a chamber body 12. The ceiling plate 11 is pressed onto the chamber body 12 via a sealing member such as an O ring 13, so that the vacuum chamber 1 is sealed in an airtight manner. On the other hand, the ceiling plate 11 can be raised by a driving mechanism (not shown) when the ceiling plate 11 has to be removed from the chamber body 12.
  • The turntable 2 is attached on a cylindrically shaped core portion 21. The core portion 21 is attached on a top end of a rotational shaft 22 that extends in a vertical direction. The rotational shaft 22 goes through a bottom portion 14 of the chamber body 12 and is attached at the lower end to a driving mechanism 23 that can rotate the rotational shaft 22 clockwise, in this embodiment. The rotational shaft 22 and the driving mechanism 23 are housed in a case body 20 having a cylinder with a bottom. The case body 20 is attached in an airtight manner to a bottom surface of the bottom portion 14 via a flange part, which maintains airtightness of an inner environment of the case body 20 from an outer environment.
  • As shown in FIGS. 2 and 3, plural (five in the illustrated example) circular concave portions 24, each of which receives a substrate wafer W (referred to as a wafer), are formed in an upper surface of the turntable 2. The concave portions 24 are located along a circumferential direction (or a rotational direction of the turntable 2). The wafer W has plural convex parts 90 having pillar or line shapes on the upper surface of the wafer W, as shown in FIG. 9. Incidentally, only one wafer W placed in one of the concave portions 24 is illustrated in FIG. 3.
  • As shown in FIG. 4, the concave portion 24 has a diameter slightly larger, for example, by 4 mm than the diameter of the wafer W and a depth equal to a thickness of the wafer W. Therefore, when the wafer W is placed in the concave portion 24, a surface of the wafer W is at the same elevation of a surface of an area of the turntable 2, the area excluding the concave portions 24. The concave portions 24 are wafer W receiving areas provided to position the wafers W and prevent the wafers W from being thrown out by centrifugal force caused by rotation of the turntable 2. In the bottom of the concave portion 24 there are formed three through-holes (not shown) through which three corresponding elevation pins (described later) are raised/lowered. The elevation pins support a back surface of the wafer W and raise/lower the wafer W.
  • As shown in FIGS. 3 and 4, a first reaction gas nozzle 31, a second reaction gas nozzle 32, separation gas nozzles 41, 42, and an activated gas injector 220, all of which may be formed of, for example, quartz, are arranged in radial directions and at predetermined angular intervals in the circumferential direction (or the rotation direction of the turntable 2). The nozzles 31, 32, 41, 42 oppose an area through which the concave portions 24 of the turntable 2 pass. In the illustrated example, the activated gas injector 220, the separation gas nozzle 41, the first reaction gas nozzle 31, the separation gas nozzle 42, and the second reaction gas nozzle 31 are arranged in this order in a clockwise direction (or the rotation direction of the turntable 2) from a transfer opening 15 (described later). The activated gas injector 220 and the nozzles 31, 32, 41, 42 are introduced into the vacuum chamber 1 from an outer circumferential wall of the chamber body 12, in order to extend along a radius direction of the chamber body 12 and to be parallel with the upper surface of the turntable 2. Gas introduction ports 31 a, 32 a, 41 a, 42 a serving as base ends of the corresponding nozzles 31, 32, 41, 42 go through the outer circumferential wall of the chamber body 12. The first reaction gas nozzle 31 and the second reaction gas nozzle 32 serve as a first reaction gas supplying portion and a second reaction gas supplying portion, respectively; and the separation gas nozzles 41, 42 serve as a separation gas supplying portion. The activated gas injector 220 is described later.
  • The reaction gas nozzle 31 is connected to a gas supplying source (not shown) of a first reaction gas containing silicon (Si) such as a diisopropyl amino silane (DIPAS) gas and a bis (tertiary-butylamino) silane (SiH2 (NH—C (CH3)3)2: BTBAS) gas, via a flow rate control valve (not shown). The second reaction gas nozzle 32 is connected to a gas supplying source (not shown) of a second reaction gas such as a mixed gas of ozone (O3) gas and oxygen (O2) gas, or the combination thereof, via a flow rate control valve (not shown). The separation gas nozzles 41, 42 are connected to a gas supplying source (not shown) of nitrogen (N2) gas serving as a separation gas, via a flow rate control valve (not shown). Incidentally, the following explanation is made with the O3 gas used as the second reaction gas.
  • The reaction gas nozzles 31, 32 have plural ejection holes (not shown) open downward arranged in longitudinal directions of the reaction gas nozzles 31, 32, for example, at equal intervals thereby to eject the corresponding source gases to the turntable 2. An area below the reaction gas nozzle 31 is a first process area P1 in which the silicon-containing gas is adsorbed on the wafer W. An area below the second reaction gas nozzle 32 is a second process area P2 in which the silicon-containing gas adsorbed on the wafer W is oxidized by the O3 gas.
  • The separation gas nozzles 41, 42 have plural ejection holes (not shown) arranged, for example, at equal intervals in longitudinal directions of the separation gas nozzles 41, 42 thereby to eject the separation gases downward from the plural ejection holes 40. The separation nozzles 41, 42 form corresponding separation areas D that separate the first process area P1 and the second process area P2. In the separation area D, a convex portion 4 having a top view shape of a sector is provided on the lower surface of the ceiling plate 11 of the vacuum chamber 1, as shown in FIGS. 3 and 4. The separation gas nozzles 41, 42 are housed in groove portions (not shown) in the corresponding convex portions 4.
  • With the above configuration, there are flat low ceiling surfaces 44 (first ceiling surfaces) on both sides of the separation gas nozzle 41 (or 42), and high ceiling surfaces 45 (second ceiling surfaces) outside of the corresponding low ceiling surfaces 44. Taking for an example the separation area D where the separation gas nozzle 41 is provided, this separation area D impedes the second reaction gas, which flows in the rotation direction of the turntable 2, from entering a space below the convex portion 4, and the first reaction gas, which flows in a direction opposite to the rotation direction of the turntable 2, from entering the space below the convex portion 4.
  • On the other hand, a protrusion portion 5 is provided on the lower surface of the ceiling plate 11, as shown in FIGS. 6 and 7. The protrusion portion 5 is formed to be continuous with the inner arc of the convex portion 4, in this embodiment, so that the lower surface of the protrusion portion 5 is at the same level as that of the convex portion 4 (or the ceiling surface 44). FIGS. 3 and 4 illustrate the vacuum chamber 1 as if the vacuum chamber 1 was horizontally severed at a level lower than the ceiling surface 45 and higher than the separation nozzles 41, 42. In addition, FIG. 2 illustrates a vertical cross section of the vacuum chamber 1 where the high ceiling surfaces 45 are provided, and FIG. 6 illustrates half of a vertical cross section of the vacuum chamber 1 where the low ceiling surface 44 is provided.
  • In a circumferential portion of the sector-shaped convex portion 4 (or an outer circumferential portion facing the inner surface of the chamber body 12), there is provided a bent portion 46 that bends in an L-shape, as shown in FIGS. 3 and 6. The bent portion 46 opposes the outer circumferential surface of the turntable 2 with a slight gap in relation to the inner circumferential surface of the chamber body 12. The bent portion 46 is provided in order to impede the reaction gases from entering the separation area D from the both sides of the separation area D and from being mixed. Gaps between the outer circumferential surface of the turntable 2 and the inner circumferential surface of the bent portion 46 and between the outer circumferential surface of the bent portion 46 and the inner circumferential surface of the chamber body 12 may be as narrow as the height of the ceiling surface 44 with respect to the turntable 2, for example.
  • A circumferential wall of the chamber body 12 is indented outward in areas that do not correspond to the separation areas D, as shown in FIGS. 2 and 4, so that there is a relatively large space with respect to the outer circumferential surface of the turntable 2 and from the bottom of the chamber body 12 up to the outer circumferential surface of the turntable 2. In the following explanation, the space having substantially a box shape is referred to as an evacuation area. Specifically, the evacuation area in gaseous communication with the first process area P1 is referred to as a first evacuation area E1, and the evacuation area in gaseous communication with the second process area P2 is referred to a second evacuation area E2, hereinafter (see FIG. 4). At the bottoms of the first and the second evacuation areas E1, E2, a first evacuation port 61 and a second evacuation port 62 are formed, respectively, as shown in FIGS. 2 and 4. The first and the second evacuation ports 61, 62 are connected to a vacuum pump 64 serving as an evacuation unit via an evacuation pipe 63, as shown in FIG. 2. The evacuation pipe 63 is provided with a pressure controller 65 that controls an inner pressure in the vacuum chamber 1.
  • As shown in FIGS. 2 and 6, a heater unit 7 serving as a heating portion is provided in a space between the bottom portion 14 of the chamber body 12 and the turntable 2, so that the wafers W placed on the turntable 2 can be heated through the turntable 2 at a predetermined temperature, for example 300° C., which is determined by a process recipe. In addition, a ring-shaped cover member 71 is provided beneath the turntable 2 and near the outer circumference of the turntable 2 in order to surround the heater unit 7, so that the space where the heater unit 7 is placed is partitioned from the outside area of the cover member 71, thereby impeding the gas from entering the space below the turntable 2. The cover member 71 includes an inner member 71 a provided to face the outer circumferential portion of the turntable 2 and an area outside of the turntable 2 from below, and an outer member 71 b provided between the inner member 71 a and the inner circumferential surface of the chamber body 12, as shown in FIG. 6. The outer member 71 b is severed in part in order to leave spaces above the evacuation ports 61, 62, thereby allowing a space above the turntable 2 to be in gaseous communication with the evacuation ports 61, 62. In addition, the upper surface of the outer member 71 b comes close to the bent portion 46.
  • Referring to FIG. 2, a part of the bottom portion 14 of the vacuum chamber 1 comes close to the lower surface of the core portion 21. This part is referred to as a protrusion portion 12 a. There is a narrow space between the protrusion portion 12 a and the core portion 21, and the case body 20 is provided with a purge gas supplying pipe 72. In addition, plural purge gas supplying pipes 73 are arranged along the circumferential direction of the chamber body 12 and connected to areas below the heater unit 7 in order to purge the space where the heater unit 7 is housed. A cover member 7 a, which may be formed of, for example, quartz glass, is supported by the upper surface of the cover member 71 and the upper portion of the protrusion portion 12 a, so that the heater unit 7 is covered by the cover member 7 a and thus gases are substantially impeded from entering the space where the heater unit 7 is housed.
  • In addition, a separation gas supplying pipe 51 is connected to the top center portion of the ceiling plate 11 of the vacuum chamber 1, so that N2 gas is supplied as a separation gas to a space 52 between the ceiling plate 11 and the core portion 21. The separation gas supplied to the space 52 flows through a narrow gap 50 between the protrusion portion 5 and the turntable 2 and then along the top surface of the turntable 2 to the outer circumference of the turntable 2, thereby impeding the reaction gases (silicon-containing gas and O3 gas) from being intermixed through the center portion of the turntable 2. Incidentally, an area defined by the ceiling plate 11, the core portion 21, and the protrusion portion 5 is referred to as a center area C.
  • Moreover, the vacuum chamber 1 is provided in the outer circumferential wall with the transport opening 15 through which the wafer W is transferred into or out from the vacuum chamber 1 by a transfer arm 10 (see FIG. 3). The transfer opening 15 is provided with a gate valve (not shown) by which the transfer opening 15 is opened or closed. Because the wafer W is transferred into the vacuum chamber 1 through the transfer opening 15 and placed in the concave portion 24 in the turntable 2, lift pins are provided in an area facing the transfer opening 16 below the turntable 2. The lift pins can be moved upward/downward through corresponding through-holes (not shown) formed in the turntable 24 by an elevation mechanism (not shown), so that the wafer W is transferred between the transfer arm 10 and the concave portion 24 of the turntable 2.
  • Next, the activated gas injector 220 is described. The activated gas injector 220 is arranged to generate plasma in an area above the concave portions 24 of the turntable 2 and along the radius direction of the turntable 2 thereby to alter properties of a silicon oxide film deposited on the wafers W through reaction of the silicon-containing gas and the O3 gas. As shown in FIGS. 5 and 8, the activated gas injector 220 is provided with a gas introduction nozzle 34 that may be made of, for example, quartz glass and serves as a property alteration gas supplying portion that supplies a process gas from which plasma is substantially generated in the vacuum chamber 1, and a pair of sheath pipes 35 a, 35 b located downstream relative to the gas introduction nozzle 34 along the rotation direction of the turntable 2. The sheath pipes 35 a, 35 b extend parallel with each other and generate the plasma from the process gas supplied from the gas introduction nozzle 34. In addition, the gas introduction nozzle 34 and the sheath pipes 35 a, 35 b are introduced in an air-tight manner from the outer circumferential wall of the chamber body 12 to the center of the turntable 2, are parallel with the wafer W on the turntable 2, and orthogonally traverse the rotation direction of the turntable 2. Protection pipes 37 are connected to a base end side of the sheath pipes 35 a, 35 b (FIGS. 3 and 8). Plural gas holes 341 are formed in and along a longitudinal direction of the gas introduction nozzle 34.
  • Referring to FIG. 4, the gas introduction nozzle 34 is connected to one end of a plasma gas introduction line 251 that supplies the process gas for generating the plasma, and the other end of the plasma gas introduction line 251 is branched into two branch lines that are connected to an argon (Ar) gas supplying source 254 and an oxygen (O2) gas supplying source 255, respectively. Each of the two branch lines is provided with a valve 252 and a flow rate controller 253.
  • The sheath pipes 35 a, 35 b may be made of, for example, quartz, alumina (aluminum oxide), ittria (ittrium oxide), or the like. As shown in FIG. 8, electrodes 36 a, 36 b are inserted into the corresponding sheath pipes 35 a, 35 b thereby to constitute parallel electrodes. The electrodes 36 a, 36 b may be made of, for example, nickel alloy, titanium, or the like. A distance k between the electrodes 36 a, 36 b and the wafer W on the turntable 2 is about 7 mm in this embodiment. These electrodes 36 a, 36 b are connected to a high frequency power supply 224 via a matching box 225, as shown in FIG. 4. High frequency electric power, which may have, for example, a frequency of 13.56 MHz and electric power of 500 W or less, is supplied to the electrodes 36 a, 36 b from the high frequency power supply 224. Incidentally, the sheath pipes 35 a, 35 b are simplified in the drawings except for FIG. 8.
  • As shown in FIG. 8, the gas introduction nozzle 34 and the sheath pipes 35 a, 35 b are provided with a cover body 221. The cover body 221 is arranged to cover a top and side (both sides along the long and short edges) of the gas introduction nozzle 34 and the sheath pipes 35 a, 35 b. The cover body 221 is made of an insulating material such as quartz. In addition, the cover body 221 is provided with flow limiting surfaces 222 that extend in a flange shape. Specifically, the flow limiting surfaces 222 are provided from one end through the other end of the cover body 221 along the longitudinal direction of the cover body 221 and extend outward from the corresponding lower edge portions of the cover body 221. According to the flow limiting surfaces 222, the gases such as the O3 gas and the N2 gas flowing along the rotation direction of the turntable 2 over the upper surface of the turntable 2 are impeded from entering the inside of the cover body 221. In addition, the flow limiting surfaces 222 are arranged close to the upper surface of the turntable 2 so that a gap t between the flow limiting surface 222 and the upper surface of the turntable 2 is small enough to efficiently impede the gases from entering the inside of the cover body 221. Moreover, the flow limiting surface 222 has a width u that becomes greater in the rotation direction of the turntable 2 along a direction toward the inner circumferential surface of the chamber body 12. The cover body 221 is supported by plural supporting members 223 (see FIG. 6) connected to the ceiling plate 11 of the vacuum chamber 1.
  • In addition, the film deposition apparatus according to this embodiment is provided with a controlling part 100, which is made of a computer, for controlling entire operations of the film deposition apparatus. The controlling part 100 includes a memory device (not shown) that stores a computer program that causes the film deposition apparatus to carry out a film deposition—alteration step including a film deposition step and an alteration step. Here, the film deposition step and the alteration step are briefly explained. In the film deposition step, the silicon oxide film, which is made of the reaction product of the silicon-containing gas and the O3 gas, is formed, and in the alteration step, the reaction product is altered or densified. In addition, the film deposition step and the alteration step are alternately repeated so that plural layers of the reaction product are accumulated on the wafer W. In this case, the controlling part 100 outputs a controlling signal to the high frequency power source 224 in order to adjust (or change) plasma intensity in the alteration step so that the silicon oxide film is uniformly altered in a thickness direction of the silicon oxide film while the plasma is prevented from penetrating through the silicon oxide film to reach the wafer W, which is made of silicon. For example, when a film thickness of the silicon oxide is small, or at an initial stage of the repeatedly performed film deposition and alteration step, the plasma intensity is zero, and as the film thickness is increased, or as the number of the film deposition steps is increased, the plasma intensity is increased in a stepwise manner, as shown in FIG. 10. Incidentally, the controlling portion 100 may output a controlling signal to the pressure controller 65 in order to adjust the inner pressure in the vacuum chamber 1.
  • Here, “plasma intensity” is referred to as intensity of plasma to which the wafer W is exposed, and differs depending on electric power supplied to the electrodes 36 a, 36 b, an inner pressure in the vacuum chamber 1, an exposure time of the plasma to the wafer W, a distance k between the electrodes 36 a, 36 b, and the like. In order to quantitatively express the “plasma intensity”, a film thickness j of a silicon oxide film obtained by continuously exposing the upper surface of the wafer W (or a silicon layer) to plasma for 180 s, as shown in FIG. 10, is used as an indicator in this embodiment. For example, when a silicon oxide film having a thickness of 1 nm is obtained after the upper surface of the wafer W is exposed to plasma for 180 s under certain conditions, the plasma intensity in this case is expressed as “plasma intensity corresponding to the film thickness j of 1 nm”. Similarly, when a silicon oxide film having a thickness of 2 nm is obtained, the plasma intensity is expressed as “plasma intensity corresponding to the film thickness j of 2 nm”.
  • When the film deposition—alteration process is being carried out, the plasma intensity is adjusted with electric power supplied to the electrodes 36 a, 36 b while other parameters such as the inner pressure in the vacuum chamber 1 are kept constant. Specifically, when the distance k between the electrodes 36 a, 36 b and the wafers W having diameters of 300 mm is set to the above value and the inner pressure in the vacuum chamber 1 is kept at 266 Pa (2 Torr), the electric power supplied to the electrodes 36 a, 36 b of 30 W provides the film thickness j corresponding to 1 nm, and the electric power supplied to the electrodes 36 a, 36 b of 65 W provides the film thickness j corresponding to 2 nm. A relationship between the electric power and the film thickness j depends on process conditions, and thus preferably is obtained in advance by, for example, carrying out an experiment using, for example, test wafers, under predetermined conditions.
  • Incidentally, the program includes groups of steps or instructions that cause the constituting members or parts of the film deposition apparatus to perform the film deposition—alteration process. The program is stored in a memory portion 101 (FIG. 4), which is a computer readable storage medium such as a hard disk, a compact disk, a magneto-optical disk, a memory card, a flexible disk, or the like, and installed into the control part 100.
  • Next, the operations of the film deposition apparatus according to this embodiment (film deposition method) are described in the following. First, the wafer W is transferred into the vacuum chamber 1 through the transfer opening 15 by the transferring arm 10, after the gate valve (not shown) is opened. Specifically, when one of the concave portions 24 of the turntable 2 is in alignment with the transfer opening 15 by appropriately rotating the turntable 2, the wafer W is placed in the concave portion 24 of the turntable 2 by the lift pins (not shown) and the transfer arm 10 that cooperatively operate. Such operations are intermittently repeated so that five wafers W are placed in the corresponding concave portions 24 of the turntable 2. Next, after the transfer arm 10 recedes from the vacuum chamber 1, the gate valve (not shown) is closed, and the vacuum chamber 1 is evacuated to the lowest reachable pressure by the vacuum pump 64. Then, the turntable 2 starts rotating in a clockwise direction at a rotational speed of, for example, 120 revolutions per minute, and the wafers W on the turntable are heated at, for example, 300° C. The N2 gas is supplied at predetermined flow rates from the separation gas nozzles 41, 42, the separation gas supplying pipe 51, and the purge gas supplying pipes 72. Subsequently, the silicon-containing gas is supplied from the reaction gas nozzle 31; the O3 gas is supplied from the second reaction gas nozzle 32; and the Ar gas and the O2 gas are supplied at flow rates of, for example, 9.5 standard liters per minute (slm) and 0.5 slm, respectively, from the gas introduction nozzle 34. The vacuum chamber 1 is maintained at a predetermined pressure, for example, 266 Pa (2 Torr) by the pressure controller 65.
  • With the rotation of the turntable 2, the silicon-containing gas is adsorbed on the upper surface of the wafer W in the first process area P1, and the adsorbed silicon-containing gas on the upper surface of the wafer W is oxidized by the O3 gas in the second process area P2, so that one molecular layer or plural molecular layers of the reaction product, i.e., silicon oxide is formed in the film deposition step (or a cycle of supplying reaction gases to the upper surface of the wafer W). In this case, because no high frequency power is supplied to the electrodes 36 a, 36 b, the plasma intensity is zero (a first plasma intensity). With this, the molecular layer or the plural molecular layers may include impurities such as organic groups and/or moisture (OH groups), which originate from, for example, the silicon-containing gas. After the film deposition step is continued by rotating the turntable 2 until a film thickness of the silicon oxide film becomes 1 nm, as shown in FIGS. 10 and 12, high frequency power of 45 W (a second plasma intensity) is applied across the electrodes 36 a, 36 b so that the plasma intensity corresponds to the oxide film thickness j of 1.5 nm. Incidentally, FIG. 12 schematically illustrates the wafer W and the reaction product deposited on the wafer W. FIGS. 13 and 14, which are referred to later, also schematically illustrate the wafer W and the reaction product.
  • In the activated gas injector 220, the Ar gas ejected from the gas introduction nozzle 34 toward the sheath pipes 35 a, 35 b is activated by high frequency electric power between the sheath pipes 35 a, 35 b into plasma including ions and/or radicals, which in turn flow downward toward the wafers W on the turntable 2, which is rotated, below the activated gas injector 220. When the wafer W reaches the area below the activated gas injector 220, the wafer W is exposed to the plasma and thus the alteration step that alters the silicon oxide film is carried out. Specifically, because the wafer W is bombarded with, for example, the ions and/or radicals, the impurities are degassed from the silicon oxide film, and the silicon atoms and the oxygen atoms are rearranged, resulting in densification of the silicon oxide film, as schematically shown in FIG. 15.
  • In this case, because the plasma intensity is set as described above, the plasma can enter or penetrate the silicon oxide film from the upper surface thereof through a vicinity of the upper surface of the underlying substance, which is the wafer W (silicon) in the illustrated example, as shown on the left side view of FIG. 13. Therefore, the silicon oxide film formed at the plasma intensity of zero (see the right side view of FIG. 12) can be uniformly altered in the thickness direction by the penetrating plasma, while the upper surface of the wafer W (silicon) is prevented from being oxidized. This film deposition—alteration step including the film deposition step and the alteration step is repeated plural times by rotating the turntable 2 until a film thickness of the silicon oxide film becomes 2 nm. With this, a thickness of the silicon oxide film is increased, and thus the lowest level (or the depth from the upper surface of the silicon oxide film) that the plasma can reach becomes gradually moved away from the upper surface of the wafer W. Incidentally, arrows in FIG. 13 schematically illustrate the plasma intensity. Arrows in FIG. 14, which is referred to later, also illustrate the plasma intensity.
  • Because the separation area D is not provided between the activated gas injector 220 and the second reaction gas injector 32 in the vacuum chamber 1, the O3 gas and the separation gas (N2 gas) flow toward the activated gas injector 220 from the upstream side of the activated gas injector 220 because of rotation of the turntable 2. However, such gases are least likely to flow through the space between the activated gas injector 220 and the turntable 2, because the gases flow through above the activated gas injector 220. This is because the activated gas injector 220 is provided with the cover body 221. Incidentally, the impurities removed from the silicon oxide film during the alteration step are turned into gas, and then the gas is evacuated together with the O2 gas and the N2 gas.
  • In addition, because the N2 gas is supplied between the first process area P1 and the second process area P2 and the N2 gas is supplied to the center area C, the silicon-containing gas and the O3 gas are not intermixed with each other and are evacuated to the corresponding evacuation ports 61, 62. Incidentally, because the area below the turntable 2 is purged with the N2 gas, for example, the silicon-containing gas that has flowed into the evacuation area E1 (or E2) cannot flow into the area where the O3 gas is supplied through the space below the turntable 2.
  • Then, high frequency power is applied, for example, at 150 W across the electrodes 36 a, 36 b in order to obtain the plasma intensity corresponding to the oxide film thickness j of 3.7 nm. In this case, the plasma can only reach the vicinity of the upper surface of the wafer W, as shown in FIG. 14, so that the silicon oxide film deposited on the wafer W is uniformly altered, but the upper surface of the wafer W is prevented from being oxidized. Next, the film deposition—alteration step is continued until a thickness of the silicon oxide film becomes 10 nm, a silicon oxide film, which has been densified along the film thickness direction, is formed to cover the convex portions 90 formed on the upper surface of the wafer W, while the upper surface of the wafer W is prevented from being oxidized, as shown in FIG. 17. Therefore, reduction of the width d of the convex portion 90, which may be caused by silicon oxide grow due to irradiation of plasma, can be avoided.
  • According to this embodiment, when the film deposition—alteration process composed of the film deposition step, where the reaction product is formed on the wafer W using the silicon-containing gas and the O3 gas, and the alteration step, where the reaction product is altered by plasma, is repeated plural times, the plasma intensity is set to zero when a thickness of the reaction product (or at an initial stage of the film deposition—alteration process), and then the plasma intensity of the plasma supplied to the wafer W is increased in a stepwise manner as a thickness of the reaction product is increased (or as the number of the film deposition steps is increased). Therefore, the thin film, which is densified along the thickness direction uniformity, can be obtained while the upper surface of the wafer W can be prevented from being oxidized, thereby yielding an excellent device structure with a desired feature as shown in FIG. 17.
  • In addition, in the film deposition—alteration process, the alteration step is carried out with respect to the wafer W when the wafer W moves along from the second process area P2 to the first process area P1 in the vacuum chamber 1 every time after the film deposition step, in such a manner that the film deposition is not influenced by the alteration step. In addition, because the alteration process is carried out every time after the film deposition step in the film deposition—alteration process, the thin film can be altered in a shorter time compared to a case where the thin film is altered after the film deposition is completed.
  • Incidentally, while the plasma intensity is increased in a stepwise manner in the above example, the plasma intensity is continuously increased as the number of the film deposition steps is increased, or every turn of the turntable 2, as shown in FIGS. 18 and 19. Also in this case, the plasma intensity is set to zero at an initial stage of the film deposition—alteration process, or until a thickness of the reaction process reaches, for example, 1 nm. By adjusting the plasma intensity, the plasma can reach the vicinity of the upper surface of the wafer W, which underlies the thin film of the reaction product, in the alteration step. Therefore, the film can be uniformly altered along the thickness direction. Incidentally, FIG. 19 schematically illustrates the wafer W and the reaction product on the wafer W, where the plasma intensity is schematically represented by arrows.
  • Here, at the initial stage of the film deposition—alteration process, the high frequency power may be supplied at 5 W to the electrodes 36 a, 36 b so that the plasma intensity corresponds to the oxide film thickness j of 0.2 nm. However, the plasma intensity is preferably set to zero because it is not easy to maintain the plasma at such a lower level.
  • A Second Embodiment
  • Next, a second embodiment of the present invention is explained, where a silicon oxide film serving as a gate electrode film is formed on a substrate. While it is especially important for the gate electrode film to have excellent flatness at a boundary between the silicon oxide film and the underlying silicon wafer, a silicon oxide film formed by a conventional Chemical Vapor Deposition (CVD) method, an Atomic Layer Deposition (ALD) method or a Molecular Layer Deposition (MLD) method may have relatively degraded flatness, as schematically illustrated in FIG. 20, compared to a thermally grown silicon oxide film. In the following, a film deposition method according to the second embodiment of the present invention, which can form a silicon oxide film having excellent flatness at a boundary between the silicon oxide film and the underlying silicon wafer.
  • Specifically, when the film deposition step and the alteration step are alternately performed by rotating the turntable 2, the alteration step is performed at a second plasma intensity, which corresponds to the oxide film thickness j of 5.3 nm (or by setting the high frequency power supplied to the electrodes 36 a, 36 b to 400 W) until a thickness of the reaction product reaches 3 nm, as shown in FIG. 24. This plasma can reach the wafer W through the thin film of the reaction product, thereby oxidizing the upper surface (or portion) of the wafer W, as shown in FIG. 21.
  • Therefore, a first silicon oxide film 92 that is formed through the oxidization of the wafer W and a second silicon oxide film 93 that is formed by the film deposition—alteration process are formed on an underlying layer 91, which corresponds to an upper portion of the wafer W, as shown in FIG. 22. Namely, a silicon oxide film 94 composed of the first silicon oxide film 92 and the second silicon oxide film 93 is formed on the wafer W (the underlying film 91). Because the plasma-oxidized first silicon oxide film is generally likely to provide better flatness at the boundary in relation to the underlying film than the thermally grown silicon oxide film, an excellent flatness can be achieved between the silicon oxide film 94 and the underlying film 91.
  • Then, the film deposition step and the alteration step, which is performed at a first plasma intensity corresponding to the oxide film thickness j of 3.7 nm, are alternately performed until a thickness of the reaction product reaches 10 nm. With this, a thin film that is densified along the thickness direction and provides excellent flatness at the boundary in relation to the underlying film 91 can be obtained. Here, the plasma intensity is reduced from that corresponding to the oxide film thickness j of 5.3 nm to that corresponding to the oxide film thickness j of 3.7 nm, in order to avoid a further increase of a thickness of the first silicon oxide film 92 and to desirably control a thickness of the silicon oxide film 94.
  • Incidentally, while the plasma intensity is set to be relatively large at the initial stage of the film deposition in order to oxidize the upper surface (or portion) of the wafer W to form the plasma-oxidized silicon oxide film 92 in this embodiment, the plasma-oxidized silicon oxide film may be formed in the following manner in other embodiments.
  • Namely, after the wafers W are placed in the substrate receiving areas 24 of the turntable 2, the vacuum chamber 1 is maintained at a predetermined pressure with the N2 gas supplied from the separation gas supplying portions 41, 42 and the like and the turntable 2 starts rotating. Then, the plasma is generated by the activated gas injector 220. In this case, because the upper surface of the wafer W is exposed to the plasma, the upper surface is readily oxidized, so that the plasma-oxidized silicon oxide film is obtained. Next, after the turntable 2 is rotated plural (or at least two) times, the silicon-containing gas, the oxidizing gas, and the separation gases are supplied to the vacuum chamber 1 from the corresponding gas supplying nozzles 31, 32, 41, and 42, so that the film deposition step and the alteration step are alternately repeated. Even in this case, the densified silicon oxide film 94 can be formed on the wafer W. In this case, the plasma intensity may be lower after the film deposition step starts than before it starts, or may be the same after and before the film deposition step starts.
  • In the first and the second embodiments, influence incurred on the underlying layer of the thin film by the plasma can be controlled by adjusting the plasma intensity.
  • A Third Embodiment
  • Next, a third embodiment of the present invention is explained. FIG. 25 schematically illustrates multilayers of the reaction product and the plasma irradiated to the reaction product. Specifically, the plasma irradiated to the reaction product is indicated by arrows in the drawing, while a thickness of the reaction product is increased. More specifically, a vertical length (or range) of each of the arrows indicates a thickness of the reaction product that is exposed to the plasma as the thickness is increased. In other words, the leftmost arrow indicates that the plasma can penetrate through the reaction product having a thickness of about 3 nm into the wafer W from the upper surface of the reaction product.
  • When paying attention to a particular layer of the reaction product having a thickness of 3 nm to 10 nm, if the layer is close to the upper surface of the wafer W, the layer is exposed to the plasma certain times as long as the plasma can penetrate through the layer, because the alteration step is repeated. However, if the layer is close to the upper surface of the reaction product having a thickness of 10 nm, the layer is exposed to the plasma fewer times. Namely, the reaction product goes through the plasma alteration step different times depending on a thickness of the reaction product. On the other hand, when the plasma intensity is set to the oxide film thickness j of 5.3 nm with respect to the reaction product having a thickness of 3 nm or less, and is set to the oxide film thickness j of less than 5.3 nm with respect to the reaction product having a thickness of 3 nm through 10 nm, an irradiation amount of the plasma irradiated to the layer near the upper surface of the wafer W becomes larger than that irradiated to the layer near the upper surface of the reaction product having a thickness of 10 nm.
  • In view of the above, the plasma intensity is adjusted in this embodiment so that the irradiation amount of the plasma irradiated to the reaction product is equalized irrespective of a thickness of the reaction product. Specifically, as shown in FIGS. 26 and 27, an upper portion of the reaction product, which may correspond to thicknesses of 8 nm to 10 nm, is exposed to the plasma having a third plasma intensity corresponding to the oxide film thickness j of 5.3 nm. The third plasma intensity is greater than that of the plasma irradiated to the reaction product having a thickness of 8 nm to 10 nm in the second embodiment (see FIG. 24). In addition, the plasma intensity is set to the oxide film thickness j of 1.5 nm in order to reduce the irradiation amount of the plasma irradiated to the reaction product having a thickness of 3 nm or less, and linearly increased to the oxide film thickness j of 3.7 nm as a thickness of the reaction product is increased from 3 nm to 8 nm. By adjusting the plasma intensity in such a manner, a degree of alteration is equalized along the thickness direction of the reaction product, and thus a thin film having uniform film properties can be obtained.
  • In addition, the plasma intensity is set to the oxide film thickness j of 5.3 nm with respect to the reaction product until a thickness of the reaction product reaches 1 nm in order to oxidize the underlying film 91 into the silicon oxide film 92, and gradually increased to the oxide film thickness j of 5.3 nm as a thickness of the reaction product is increased from 1 nm to 10 nm, as shown in FIG. 24.
  • Moreover, properties of the reaction product can be equalized along the thickness direction of the reaction product even in the first embodiment, in the same manner as the third embodiment. Specifically, the plasma intensity is gradually increased from the oxide film thickness j of 1.5 nm to the oxide film thickness j of 2 nm as a thickness of the reaction product is increased from zero to 4 nm, and the plasma intensity is set to zero when a thickness of the reaction product is from 4 nm to 7 nm. Then, the plasma intensity is gradually increased from the oxide film thickness j of 3 nm (or the high frequency power of 110 W supplied to the electrodes 36 a, 36 b) to the oxide film thickness j of 5.3 nm as a thickness of the reaction product is increased from 7 nm to 10 nm. By adjusting the plasma intensity in such a manner, a thin film having uniform film properties along the thickness direction can be obtained while the oxidization of the wafer W underneath the thin film (reaction product) is reduced. Even in this case, the plasma intensity may be set to zero at the initial stage of the film deposition step (or until a thickness of the reaction product becomes, for example, 1 nm).
  • As stated above, according to embodiments of the present invention, the plasma intensity may be adjusted as a thickness of the reaction product is increased, depending on desired properties of semiconductor devices. The adjustment of the plasma intensity may be made in order to make the properties of the thin film of the reaction product uniform or non-uniform along the thickness direction. For example, when the silicon oxide film is used as a gate oxide film, the flat boundary between the underlying film 91 and the silicon oxide film 94 can be obtained, so that carrier mobility in a channel of a field effect transistor can be increased. In addition, the first silicon oxide film 92 and the second silicon oxide film 93 of the silicon oxide film 94 (FIG. 22) can become low leakage, high reliability films by exposing the oxide films 92, 93 to the plasma having the plasma intensity sufficient to appropriately alter the oxide films 92, 93. Moreover, the upper silicon oxide film 93 of the silicon oxide film 94 may have a high density and a high gas barrier characteristic by increasing the plasma intensity. In such ways, the film properties along the thickness direction can be arbitrarily adjusted.
  • In the above embodiments, the film deposition step and the alteration step are alternately performed. Namely, supplying the silicon-containing gas and the O3 gas and irradiating the plasma to the wafer W are performed while the turntable 2 is rotated. However, the alteration step may be performed every plural film deposition step. In this case, the high frequency power is not supplied to the electrodes 36 a, 36 b when the film deposition step is performed plural times, but supplied to the electrodes 36 a, 36 b only when the alteration step is performed. In addition, when the alteration step is performed, the silicon-containing gas and the O3 gas are not supplied to the corresponding process areas P1, P2 (FIG. 3). In this case, the alteration step can be continuously performed plural times by rotating the turntable 2.
  • While the two parallel electrodes 36 a, 36 b are used in order to generate the plasma (so-called Capacitively Coupled Plasma (CCP)) in the above embodiments, a U-shaped electrode may be used. In this case, it is preferable when a curved portion of the U-shaped electrode is arranged near the center of the vacuum chamber 1 and two straight portions separately go through the outer circumferential wall of the chamber body 12 in an airtight manner. When high frequency power is supplied to the U-shaped electrode, so-called Inductively Coupled Plasma (ICP) is generated in the vacuum chamber 1. In addition, not only CCP and ICP but also Surface Wave Plasma (SWP) using microwaves and Electron Cyclotron Resonance (ECR) Plasma may be employed.
  • Moreover, the oxidizing gas may be supplied to the wafer W on which the silicon-containing gas is adsorbed, through the activated gas injector 220 rather than the second reaction gas nozzle 32. In this case, a process gas (Ar gas and O2 gas) is supplied to and activated by the activated gas injector 220, so that the silicon-containing gas adsorbed on the wafer W is oxidized and so-formed silicon oxide is altered by the process gas from the activated gas injector 220.
  • Furthermore, while the high frequency power is adjusted in order to change the plasma intensity in the above embodiments, the inner pressure in the vacuum chamber 1 may be adjusted instead of or in addition to the high frequency power, as explained later. Additionally, O2 gas or O3 gas may be used as the oxidizing gas supplied from the second reaction gas nozzle 32, and Ar gas and O3 gas may be used as a process gas for generating the plasma.
  • In addition, while the silicon-containing gas and the O3 gas are used to form the silicon oxide film in the above embodiments, the silicon-containing gas and ammonia (NH3) gas may be used as the first reaction gas and the second reaction gas, respectively, thereby forming a silicon nitride film. In this case, a mixed gas of Ar and ammonia or a mixed gas of Ar and nitrogen may be used as a process gas from which the plasma is generated. The film deposition step and the alteration step are alternately performed using these gases. Namely, when the plasma intensity is reduced or set to zero at the initial stage of the film deposition step and then increased as a thickness of the silicon nitride film is increased, the silicon nitride film having uniform density along the thickness direction while the upper surface of the wafer W is prevented from being nitrided. On the other hand, when the plasma intensity is so high that the plasma reaches the upper surface of the wafer W at the initial stage of the film deposition step, the upper surface of the wafer W is nitrided, and thus excellent flatness is achieved at the boundary between the silicon nitride film and the underlying layer.
  • In addition, titanium chloride (TiCl2) gas and ammonia (NH3) gas may be used as the first reaction gas and the second reaction gas, thereby forming titanium nitride (TiN) film. In this case, a substrate made of silicon is used as the wafer W, and Ar gas or nitrogen gas is used as a process gas from which the plasma is generated.
  • In addition, the film deposition step and the alteration step may be performed in not only the film deposition apparatus (a so-called semi-batch apparatus) shown in FIG. 2 but also a single-wafer apparatus. As shown in FIG. 30, such a single-wafer apparatus is provided with, for example, a vacuum chamber 1, a susceptor 2 that is provided in the vacuum chamber 1 and on which the wafer W is placed, and a gas showerhead 200 arranged above the susceptor 2 in order to oppose the susceptor 2. The showerhead 200 has plural gas ejection holes 201 on the lower surface. In addition, gas supplying lines 202, 203, 204, and 205 are connected to corresponding gas conduits (not shown) formed in the showerhead 200. With this configuration, a first reaction gas, a second reaction gas, a separation gas (purge gas), and a process gas from which the plasma is generated are separately supplied toward the susceptor 2 from the corresponding gas ejection holes 201 through the corresponding gas supplying lines 202, 203, 204, and 205 and the corresponding gas conduits. In addition, a high frequency power source 206 is connected to the showerhead 200, so that the showerhead 200 serves as parallel planar electrodes together with the susceptor 2. Incidentally, a reference symbol 210 represents a transfer opening through which the wafer W is transferred into/out from the vacuum chamber 1; a reference symbol 211 represents an evacuation port; and a reference symbol 212 represents an insulating member.
  • When the film deposition step is performed in the single-wafer apparatus, the first reaction gas and the second reaction gas are alternately supplied to the vacuum chamber 1 with purging periods therebetween. In each of the purging periods, the purge gas is supplied to the vacuum chamber 1 while the vacuum chamber 1 is evacuated. When the alteration step is performed, after the vacuum chamber 1 is purged with the purge gas and evacuated to vacuum, the process gas from which the plasma is generated is supplied to the vacuum chamber 1, and the high frequency power is supplied across the showerhead 200 and the susceptor 2. In such a manner, the film deposition step and the alteration step are alternately performed with the purging periods therebetween.
  • Next, experiments carried out in order to study the influence of the plasma intensity in the alteration step and their results are explained.
  • Example 1
  • First, dependence of the plasma intensity of the plasma on the inner pressure in the vacuum chamber 1 and the high frequency power was studied. In this experiment, the batch type experimental apparatus was used. This apparatus is provided with a vacuum chamber, a susceptor inside the vacuum chamber, and an ICP type plasma source arranged to oppose the susceptor. Distance between the plasma source and the wafer W is set to 80 mm. After the wafer W was placed on the susceptor and the plasma was irradiated to the wafer W for 180 s, the oxide film thickness j of the silicon oxide film formed on the upper surface of the wafer W was measured. Such an experiment was carried out repeatedly, with different pressures of the vacuum chamber while the high frequency power was maintained at 200 W, and with different high frequency powers while the inner pressure in the vacuum chamber was maintained at 266 Pa (2 Torr)
  • As a result, it has been found that the plasma intensity can be adjusted by changing the inner pressure in the vacuum chamber, as shown in FIG. 31, and by changing the high frequency power, as shown in FIG. 32.
  • Example 2
  • Next, shrinkage rates of silicon oxide films are explained. The silicon oxide films used were formed on the wafers W by performing only the film deposition step without the alteration step. The shrinkage rates were calculated using thicknesses of the silicon oxide films before and after the alteration step was performed with respect to the silicon oxide films at various plasma intensities.
  • As a result, it has been found as shown in FIG. 33 that the shrinkage rate is in linear proportion with the plasma intensity. This result indicates that the film properties along the thickness direction can be adjusted by changing the plasma intensity.
  • Example 3
  • Next, oxidation of the silicon wafer W by the plasma including O2 gas was studied. In this experiment, a bare silicon wafer with the clean upper surface (or with silicon exposed) and a test silicon wafer with a thermal silicon dioxide layer having a thickness of 10 nm were used. The upper surfaces of the bare silicon wafer and the test silicon wafer with the thermal silicon dioxide layer are exposed to the plasma for different periods of time while the turntable 2 is rotated in the film deposition apparatus explained with reference to FIGS. 2 through 8.
  • As a result, after the upper surface of the bare silicon wafer was exposed to the plasma for 10 minutes, a silicon oxide film having a thickness of 2.8 nm was formed thereon, as shown in FIG. 34. This result indicates that the silicon oxide can be formed with a controlled thickness by adjusting the plasma intensity as the thickness is increased, as explained with reference to FIGS. 21 and 22.
  • On the other hand, a silicon oxide film having a thickness of only 0.6 nm was formed on the thermal silicon dioxide layer on the test silicon wafer, after the test silicon wafer (the thermal silicon dioxide layer) was exposed to the plasma for 10 minutes. When the silicon oxide is formed through the irradiation of the plasma, the silicon oxide is grown through the plasma-oxidization of the upper surface of the silicon wafer. Therefore, when the thermal silicon dioxide is formed on the upper surface of the silicon wafer, the plasma cannot penetrate deep into the silicon wafer, and thus the silicon oxide film having a thickness of only 0.6 nm was obtained. In addition, this result indicates that the plasma can penetrate into the silicon wafer even when the thermal silicon dioxide layer is formed on the test silicon wafer. Therefore, it has been confirmed that the plasma can oxidize the upper surface of the wafer W through the silicon oxide film deposited in the film deposition step, while altering the properties of the deposited silicon oxide film.
  • While the present invention has been described in reference to the foregoing embodiments, the present invention is not limited to the disclosed embodiments, but may be modified or altered within the scope of the accompanying claims.

Claims (18)

What is claimed is:
1. A film deposition apparatus that forms a thin film on a substrate by repeating a cycle of alternately supplying plural kinds of reaction gases to the substrate under vacuum, wherein a first reaction gas among the plural kinds of the reaction gases reacts with a second reaction gas among the plural kinds of the reaction gases, the second reaction gas being adsorbed on the substrate, thereby to produce a reaction product, the film deposition apparatus comprising:
a susceptor that is provided in a vacuum chamber and includes a substrate receiving area in which a substrate is placed;
an evacuation system that evacuates the vacuum chamber;
plural reaction gas supplying parts that supply the corresponding reaction gases to the substrate placed in the substrate receiving area;
a plasma generation part that generates plasma including a chemical component that reacts with the second reaction gas adsorbed on the substrate, and supplies the plasma to the substrate during formation of a thin film of the reaction product thereby to alter the thin film on the substrate; and
a controlling part that outputs a controlling signal in order to change plasma intensity of the plasma that is generated and supplied to the substrate by the plasma generation part at a predetermined point of time to a different plasma intensity before the predetermined point of time.
2. The film deposition apparatus of claim 1, wherein the second reaction gas serves as an oxidizing or nitriding gas with respect to the second reaction gas adsorbed on the substrate, and
wherein the thin film is formed of one of metal oxide, silicon oxide metal nitride, and silicon nitride.
3. The film deposition apparatus of claim 2, wherein an underlying film of the thin film to be formed thereon includes metal or silicon.
4. The film deposition apparatus of claim 1, wherein the controlling part changes the plasma intensity by adjusting at least one of high frequency power supplied to the plasma generation part and an inner pressure in the vacuum chamber.
5. The film deposition apparatus of claim 1, wherein the controlling part sets the plasma intensity of the plasma that is generated and supplied to the substrate by the plasma generation part at a first intensity in an initial stage of forming the thin film, and sets the plasma intensity of the plasma generated and supplied to the substrate by the plasma generation part at a second intensity after the initial stage of forming the thin film.
6. The film deposition apparatus of claim 1, wherein the controlling part sets the plasma intensity of the plasma that is generated and supplied to the substrate by the plasma generation part at a second intensity in an initial stage of forming the thin film, and sets the plasma intensity of the plasma that is generated and supplied to the substrate by the plasma generation part at a first intensity that is lower than the second intensity after the initial stage of forming the thin film.
7. The film deposition apparatus of claim 6, wherein the controlling part sets the plasma intensity of the plasma that is generated and supplied to the substrate by the plasma generation part at a third intensity that is higher than the first plasma intensity after setting the plasma intensity of the plasma that is generated and supplied to the substrate by the plasma generation part at the first intensity.
8. The film deposition apparatus of claim 1, wherein the plural reaction gas supplying parts and the plasma generation part are provided at predetermined intervals along a circumferential direction of the vacuum chamber,
wherein the film deposition apparatus is provided with a rotating mechanism that rotates the susceptor around a vertical axis with respect to the plural reaction gas supplying parts and the plasma generation part so that the substrate receiving area of the susceptor passes alternately through areas to which the plural reaction gas supplying parts supply the corresponding reaction gases,
wherein the plasma generation part is arranged so that the plasma generated by the plasma generation part is supplied to the substrate in one of a first area to which the first reaction gas that reacts with the second reaction gas adsorbed on the substrate is supplied and a second area located downstream relative to the first area along a rotation direction of the susceptor, and
wherein the vacuum chamber is provided in order to separate the areas with a separation area that is located between the areas to which the plural reaction gas supplying parts supply the corresponding reaction gases.
9. A film deposition method that forms a thin film on a substrate by repeating a cycle of alternately supplying plural kinds of reaction gases to the substrate under vacuum, wherein a first reaction gas among the plural kinds of the reaction gases reacts with a second reaction gas among the plural kinds of the reaction gases, the second reaction gas being adsorbed on the substrate, thereby to produce a reaction product, the film deposition method comprising steps of:
placing a substrate in a substrate receiving area of a susceptor provided in a vacuum chamber;
evacuating the vacuum chamber;
alternately supplying plural kinds of the reaction gases to the substrate in the substrate receiving area from corresponding reaction gas supplying parts thereby to form a thin film on the substrate;
supplying plasma including a chemical component that reacts with the second reaction gas adsorbed on the substrate from a plasma generation part to the substrate when the thin film is being formed, thereby to alter the thin film on the substrate; and
changing plasma intensity of the plasma supplied to the substrate, at a predetermined point of time to a different plasma intensity of the plasma that is generated and supplied to the substrate by the plasma generation part before the predetermined point of time.
10. The film deposition method of claim 9, wherein the first reaction gas serves as an oxidizing or nitriding gas with respect to the second reaction gas adsorbed on the substrate, and
wherein the thin film is formed of one of metal oxide, silicon oxide metal nitride, and silicon nitride.
11. The film deposition apparatus of claim 10, wherein an underlying film of the thin film to be formed thereon includes metal or silicon.
12. The film deposition method of claim 9, wherein the plasma intensity is changed by adjusting at least one of high frequency power supplied to the plasma generation part and an inner pressure in the vacuum chamber in the changing the plasma intensity.
13. The film deposition method of claim 9, wherein the plasma intensity of the plasma that is generated and supplied to the substrate by the plasma generation part is set at a first intensity in an initial stage of forming the thin film, and at a second intensity that is higher than the first intensity after the initial stage of forming the thin film, in the changing the plasma intensity.
14. The film deposition method of claim 9, wherein the plasma intensity of the plasma that is generated and supplied to the substrate by the plasma generation part is set at a second intensity in an initial stage of forming the thin film, and at a first intensity that is lower than the second intensity after the initial stage of forming the thin film, in the changing the plasma intensity.
15. The film deposition method of claim 14, wherein the plasma intensity of the plasma that is generated and supplied to the substrate by the plasma generation part is set at a third intensity that is higher than the first plasma intensity after setting the plasma intensity of the plasma that is generated and supplied to the substrate by the plasma generation part at the first intensity, in the changing the plasma intensity.
16. The film deposition method of claim 9, wherein the susceptor is rotated around a vertical axis with respect to the plural reaction gas supplying parts arranged at predetermined intervals along a rotation direction of the susceptor so that the substrate receiving area of the susceptor passes alternately through areas to which the plural reaction gas supplying parts supply the corresponding reaction gases, in the forming the thin film,
wherein the plasma generated by the plasma generation part is supplied to the substrate in one of a first area to which the first reaction gas that reacts with the second reaction gas adsorbed on the substrate is supplied and a second area located downstream relative to the first area along a rotation direction of the susceptor, in the altering the thin film, and
the film deposition method further comprising supplying a separation gas from a separation area that is located between the areas to which the plural reaction gas supplying parts supply the corresponding reaction gases in order to separate the areas.
17. A film deposition method that forms a thin film on a substrate by repeating a cycle of alternately supplying plural kinds of reaction gases to the substrate under vacuum, wherein a first reaction gas among the plural kinds of the reaction gases reacts with a second reaction gas among the plural kinds of the reaction gases, the second reaction gas being adsorbed on the substrate, thereby to produce a reaction product, the film deposition method comprising steps of:
placing a substrate in a substrate receiving area of a susceptor provided in a vacuum chamber;
evacuating the vacuum chamber;
supplying the plural kinds of the reaction gases from corresponding reaction gas supplying parts toward the susceptor;
supplying plasma including a chemical component that reacts with one of the second reaction gas adsorbed on the substrate and at least apart of the substrate from the plasma generation part toward the susceptor; and
rotating the susceptor around a vertical axis so that the substrate receiving area passes alternately through a supplying area to which the second reaction gas is supplied, a reaction area where the first reaction gas reacts with the second reaction gas adsorbed on the substrate, and a plasma area that is arranged downstream relative to the reaction area along a rotation direction of the susceptor and where the plasma is supplied, wherein the supplying area, the reaction area, and the plasma area are arranged at intervals along a circumferential direction of the vacuum chamber.
18. A computer readable storage medium that stores a computer program to be used in a film deposition apparatus that forms a thin film on a substrate by repeating a cycle of alternately supplying plural kinds of reaction gases to the substrate, the computer program including groups of instructions that cause the film deposition apparatus to perform the film deposition method of claim 9.
US13/216,350 2010-08-27 2011-08-24 Film deposition apparatus, film deposition method, and computer program storage medium Abandoned US20120052693A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US15/811,919 US11118265B2 (en) 2010-08-27 2017-11-14 Film deposition method and computer program storage medium

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2010191247A JP5625624B2 (en) 2010-08-27 2010-08-27 Film forming apparatus, film forming method, and storage medium
JPNO.2010-191247 2010-08-27

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US15/811,919 Division US11118265B2 (en) 2010-08-27 2017-11-14 Film deposition method and computer program storage medium

Publications (1)

Publication Number Publication Date
US20120052693A1 true US20120052693A1 (en) 2012-03-01

Family

ID=45697841

Family Applications (2)

Application Number Title Priority Date Filing Date
US13/216,350 Abandoned US20120052693A1 (en) 2010-08-27 2011-08-24 Film deposition apparatus, film deposition method, and computer program storage medium
US15/811,919 Active 2033-03-28 US11118265B2 (en) 2010-08-27 2017-11-14 Film deposition method and computer program storage medium

Family Applications After (1)

Application Number Title Priority Date Filing Date
US15/811,919 Active 2033-03-28 US11118265B2 (en) 2010-08-27 2017-11-14 Film deposition method and computer program storage medium

Country Status (5)

Country Link
US (2) US20120052693A1 (en)
JP (1) JP5625624B2 (en)
KR (1) KR101501802B1 (en)
CN (1) CN102383109B (en)
TW (1) TWI526569B (en)

Cited By (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130189849A1 (en) * 2012-01-20 2013-07-25 Tokyo Electron Limited Particle reducing method and film deposition method
JP2014123675A (en) * 2012-12-21 2014-07-03 Tokyo Electron Ltd Deposition method
US20140290578A1 (en) * 2013-03-28 2014-10-02 Tokyo Electron Limited Film deposition apparatus
US20150004332A1 (en) * 2013-06-26 2015-01-01 Tokyo Electron Limited Method of depositing a film, recording medium, and film deposition apparatus
US20150079807A1 (en) * 2013-09-13 2015-03-19 Tokyo Electron Limited Method of manufacturing a silicon oxide film
US20150329964A1 (en) * 2014-05-16 2015-11-19 Tokyo Electron Limited Film Forming Apparatus
US20160027674A1 (en) * 2013-03-15 2016-01-28 Kevin Griffin Carousel Gas Distribution Assembly With Optical Measurements
US20160237566A1 (en) * 2013-10-16 2016-08-18 Mitsui Engineering & Shipbuilding Co., Ltd. Film forming device and film forming method
US20170175266A1 (en) * 2015-12-17 2017-06-22 Tokyo Electron Limited Film deposition method and film deposition apparatus
US9786493B2 (en) 2013-03-22 2017-10-10 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
US20180204716A1 (en) * 2017-01-18 2018-07-19 Tokyo Electron Limited Protective film forming method
US20180237914A1 (en) * 2017-02-20 2018-08-23 Tokyo Electron Limited Film forming apparatus
US20180237912A1 (en) * 2017-02-22 2018-08-23 Tokyo Electron Limited Film deposition method and film deposition apparatus
US10121655B2 (en) 2015-11-20 2018-11-06 Applied Materials, Inc. Lateral plasma/radical source
US20190051520A1 (en) * 2017-08-14 2019-02-14 Samsung Display Co., Ltd. Method for forming metal oxide layer, and plasma-enhanced chemical vapor deposition device
US10287684B2 (en) * 2014-07-08 2019-05-14 Kokusai Electric Corporation Substrate processing apparatus
US10508340B2 (en) * 2013-03-15 2019-12-17 Applied Materials, Inc. Atmospheric lid with rigid plate for carousel processing chambers
US10636648B2 (en) 2017-12-04 2020-04-28 Tokyo Electron Limited Film deposition method of depositing film and film deposition apparatus
US10643837B2 (en) 2017-08-09 2020-05-05 Tokyo Electron Limited Method for depositing a silicon nitride film and film deposition apparatus
US10748758B2 (en) 2017-08-09 2020-08-18 Tokyo Electron Limited Method for depositing a silicon nitride film and film deposition apparatus
US11404265B2 (en) 2019-01-30 2022-08-02 Tokyo Electron Limited Film deposition method
US11952661B2 (en) 2018-07-13 2024-04-09 Tokyo Electron Limited Deposition method

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2013137115A1 (en) * 2012-03-15 2013-09-19 東京エレクトロン株式会社 Film forming process and film forming apparatus
JP6011417B2 (en) * 2012-06-15 2016-10-19 東京エレクトロン株式会社 Film forming apparatus, substrate processing apparatus, and film forming method
JP2014017296A (en) * 2012-07-06 2014-01-30 Tokyo Electron Ltd Deposition method
JP6010451B2 (en) * 2012-12-21 2016-10-19 東京エレクトロン株式会社 Deposition method
US9905415B2 (en) 2013-10-03 2018-02-27 Versum Materials Us, Llc Methods for depositing silicon nitride films
JP6267080B2 (en) * 2013-10-07 2018-01-24 東京エレクトロン株式会社 Method and apparatus for forming silicon nitride film
JP2015090916A (en) * 2013-11-06 2015-05-11 東京エレクトロン株式会社 Substrate processing apparatus and substrate processing method
JP6092820B2 (en) * 2014-07-18 2017-03-08 三井造船株式会社 Film forming apparatus and film forming method
US9490116B2 (en) * 2015-01-09 2016-11-08 Applied Materials, Inc. Gate stack materials for semiconductor applications for lithographic overlay improvement
KR102469407B1 (en) * 2016-02-12 2022-11-21 도쿄엘렉트론가부시키가이샤 Method and apparatus for multilayer deposition and etching in a batch system
JP6175541B2 (en) * 2016-06-03 2017-08-02 東京エレクトロン株式会社 Seed layer forming method, silicon film forming method and film forming apparatus
JP6910311B2 (en) * 2018-01-04 2021-07-28 東京エレクトロン株式会社 How to set the film formation time
JP7238350B2 (en) * 2018-11-12 2023-03-14 東京エレクトロン株式会社 Film forming apparatus and film forming method

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4672023A (en) * 1985-10-21 1987-06-09 Avantek, Inc. Method for planarizing wafers
US20020043216A1 (en) * 2000-08-09 2002-04-18 Chul-Ju Hwang Atomic layer deposition method and semiconductor device fabricating apparatus having rotatable gas injectors
US20080242116A1 (en) * 2007-03-30 2008-10-02 Tokyo Electron Limited Method for forming strained silicon nitride films and a device containing such films
US20080286980A1 (en) * 2005-03-01 2008-11-20 Hitachi Kokusai Electric Inc. Substrate Processing Apparatus and Semiconductor Device Producing Method
US20090324828A1 (en) * 2008-06-27 2009-12-31 Hitoshi Kato Film deposition apparatus, film deposition method, and computer readable storage medium

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5338362A (en) 1992-08-29 1994-08-16 Tokyo Electron Limited Apparatus for processing semiconductor wafer comprising continuously rotating wafer table and plural chamber compartments
JP3630982B2 (en) 1997-05-22 2005-03-23 キヤノン株式会社 Plasma processing method and plasma processing apparatus
JP3812232B2 (en) 1998-10-23 2006-08-23 日新電機株式会社 Polycrystalline silicon thin film forming method and thin film forming apparatus
US6869641B2 (en) 2002-07-03 2005-03-22 Unaxis Balzers Ltd. Method and apparatus for ALD on a rotary susceptor
US7153542B2 (en) 2002-08-06 2006-12-26 Tegal Corporation Assembly line processing method
JP4916092B2 (en) * 2004-02-26 2012-04-11 ルネサスエレクトロニクス株式会社 Manufacturing method of semiconductor device
JP2007077455A (en) * 2005-09-14 2007-03-29 Hitachi Kokusai Electric Inc Method for producing semiconductor device
US20070218702A1 (en) * 2006-03-15 2007-09-20 Asm Japan K.K. Semiconductor-processing apparatus with rotating susceptor
US8043432B2 (en) 2007-02-12 2011-10-25 Tokyo Electron Limited Atomic layer deposition systems and methods
JP4935684B2 (en) * 2008-01-12 2012-05-23 東京エレクトロン株式会社 Film forming method and film forming apparatus
US8247315B2 (en) 2008-03-17 2012-08-21 Semiconductor Energy Laboratory Co., Ltd. Plasma processing apparatus and method for manufacturing semiconductor device
JP3144664U (en) 2008-04-08 2008-09-11 みや子 竹内 2WAYS toothbrush
JP5310283B2 (en) * 2008-06-27 2013-10-09 東京エレクトロン株式会社 Film forming method, film forming apparatus, substrate processing apparatus, and storage medium
JP5423205B2 (en) * 2008-08-29 2014-02-19 東京エレクトロン株式会社 Deposition equipment
JP5384291B2 (en) * 2008-11-26 2014-01-08 株式会社日立国際電気 Semiconductor device manufacturing method, substrate processing method, and substrate processing apparatus

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4672023A (en) * 1985-10-21 1987-06-09 Avantek, Inc. Method for planarizing wafers
US20020043216A1 (en) * 2000-08-09 2002-04-18 Chul-Ju Hwang Atomic layer deposition method and semiconductor device fabricating apparatus having rotatable gas injectors
US20080286980A1 (en) * 2005-03-01 2008-11-20 Hitachi Kokusai Electric Inc. Substrate Processing Apparatus and Semiconductor Device Producing Method
US20080242116A1 (en) * 2007-03-30 2008-10-02 Tokyo Electron Limited Method for forming strained silicon nitride films and a device containing such films
US20090324828A1 (en) * 2008-06-27 2009-12-31 Hitoshi Kato Film deposition apparatus, film deposition method, and computer readable storage medium

Cited By (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8932963B2 (en) 2012-01-20 2015-01-13 Tokyo Electron Limited Film deposition method
US20130189849A1 (en) * 2012-01-20 2013-07-25 Tokyo Electron Limited Particle reducing method and film deposition method
US8853097B2 (en) * 2012-01-20 2014-10-07 Tokyo Electron Limited Particle reducing method
JP2014123675A (en) * 2012-12-21 2014-07-03 Tokyo Electron Ltd Deposition method
US10508340B2 (en) * 2013-03-15 2019-12-17 Applied Materials, Inc. Atmospheric lid with rigid plate for carousel processing chambers
US20160027674A1 (en) * 2013-03-15 2016-01-28 Kevin Griffin Carousel Gas Distribution Assembly With Optical Measurements
US9786493B2 (en) 2013-03-22 2017-10-10 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
US9435026B2 (en) * 2013-03-28 2016-09-06 Tokyo Electron Limited Film deposition apparatus
US20140290578A1 (en) * 2013-03-28 2014-10-02 Tokyo Electron Limited Film deposition apparatus
US20150004332A1 (en) * 2013-06-26 2015-01-01 Tokyo Electron Limited Method of depositing a film, recording medium, and film deposition apparatus
US9777369B2 (en) * 2013-06-26 2017-10-03 Tokyo Electron Limited Method of depositing a film, recording medium, and film deposition apparatus
US20150079807A1 (en) * 2013-09-13 2015-03-19 Tokyo Electron Limited Method of manufacturing a silicon oxide film
US9368341B2 (en) * 2013-09-13 2016-06-14 Tokyo Electron Limited Method of manufacturing a silicon oxide film
US20160237566A1 (en) * 2013-10-16 2016-08-18 Mitsui Engineering & Shipbuilding Co., Ltd. Film forming device and film forming method
US20150329964A1 (en) * 2014-05-16 2015-11-19 Tokyo Electron Limited Film Forming Apparatus
US10344382B2 (en) * 2014-05-16 2019-07-09 Tokyo Electron Limited Film forming apparatus
US10287684B2 (en) * 2014-07-08 2019-05-14 Kokusai Electric Corporation Substrate processing apparatus
US10121655B2 (en) 2015-11-20 2018-11-06 Applied Materials, Inc. Lateral plasma/radical source
US20170175266A1 (en) * 2015-12-17 2017-06-22 Tokyo Electron Limited Film deposition method and film deposition apparatus
US10590534B2 (en) * 2015-12-17 2020-03-17 Tokyo Electron Limited Film deposition method and film deposition apparatus
KR20180085358A (en) * 2017-01-18 2018-07-26 도쿄엘렉트론가부시키가이샤 Method of forming passivation
US10431452B2 (en) * 2017-01-18 2019-10-01 Tokyo Electron Limited Protective film forming method
US20180204716A1 (en) * 2017-01-18 2018-07-19 Tokyo Electron Limited Protective film forming method
KR102270005B1 (en) 2017-01-18 2021-06-25 도쿄엘렉트론가부시키가이샤 Method of forming passivation
US20180237914A1 (en) * 2017-02-20 2018-08-23 Tokyo Electron Limited Film forming apparatus
US20180237912A1 (en) * 2017-02-22 2018-08-23 Tokyo Electron Limited Film deposition method and film deposition apparatus
US10844487B2 (en) * 2017-02-22 2020-11-24 Tokyo Electron Limited Film deposition method and film deposition apparatus
US10643837B2 (en) 2017-08-09 2020-05-05 Tokyo Electron Limited Method for depositing a silicon nitride film and film deposition apparatus
US10748758B2 (en) 2017-08-09 2020-08-18 Tokyo Electron Limited Method for depositing a silicon nitride film and film deposition apparatus
US11004677B2 (en) * 2017-08-14 2021-05-11 Samsung Display Co., Ltd. Method for forming metal oxide layer, and plasma-enhanced chemical vapor deposition device
US20190051520A1 (en) * 2017-08-14 2019-02-14 Samsung Display Co., Ltd. Method for forming metal oxide layer, and plasma-enhanced chemical vapor deposition device
US10636648B2 (en) 2017-12-04 2020-04-28 Tokyo Electron Limited Film deposition method of depositing film and film deposition apparatus
US11952661B2 (en) 2018-07-13 2024-04-09 Tokyo Electron Limited Deposition method
US11404265B2 (en) 2019-01-30 2022-08-02 Tokyo Electron Limited Film deposition method

Also Published As

Publication number Publication date
TW201229304A (en) 2012-07-16
US11118265B2 (en) 2021-09-14
KR101501802B1 (en) 2015-03-11
CN102383109A (en) 2012-03-21
TWI526569B (en) 2016-03-21
JP5625624B2 (en) 2014-11-19
CN102383109B (en) 2015-03-18
KR20120021222A (en) 2012-03-08
US20180080123A1 (en) 2018-03-22
JP2012049394A (en) 2012-03-08

Similar Documents

Publication Publication Date Title
US11118265B2 (en) Film deposition method and computer program storage medium
US9677174B2 (en) Film deposition method for producing a reaction product on a substrate
KR101502205B1 (en) Film deposition apparatus and film deposition method
JP5423205B2 (en) Deposition equipment
JP6869141B2 (en) Silicon nitride film deposition method and film deposition equipment
JP5287592B2 (en) Deposition equipment
JP5327147B2 (en) Plasma processing equipment
TWI523970B (en) Film deposition apparatus
CN109385626B (en) Method and apparatus for forming silicon nitride film
JP2018137369A (en) Film deposition method and film deposition device
JP2017112258A (en) Film deposition method, and film deposition device
JP6968011B2 (en) Film formation method and film formation equipment
JP2012255203A (en) Film deposition method and film deposition apparatus
KR20180053242A (en) Film forming device and film forming method
US11170999B2 (en) Deposition method
JP5750190B2 (en) Film forming apparatus and film forming method
JP5549754B2 (en) Deposition equipment
JP7003011B2 (en) Silicon nitride film film forming method and film forming equipment
KR102454904B1 (en) Film deposition method
KR101512880B1 (en) Film formation method and film formation apparatus
US11952661B2 (en) Deposition method
US10573514B2 (en) Method of forming silicon-containing film
JP2011066367A (en) Method for treating substrate

Legal Events

Date Code Title Description
AS Assignment

Owner name: TOKYO ELECTRON LIMITED, JAPAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:OZAKI, SHIGENORI;KATO, HITOSHI;KUMAGAI, TAKESHI;REEL/FRAME:026797/0668

Effective date: 20110801

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION