US20100320545A1 - Planar and non-planar cmos devices with multiple tuned threshold voltages - Google Patents

Planar and non-planar cmos devices with multiple tuned threshold voltages Download PDF

Info

Publication number
US20100320545A1
US20100320545A1 US12/487,202 US48720209A US2010320545A1 US 20100320545 A1 US20100320545 A1 US 20100320545A1 US 48720209 A US48720209 A US 48720209A US 2010320545 A1 US2010320545 A1 US 2010320545A1
Authority
US
United States
Prior art keywords
threshold voltage
voltage adjusting
device region
gate dielectric
semiconductor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US12/487,202
Other versions
US7855105B1 (en
Inventor
Hemanth Jagannathan
Vijay Narayanan
Vamsi K. Paruchuri
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries US Inc
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Priority to US12/487,202 priority Critical patent/US7855105B1/en
Assigned to INTERNATIONAL BUSINESS MACHINES CORPORATION reassignment INTERNATIONAL BUSINESS MACHINES CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: JAGANNATHAN, HEMANTH, PARUCHURI, VAMSI, NARAYANAN, VIJAY
Application granted granted Critical
Publication of US7855105B1 publication Critical patent/US7855105B1/en
Publication of US20100320545A1 publication Critical patent/US20100320545A1/en
Assigned to GLOBALFOUNDRIES U.S. 2 LLC reassignment GLOBALFOUNDRIES U.S. 2 LLC ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: INTERNATIONAL BUSINESS MACHINES CORPORATION
Assigned to GLOBALFOUNDRIES INC. reassignment GLOBALFOUNDRIES INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: GLOBALFOUNDRIES U.S. 2 LLC, GLOBALFOUNDRIES U.S. INC.
Assigned to WILMINGTON TRUST, NATIONAL ASSOCIATION reassignment WILMINGTON TRUST, NATIONAL ASSOCIATION SECURITY AGREEMENT Assignors: GLOBALFOUNDRIES INC.
Assigned to GLOBALFOUNDRIES U.S. INC. reassignment GLOBALFOUNDRIES U.S. INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: GLOBALFOUNDRIES INC.
Assigned to GLOBALFOUNDRIES INC. reassignment GLOBALFOUNDRIES INC. RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS). Assignors: WILMINGTON TRUST, NATIONAL ASSOCIATION
Assigned to GLOBALFOUNDRIES U.S. INC. reassignment GLOBALFOUNDRIES U.S. INC. RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS). Assignors: WILMINGTON TRUST, NATIONAL ASSOCIATION
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823462MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823857Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Definitions

  • the present invention relates to semiconductor structures and methods of fabricating the same. More particularly, the present invention relates to planar and non-planar complementary metal oxide semiconductor (CMOS) devices having multiple tuned threshold voltages.
  • CMOS complementary metal oxide semiconductor
  • Advanced semiconductor chips employ multiple types of field effect transistors (FETs) having different threshold voltages, on-current per unit width and off-current per unit width.
  • Field effect transistors having a high threshold voltage are typically called “low power” devices, which have a low on-current and a low off-current.
  • Field effect transistors (FETs) having a low threshold voltage are called “high performance” devices, which have a high on-current and a high off-current.
  • the present invention provides a semiconductor structure and methodology for obtaining multiple tuned threshold voltage devices (with T inv scaling) on the same semiconductor wafer using an alternative technique that is applicable and ideal for non-planar semiconductor devices such as, for example, FinFET, trigate FETs or any such variety of MUGFETs devices as well as planar semiconductor devices such as for example, field effect transistors (FETs).
  • non-planar semiconductor devices such as, for example, FinFET, trigate FETs or any such variety of MUGFETs devices
  • planar semiconductor devices such as for example, field effect transistors (FETs).
  • multiple gate stacks which include a threshold voltage adjusting layer located above and/or below the gate dielectric to obtain symmetric threshold voltages.
  • a method of forming a semiconductor structure having multiple tuned threshold devices on the same semiconductor substrate includes providing a semiconductor substrate having at least a first device region, a second device region and a third device region; forming a first threshold voltage adjusting layer within the first device region, said first threshold voltage adjusting layer being absent from the second and third device regions and including one of an nFET threshold voltage adjusting material or a pFET threshold voltage adjusting material; forming a gate dielectric within the first device region, the second device region and the third device region; forming a second threshold voltage adjusting layer within either the second or third device regions, yet absence from the first device region, said second threshold voltage adjusting layer is the other of the nFET threshold voltage adjusting material or the pFET threshold voltage adjusting material; and forming a gate conductor within the first, second and third device regions.
  • a semiconductor structure in another aspect of the invention, includes a first device region having a first threshold voltage adjusting layer located atop on a semiconductor substrate, a gate dielectric located atop the first threshold voltage adjusting layer, and a gate conductor located atop the gate dielectric; a second device region including a gate dielectric located atop the semiconductor substrate, and a gate conductor located atop the gate dielectric; and a third device region including a gate dielectric located atop the semiconductor substrate, a second threshold voltage adjusting layer located atop the gate dielectric, and a gate conductor located atop the second threshold voltage adjusting layer, wherein said first threshold voltage adjusting layer includes one of an nFET threshold voltage adjusting material or a pFET threshold voltage adjusting material and said second threshold voltage adjusting layer is the other of said nFET threshold voltage adjusting material or the pFET threshold voltage adjusting material.
  • FIG. 1 is a pictorial representation (through a cross sectional view) illustrating a semiconductor substrate that can be used in the present invention.
  • FIG. 2 is a pictorial representation (through a cross sectional view) illustrating a structure that is formed after forming a plurality of device regions within the semiconductor substrate shown in FIG. 1 , each plurality of device regions including at least one semiconductor fin.
  • FIG. 3 is a pictorial representation (through a cross sectional view) illustrating the structure that is formed after forming a first threshold voltage adjusting layer on exposed surfaces of the structure shown in FIG. 2 .
  • FIG. 4 is a pictorial representation (through a cross sectional view) illustrating the structure of FIG. 3 after patterning the first threshold voltage adjusting layer to remain within at least one of the device regions.
  • FIG. 5 is a pictorial representation (through a cross sectional view) illustrating the structure of FIG. 4 after forming a gate dielectric on all exposed surfaces in each of the device regions.
  • FIG. 6 is a pictorial representation (through a cross sectional view) illustrating the structure of FIG. 5 after forming a second threshold voltage adjusting layer on said gate dielectric in each of the device regions.
  • FIG. 7 is a pictorial representation (through a cross sectional view) illustrating the structure of FIG. 6 after patterning the second threshold voltage adjusting layer to remain within at least one of the device regions not including the first threshold voltage adjusting layer.
  • FIG. 8 is a pictorial representation (through a cross sectional view) illustrating the structure of FIG. 7 after forming a gate conductor on all exposed surfaces in each of the device regions.
  • FIG. 9A is a pictorial representation (through a cross sectional view) after forming a Si-containing material on the structure shown in FIG. 8 in accordance with one embodiment of the invention.
  • FIG. 9B is a pictorial representation (through a cross sectional view) after forming a Si-containing material on the structure shown in FIG. 8 in accordance with another embodiment of the invention.
  • FIG. 10 is a pictorial representation (through a cross sectional view) after annealing and removing the Si-containing material, the gate conductor, and the various threshold voltage adjusting layers that are present atop the gate dielectric from the structure shown in either FIG. 9A or FIG. 9B .
  • FIG. 11 is a pictorial representation (through a cross sectional view) after forming a single metal electrode and formation of a second Si-containing layer on the structure shown in FIG. 10 .
  • the present invention which provides a semiconductor structure and methodology for obtaining multiple tuned threshold voltage devices (with T inv scaling) on the same semiconductor wafer using an alternative technique that is applicable and ideal for non-planar semiconductor devices as well as planar semiconductor devices, will now be described in greater detail by referring to the following discussion and drawings that accompany the present application. It is noted that the drawings of the present application are provided for illustrative purposes only and, as such, the drawings are not drawn to scale.
  • FIGS. 1-9A illustrate the basic processing steps that can be utilized in one embodiment of the present invention to form a semiconductor structure having at least three devices of different threshold voltages in different device regions.
  • the semiconductor devices that are being fabricated are FinFET devices.
  • the inventive methodology can be applied to other types of non-planar semiconductor devices as well as planar semiconductor devices such as planar FET devices.
  • planar devices are to be fabricated, the processing steps shown in FIG. 2 may be omitted and the interfacial layer, if present, or the first threshold voltage adjusting layer is formed on a planar surface of a semiconductor substrate.
  • FIG. 1 is a pictorial representation of a semiconductor substrate 10 that can be employed in the present invention.
  • the semiconductor substrate 10 shown in FIG. 1 is a semiconductor-on-insulator substrate including a bottom semiconductor layer 12 and a top semiconductor layer, e.g., SOI layer, 16 that are separated, at least in part, by a buried insulating layer 14 .
  • semiconductor substrate 10 can also be a bulk semiconductor substrate including one or more semiconducting materials, or a hybrid semiconductor substrate including at least two surface regions that have different crystallographic orientations.
  • the hybrid substrate can have regions that are all SOI like (i.e., have a buried insulating layer beneath an SOI layer) or some regions can be SOI like and others are bulk like.
  • the semiconductor substrate 10 can be fabricated using processing techniques well known to those skilled in the art.
  • semiconductor-on-insulator substrates can be formed by wafer bonding, lamination, or by a process in which insulating ions are first implanted into a bulk semiconductor material and thereafter an annealing step is performed that converts the implant region into a buried insulating region.
  • wafer bonding, etching and epitaxial growth such as described, for example, within U.S. Patent Application No. 2004/0256700 A1 can be employed.
  • the semiconductor substrate 10 illustrated in FIG. 1 includes a top semiconductor layer 16 and a bottom semiconductor layer 12 that are separated, at least in part, by a buried insulating layer 14 .
  • the top and bottom semiconductor layers can be comprised of the same or different semiconductor material.
  • semiconductor material when used in describing the semiconductor substrate 10 comprises any material that has semiconductor properties including, but not limited to Si, Ge, SiGe, SiC, SiGeC, GaAs, CaN, InAs, InP and all other III/V or II/V compound semiconductor.
  • the semiconductor material of the semiconductor substrate 1 0 is a Si-containing semiconductor material, i.e., a semiconductor material that includes silicon.
  • the semiconductor substrate 10 is comprised of silicon.
  • the semiconductor substrate 10 may be doped, undoped or contain doped and undoped regions therein. For clarity, the doped regions are not specifically shown in the drawings of the present application. Each doped region within the semiconductor substrate 10 may have the same, or they may have different conductivities and/or doping concentrations.
  • the doped regions that are present in the semiconductor substrate 10 are typically referred to as well regions and they are formed utilizing a conventional ion implantation process.
  • the buried insulating layer 14 may comprise a crystalline or non-crystalline oxide, nitride or oxynitride nitride. In some embodiments of the present invention, the buried insulating layer 14 is preferably an oxide.
  • the thickness of the various layers of the semiconductor-on-insulator substrate illustrated in FIG. 1 may vary.
  • the top semiconductor layer 16 of the semiconductor-on-insulator substrate has a thickness from 10 nm to 300 nm, with a thickness from 25 nm to 50 nm being even more typical.
  • the thickness of the buried insulating layer 14 of the semiconductor-on-insulator substrate is typically from 40 nm to 250 nm, with a thickness from 125 nm to 175 nm being even more typical.
  • the thickness of the bottom semiconductor layer 12 which may vary, is inconsequential to the invention described herein.
  • a thinner bottom semiconductor layer may be used for 3 D integration schemes and for processes where the bottom semiconductor layer is sacrificial.
  • isolation regions are optionally formed into the semiconductor substrate 10 ; the isolation regions are typically used when planar FETs are to be formed.
  • the isolation regions may be trench isolation regions or field oxide isolation regions.
  • Trench isolation regions are formed utilizing a conventional trench isolation process well known to those skilled in the art.
  • a trench isolation region can be formed by lithography, etching, and filling a trench with a trench dielectric.
  • a liner may be formed in the trench prior to trench fill, a densification process may be performed after the trench fill and a planarization process may follow the trench fill.
  • Field oxide regions may be formed utilizing a so-called local oxidation of silicon process. Note that the isolation regions provide isolation between neighboring semiconductor devices, typically required when the neighboring semiconductor devices have different conductivities.
  • the hard mask material may comprise an oxide, a nitride, an oxynitride or combinations and multilayers thereof.
  • Illustrative examples of hard mask materials include, but are not limited to silicon dioxide and/or silicon nitride.
  • the hard mask may be formed utilizing a conventional deposition process including, but not limited to chemical vapor deposition (CVD), plasma enhanced chemical vapor deposition (PECVD), atomic layer deposition (ALD) and chemical solution deposition.
  • the hard mask may be formed by a thermal process such as, for example thermal oxidation, thermal nitridation and thermal oxynitridation.
  • the hard mask can be formed by a combination of any of the above mentioned techniques.
  • the thickness of the hard mask that is formed at this point of the present invention may vary depending on the type of hard mask material used as well as the technique that was used for forming the same. Typically, the hard mask has a thickness from 10 nm to 60 nm, with a thickness from 20 to 40 nm being more typical.
  • the structure shown in FIG. 1 including the blanket layer of hard mask atop the top semiconductor layer 16 of the semiconductor substrate 10 is patterned utilizing lithography and etching to provide a structure including at least three device regions 100 , 102 , and 104 , respectively.
  • Each of the device regions 100 , 102 and 104 includes a patterned top semiconductor layer 16 ′ and a patterned hard mask 18 .
  • the patterned semiconductor layer 16 ′ is referred to as the fin of the FinFET structure being formed. It is observed that although three device regions are specifically shown in the drawings, the present invention also contemplates cases in which more than three device regions are formed by lithography and etching. Alternatively techniques such as, for example, a sidewall spacer as a hard mask, and self-assembly may also be employed in the present invention to form the fins of the patterned device regions.
  • the lithography step used in forming the various device regions includes applying a photoresist atop the hard mask, exposing the photoresist to a desired pattern of radiation and developing the exposed photoresist utilizing a conventional resist developer. After resist development, one or more etching processes are employed in transferring the pattern from the patterned photoresist to the hard mask and then to the underlying top semiconductor layer 16 of the semiconductor substrate 10 .
  • the one or more etching steps may include dry etching, wet chemical etching or a combination thereof. Examples of suitable dry etching techniques include reactive ion etching, plasma etching, ion beam etching or laser ablation.
  • the pattern photoresist is removed from the surface of the structure after transferring the pattern into the hard mask. In other embodiments, the patterned photoresist remains on the structure during the transfer of the pattern to the top semiconductor layer 16 . In either instance, the patterned photoresist is removed utilizing a conventional resist removal process such as, for example, ashing. It is noted that the depth of this etch may vary and is not limited to the embodiment shown in which the etch stops on a surface of the buried insulating layer 14 . In some embodiments, for example, the etch may be stopped above the buried insulating layer 14 , while in other embodiments the etch may be stopped below the buried insulating layer 14 . In yet other embodiments, the etch may be stopped at various depths within the semiconductor substrate 10 .
  • a sidewall spacer as a hard mask, and self-assembly may also be employed in the present invention to form the fins of the patterned device regions.
  • Those techniques can be used to provide sub-lithographic features (e.g., 50 nm or less).
  • the sidewall spacer technique includes forming a sacrificial material on the top semiconductor layer, depositing oxide or nitride spacers, and removing the sacrificial material leaving behind the spacers. The spacers are then used as a hard mask during the etching of the top semiconductor layer.
  • a conventional self-assembly block copolymer can be used to provide patterns that are sub-lithographic.
  • Each patterned semiconductor layer 16 ′ (herein after referred to as semiconductor fin 16 ′) has a vertical height from 10 to 300 nm, with a vertical height from 25 to 50 nm being more typical.
  • the width of each semiconductor fin 16 ′ formed is from 2 to 50 nm, with a width from 5 to 20 nm being more typical.
  • the patterned hard mask 18 can optionally be removed from each of the semiconductor fins 16 ′ utilizing a conventional wet or dry etching process.
  • the patterned hard mask 18 is removed, the upper surface of each of the semiconductor fins 16 ′ in the various device regions is exposed. In this case, the exposed surface would behave as an additional gate in the device.
  • An optional interfacial layer (not shown) is then formed on all the exposed semiconductor surfaces, e.g., on sidewalls and optionally a top surface, of each of the semiconductor fins 16 ′.
  • the optional interfacial layer is formed utilizing a conventional thermal growing technique that is well known to those skilled in the art including, for example, oxidation or oxynitirdation.
  • the exposed semiconductor material e.g., fin
  • the interfacial layer is comprised of silicon oxide, silicon oxynitride, or a nitrided silicon oxide.
  • the interfacial layer may comprise a semiconductor oxide, a semiconducting oxynitride or a nitrided semiconducting oxide.
  • the thickness of the interfacial layer is typically from 0.1 nm to 1.5 nm, with a thickness from 0.5 to 1.2 nm being even more typical.
  • the thickness may be different after processing at higher temperatures, which are usually required during finFET and CMOS fabrication.
  • the interfacial layer is a silicon oxide layer having a thickness from 0.5 nm to 0.8 nm that is formed by a wet chemical oxidation.
  • the process step for this wet chemical oxidation includes treating a cleaned surface of a semiconductor material (such as a HF-last semiconductor surface) with a mixture of ammonium hydroxide, hydrogen peroxide and water (in a 1:1:5 ratio) at 65° C.
  • a semiconductor material such as a HF-last semiconductor surface
  • the interfacial layer can also be formed by treating the HF-last semiconductor surface in ozonated aqueous solutions, with the ozone concentration usually varying from, but not limited to 2 parts per million (ppm) to 40 ppm.
  • a first threshold voltage adjusting layer 20 is formed over the entire surface of the structure (with or without the interfacial layer) shown in FIG. 2 .
  • the term “threshold voltage adjusting layer” as used throughout the instant application denotes a material that moves the threshold voltage towards either the nFET or pFET band edge.
  • the first threshold voltage layer 20 employed in the present invention may include an nFET threshold voltage adjusting material or a pFET threshold voltage adjusting material.
  • the type of threshold voltage adjusting material employed in this step of the invention is dependent on which conductivity type device, e.g., nFinFET or pFinFET, is being fabricated in the first device region 100 .
  • an nFET threshold voltage adjusting material that can be used in the present invention is a rare earth metal-containing material that typically comprises an oxide or nitride of at least one element from Group IIIB of the Periodic Table of Elements (CAS version) including, for example, La, Ce, Pr, Nd, Pm, Sm, Eu, Ga, Tb, Dy, Ho, Er, Tm, Yb, Lu or mixtures thereof.
  • a rare earth metal e.g., a metal from Group IIIB of the Periodic Table of Elements
  • the rare earth metal-containing material comprises an oxide or La, Ce, Y, Sm, Er and/or Tb, with La 2 O 3 or LaN being more preferred.
  • the rare earth metal or rare earth metal-containing material is formed utilizing a conventional deposition process including, for example, evaporation, molecular beam deposition, metalorgano chemical vapor deposition (MOCVD), atomic layer deposition (ALD), physical vapor deposition (PVD) and other like deposition processes.
  • MOCVD metalorgano chemical vapor deposition
  • ALD atomic layer deposition
  • PVD physical vapor deposition
  • ALD is highly preferred in forming the rare earth metal or the rare earth metal-containing material.
  • the rare earth metal-containing material is formed by placing the structure shown in FIG. 2 into the load-lock of a molecular beam deposition chamber, followed by pumping this chamber down to the range of 10 ⁇ 5 to 10 ⁇ 8 Torr. After these steps, the structure is inserted, without breaking vacuum into the growth chamber where the rare earth metal-containing material such as La oxide is deposited by directing atomic/molecular beams of the rare earth metal and oxygen or nitrogen onto the structure's surface. Specifically, because of the low pressure of the chamber, the released atomic/molecular species are beamlike and are not scattered prior to arriving at the structure. A substrate temperature of about 300° C. is used.
  • the La evaporation cell is held in the temperature range of 1400° to 1700° C., and a flow rate of 1 to 3 sccm of molecular oxygen is used.
  • atomic or excited oxygen may be used as well, and this can be created by passing the oxygen through a radio frequency source excited in the range of 50 to 600 Watts.
  • the pressure within the chamber can be in the range from 1 ⁇ 10 ⁇ 5 to 8 ⁇ 10 ⁇ 5 Torr
  • the La oxide growth rate can be in the range from 0.1 to 2 nm per minute, with a range from 0.5 to 1.5 nm being more typical.
  • alkaline earth metal-containing compounds examples include, but are not limited to MgO, MgS, MgF 2 , MgCl 2 , MgBr 2 , MgI 2 , CaO, CaS, CaF 2 , CaCl 2 , CaBr 2 , CaI 2 , SrO, SrS, SrF 2 , SrCI 2 , SrBr 2 , SrI 2 , BaO, BaS, BaF 2 , BaCl 2 , BaBr 2 , and BaI 2 .
  • the alkaline earth metal-containing compound includes Mg.
  • MgO is a highly preferred alkaline earth metal-containing material employed in the present invention.
  • the alkaline earth metal-containing material is formed utilizing a conventional deposition process including, for example, sputtering from a target, reactive sputtering of an alkaline earth metal under oxygen plasma conditions, electroplating, evaporation, molecular beam deposition, MOCVD, ALD, PVD and other like deposition processes.
  • the first threshold voltage adjusting layer 20 can alternatively be a pFET threshold voltage adjusting material.
  • pFET threshold voltage adjusting materials include Al (and its compounds that are non-conductive such as, for example Al 2 O 3 ), Ge (and its compounds that are non-conductive such as, for example GeO 2 ), and non-conductive compounds of Ti and Ta such as, TiO 2 and Ta 2 O 5 , respectively.
  • the pFET threshold voltage adjusting materials are formed utilizing conventional deposition processes well known to those skilled in the art including, but not limited to chemical vapor deposition (CVD), plasma enhanced chemical vapor deposition (PECVD), chemical solution deposition, atomic layer deposition (ALD), physical vapor deposition, sputtering and plating.
  • CVD chemical vapor deposition
  • PECVD plasma enhanced chemical vapor deposition
  • ALD atomic layer deposition
  • physical vapor deposition sputtering and plating.
  • the first threshold voltage adjusting layer 20 has a thickness from 0.1 to 5.0 nm, with a thickness from 0.3 to 2.0 nm being even more typical.
  • the first threshold voltage adjusting layer 20 is patterned to be located only within one of the device regions.
  • the first threshold voltage adjusting layer 20 is patterned to be only present within the first device region 100 .
  • This patterning step of the present invention is achieved by forming a block mask over the first device region 100 and then selectively removing via etching the first threshold voltage adjusting layer 20 from the second device region 102 and the third device region 104 .
  • the selective removal of the first threshold adjusting layer 20 from unwanted device regions includes a wet chemical etching process which removes the first threshold voltage adjusting layer 20 selective to the underlying layers including, for example, the buried insulating layer 14 , the semiconductor fin 16 ′ and the patterned hard mask 18 .
  • An example of a wet chemical etchant that can be used to selectively remove the first voltage threshold adjusting layer 20 from the second device region 102 and the third device region 14 is HCl, ammonium hydroxide, HF and a mixture of ammonium hydroxide, hydrogen peroxide and water.
  • the block mask is removed from the structure utilizing a conventional stripping process well known to those skilled in the art.
  • an optional threshold voltage adjusting layer (not shown) can be formed on the structure shown in FIG. 4 and then patterned such that the optional threshold voltage adjusting layer is present in device regions not including the first threshold voltage adjusting material.
  • the optional threshold voltage adjusting layer includes either an nFET threshold voltage adjusting material or pFET threshold voltage adjusting material which is opposite to the type of material used for the first threshold voltage adjusting layer 20 . That is, when the first voltage threshold adjusting layer 20 is an nFET threshold voltage adjusting material, the threshold voltage adjusting layer is a pFET threshold voltage adjusting material.
  • the optional threshold voltage adjusting layer is formed utilizing the techniques mentioned above for the first threshold voltage adjusting layer 20 , patterned as described above, and the thickness of the optional threshold voltage adjusting layer is within the thickness regime mentioned for the first threshold voltage adjusting layer 20 as well.
  • the inventive method can be modified such that the nFET threshold voltage adjusting material remains within a pFET device region.
  • a gate dielectric 22 is formed within each of the device regions 100 , 102 , and 104 , respectively shown in FIG. 4 to provide the structure shown in FIG. 5 . It is observed that the first threshold voltage adjusting layer 20 and, if present, the optional threshold voltage adjusting layer, are located beneath the gate dielectric 22 .
  • the gate dielectric 22 may comprise an oxide, a nitride and/or an oxynitride.
  • a dielectric material having a dielectric constant, as measured in vacuum, of about 4.0 or less, such as, for example silicon dioxide is employed as the gate dielectric 22 .
  • a dielectric material having a dielectric constant of greater than 4.0, typically greater than 10, as measured in vacuum is used as gate dielectric 22 .
  • dielectric materials having a dielectric constant of greater than 4.0 include, but are not limited to silicon nitride, silicon oxynitride, metal oxides, metal nitrides, metal oxynitrides and/or metal silicates.
  • the gate dielectric 22 is comprised of HfO 2 , ZrO 2 , Al 2 O 3 , TiO 2 , La 2 O 3 SrTiO 3 , LaAlO 3 Y 2 O 3 and multilayered stacks therof.
  • the gate dielectric 22 is a Hf-based gate dielectric including HfO 2 , hafnium silicate and hainium silicon oxynitirde.
  • the gate dielectric 22 can be formed utilizing a thermal growth process such as, for example, oxidation, nitridation or oxynitridation.
  • the gate dielectric 22 can be formed by a deposition process including, but not limited to CVD, PECVD, MOCVD, ALD, evaporation, reactive sputtering and chemical solution deposition.
  • the gate dielectric 22 may also be formed utilizing any combination of the aforementioned processes.
  • the thickness of the as deposited gate dielectric 22 may vary depending on the dielectric material employed as well as the process used to form the same. Typically, the thickness of the as deposited gate dielectric 22 is from 0.5 nm to 20 nm, with a thickness from 1 nm to 10 nm being even more typical.
  • a second voltage adjusting layer 24 is formed on the gate dielectric 22 .
  • the second voltage adjusting layer 24 is then patterned, as shown in FIG. 7 , such that it is present in one of the device regions not including the first threshold voltage adjusting layer.
  • the second voltage adjusting layer 24 is patterned to be present within the third device region 104 .
  • the optional threshold voltage layer may be present within the second device region 102 .
  • the second threshold voltage adjusting layer 24 includes either an nFET threshold voltage adjusting material or pFET threshold voltage adjusting material which is opposite to the type of material used for the first threshold voltage adjusting layer 20 . That is, when the first voltage threshold adjusting layer 20 is an nFET threshold voltage adjusting material, the second threshold voltage adjusting layer 24 is a pFET threshold voltage adjusting material.
  • the second threshold voltage adjusting layer 24 is formed utilizing the techniques mentioned above for the first threshold voltage adjusting layer 20 , and the thickness of the second threshold voltage adjusting layer 24 is within the thickness regime mentioned for the first threshold voltage adjusting layer 20 as well.
  • a gate conductor 26 is formed on the structure shown in FIG. 7 , e.g., atop the gate dielectric 22 in the first device region 100 and the second device region 102 , and atop the second voltage adjusting layer 24 in the third device region 104 .
  • the gate conductor 26 is comprised of any conductive material including, but not limited to polysilicon, SiGe, an elemental metal, an alloy including an elemental metal, a metal silicide, a metal nitride or any combination thereof including multilayers. Examples of elemental metals that can be used as the gate conductor 26 include metals from Group VIB, VIIB and VIII of the Periodic Table of Elements (CAS version).
  • the thickness of the gate conductor 26 may vary, with typical thicknesses being from 20 nm to 200 nm. More typically, the thickness of the gate conductor 26 is from 50 nm to 150 nm.
  • the gate conductor 26 is formed utilizing conventional techniques well known to those skilled in the art. When a silicide is formed, a conventional silicidation process can be used to form the same. The silicidation process can provide a fully silicided gate or a partially silicided gat including a top silicide layer and a bottom metal layer.
  • a Si-containing material 28 such as polysilicon, single crystal single, amorphous silicon, and SiGe, is conformally formed on the exposed upper surface of the gate conductor 26 .
  • the Si-containing material 28 can be formed utilizing a conventional deposition process including, but not limited to epitaxial growth, CVD, PECVD, and ALD.
  • the thickness of the Si-containing material 28 may vary depending on the technique used to form the same.
  • source/drain regions can be formed via ion implantation and annealing, raised source/drain regions can be formed, silicide contacts can be formed at least atop the source/drain regions, a middle-of-the line (MOL) interconnect structure can be formed including a MOL dielectric containing a via filled with a contact metal, and a back-end-of-the-line (BEOL) structure can be formed including a BEOL dielectric having conductively filled lines and/or vias located therein.
  • MOL middle-of-the line
  • BEOL back-end-of-the-line
  • a replacement gate process can be used instead of the processing mentioned above in forming the gate structure.
  • the structure shown in FIG. 8 is first formed and thereafter a blanket layer of a Si-containing material 28 ′ is deposited and then subjected to a planarization process, such as chemical mechanical polishing and/or grinding, providing the structure shown in FIG. 9B .
  • the Si-containing material 28 ′ shown in FIG. 9B includes one of the Si-containing materials 28 described above in regard to FIG. 9A .
  • an annealing step and removal of the Si-containing material, the gate conductor and the residual threshold voltage adjusting layers that are located atop the gate dielectric is then performed on the structure shown in either FIG. 9A or 9 B providing the structure shown in FIG. 10 .
  • threshold voltage adjustment of the devices is achieved by diffusing the threshold voltage adjusting species from the threshold voltage adjusting layers into the remaining gate stack.
  • the threshold voltage adjusted gate stacks are labeled as 30 , 32 and 34 .
  • the diffused threshold voltage adjusting species may reside in the gate dielectric, remaining as a threshold voltage adjusting layer that is present beneath the gate dielectric, the interfacial layer, a top portion of the substrate or any combination thereof.
  • annealing is typically performed at a temperature from 900° C. to 1300° C., with a temperature from 1000° C. to about 1100° C. being even more typical.
  • the annealing may include a thermal anneal, a rapid thermal anneal or a laser anneal.
  • the annealing that causes the diffusion of the threshold voltage adjusting species from the various threshold voltage adjusting layers is performed in an inert ambient including for example, helium, neon, argon or any mixtures thereof.
  • the Si-containing material, the gate conductor, and the various threshold voltage adjusting layers are removed stopping on the gate dielectric 22 utilizing one or more etching steps that selectively remove those layers from the gate stack.
  • a single metal gate electrode 36 is formed on the threshold voltage adjusted gate stacks 30 , 32 , and 34 followed by the deposition of another Si-containing material 38 (see FIG. 11 ).
  • the single metal gate electrode 36 may include an elemental metal, an alloy including an elemental metal or metal nitride from Group VIB, VIIB and VII of the Periodic Table of Elements.
  • the another Si-containing material 38 may comprise a conformal Si-containing material layer as shown in FIG. 9A or a blanket and planarized Si-containing material as shown in FIG. 9B . In FIG. 10 , the later is shown.
  • a structure in this embodiment of the invention, includes one device region including a first threshold voltage adjusted gate stack atop a semiconductor substrate, said first threshold voltage adjusted gate stack including a gate dielectric located on a surface of the semiconductor substrate, wherein a first threshold voltage adjusting species is present within the gate dielectric, a threshold voltage adjusting layer located beneath the gate dielectric, between the gate dielectric and the semiconductor substrate, and/or within the substrate; and another device region including a second threshold voltage adjusted gate stack atop a semiconductor substrate, said second threshold voltage adjusted gate stack including a gate dielectric located on a surface of the semiconductor substrate, wherein a second threshold voltage adjusting species is present within the gate dielectric, a threshold voltage adjusting layer located beneath the gate dielectric, between the gate dielectric and the semiconductor substrate, and/or within the substrate.

Abstract

A semiconductor structure is provided that includes a first device region including a first threshold voltage adjusting layer located atop a semiconductor substrate, a gate dielectric located atop the first threshold voltage adjusting layer, and a gate conductor located atop the gate dielectric. The structure further includes a second device region including a gate dielectric located atop the semiconductor substrate, and a gate conductor located atop the gate dielectric; and a third device region including a gate dielectric located atop the semiconductor substrate, a second threshold voltage adjusting layer located atop the gate dielectric, and a gate conductor located atop the second threshold voltage adjusting layer. In the inventive structure the first threshold voltage adjusting layer includes one of an nFET threshold voltage adjusting material or a pFET threshold voltage adjusting material and the second threshold voltage adjusting layer is the other of the nFET threshold voltage adjusting material or the pFET threshold voltage adjusting material.

Description

    BACKGROUND
  • The present invention relates to semiconductor structures and methods of fabricating the same. More particularly, the present invention relates to planar and non-planar complementary metal oxide semiconductor (CMOS) devices having multiple tuned threshold voltages.
  • Advanced semiconductor chips employ multiple types of field effect transistors (FETs) having different threshold voltages, on-current per unit width and off-current per unit width. Field effect transistors having a high threshold voltage (on the order of 0.25 V or greater) are typically called “low power” devices, which have a low on-current and a low off-current. Field effect transistors (FETs) having a low threshold voltage (on the order of less than 0.25 V) are called “high performance” devices, which have a high on-current and a high off-current. By employing a mixture of low power devices, and high power devices, a semiconductor chip may provide optimal performance at an optimal power consumption level. In addition to power constraints, several threshold voltages are required to serve several functionalities on the semiconductor chip. Logic devices are typically tuned towards the band edge, while SRAM devices typically require mid-gap threshold voltages.
  • There are many different techniques to implement multiple threshold voltage devices including, for example, by varying the gate oxide thickness, doping densities, channel lengths and by changing the gate metals. However, these prior art techniques require separate sets of masks and processing steps to form devices of various threshold voltages. As a result, prior art processes of fabricating multiple threshold devices incur additional fabrication costs and complexity.
  • In addition to the above, it is possible to realize multiple threshold devices of the same physical dimensions and properties by modulating the biases applied to their bodies. However, these devices have a slower operation speed, due to time needed to charge their bodies and wells. Moreover, these prior art techniques may not be applicable to devices with fully depleted bodies.
  • Many of the prior art techniques for fabricating multiple threshold voltages devices such as those discussed above while applicable for planar semiconductor devices are not applicable to non-planar semiconductor devices and multiple gate devices such as, for example, FinFETs, trigate FETs or any such variety of MUGFETs (multiple gate FETs). As such, there is a need for providing a simple and cost efficient method for fabricating multiple tuned threshold voltage devices that are applicable for non-planar semiconductor devices as well as planar semiconductor devices.
  • BRIEF SUMMARY
  • The present invention provides a semiconductor structure and methodology for obtaining multiple tuned threshold voltage devices (with Tinv scaling) on the same semiconductor wafer using an alternative technique that is applicable and ideal for non-planar semiconductor devices such as, for example, FinFET, trigate FETs or any such variety of MUGFETs devices as well as planar semiconductor devices such as for example, field effect transistors (FETs).
  • In one embodiment of the present invention, multiple gate stacks are provided which include a threshold voltage adjusting layer located above and/or below the gate dielectric to obtain symmetric threshold voltages.
  • In one aspect of the invention, a method of forming a semiconductor structure having multiple tuned threshold devices on the same semiconductor substrate is provided. The inventive method includes providing a semiconductor substrate having at least a first device region, a second device region and a third device region; forming a first threshold voltage adjusting layer within the first device region, said first threshold voltage adjusting layer being absent from the second and third device regions and including one of an nFET threshold voltage adjusting material or a pFET threshold voltage adjusting material; forming a gate dielectric within the first device region, the second device region and the third device region; forming a second threshold voltage adjusting layer within either the second or third device regions, yet absence from the first device region, said second threshold voltage adjusting layer is the other of the nFET threshold voltage adjusting material or the pFET threshold voltage adjusting material; and forming a gate conductor within the first, second and third device regions.
  • In another aspect of the invention, a semiconductor structure is provided that includes a first device region having a first threshold voltage adjusting layer located atop on a semiconductor substrate, a gate dielectric located atop the first threshold voltage adjusting layer, and a gate conductor located atop the gate dielectric; a second device region including a gate dielectric located atop the semiconductor substrate, and a gate conductor located atop the gate dielectric; and a third device region including a gate dielectric located atop the semiconductor substrate, a second threshold voltage adjusting layer located atop the gate dielectric, and a gate conductor located atop the second threshold voltage adjusting layer, wherein said first threshold voltage adjusting layer includes one of an nFET threshold voltage adjusting material or a pFET threshold voltage adjusting material and said second threshold voltage adjusting layer is the other of said nFET threshold voltage adjusting material or the pFET threshold voltage adjusting material.
  • BRIEF DESCRIPTION OF THE SEVERAL VIEWS OF THE DRAWINGS
  • FIG. 1 is a pictorial representation (through a cross sectional view) illustrating a semiconductor substrate that can be used in the present invention.
  • FIG. 2 is a pictorial representation (through a cross sectional view) illustrating a structure that is formed after forming a plurality of device regions within the semiconductor substrate shown in FIG. 1, each plurality of device regions including at least one semiconductor fin.
  • FIG. 3 is a pictorial representation (through a cross sectional view) illustrating the structure that is formed after forming a first threshold voltage adjusting layer on exposed surfaces of the structure shown in FIG. 2.
  • FIG. 4 is a pictorial representation (through a cross sectional view) illustrating the structure of FIG. 3 after patterning the first threshold voltage adjusting layer to remain within at least one of the device regions.
  • FIG. 5 is a pictorial representation (through a cross sectional view) illustrating the structure of FIG. 4 after forming a gate dielectric on all exposed surfaces in each of the device regions.
  • FIG. 6 is a pictorial representation (through a cross sectional view) illustrating the structure of FIG. 5 after forming a second threshold voltage adjusting layer on said gate dielectric in each of the device regions.
  • FIG. 7 is a pictorial representation (through a cross sectional view) illustrating the structure of FIG. 6 after patterning the second threshold voltage adjusting layer to remain within at least one of the device regions not including the first threshold voltage adjusting layer.
  • FIG. 8 is a pictorial representation (through a cross sectional view) illustrating the structure of FIG. 7 after forming a gate conductor on all exposed surfaces in each of the device regions.
  • FIG. 9A is a pictorial representation (through a cross sectional view) after forming a Si-containing material on the structure shown in FIG. 8 in accordance with one embodiment of the invention.
  • FIG. 9B is a pictorial representation (through a cross sectional view) after forming a Si-containing material on the structure shown in FIG. 8 in accordance with another embodiment of the invention.
  • FIG. 10 is a pictorial representation (through a cross sectional view) after annealing and removing the Si-containing material, the gate conductor, and the various threshold voltage adjusting layers that are present atop the gate dielectric from the structure shown in either FIG. 9A or FIG. 9B.
  • FIG. 11 is a pictorial representation (through a cross sectional view) after forming a single metal electrode and formation of a second Si-containing layer on the structure shown in FIG. 10.
  • DETAILED DESCRIPTION
  • The present invention, which provides a semiconductor structure and methodology for obtaining multiple tuned threshold voltage devices (with Tinv scaling) on the same semiconductor wafer using an alternative technique that is applicable and ideal for non-planar semiconductor devices as well as planar semiconductor devices, will now be described in greater detail by referring to the following discussion and drawings that accompany the present application. It is noted that the drawings of the present application are provided for illustrative purposes only and, as such, the drawings are not drawn to scale.
  • In the following description, numerous specific details are set forth, such as particular structures, components, materials, dimensions, processing steps and techniques, in order to provide a thorough understanding of the present invention. However, it will be appreciated by one of ordinary skill in the art that the invention may be practiced without these specific details. In other instances, well-known structures or processing steps have not been described in detail in order to avoid obscuring the invention.
  • It will be understood that when an element as a layer, region or substrate is referred to as being “on” or “over” another element, it can be directly on the other element or intervening elements may also be present. In contrast, when an element is referred to as being “directly on” or “directly over” another element, there are no intervening elements present. It will also be understood that when an element is referred to as being “connected” or “coupled” to another element, it can be directly connected or coupled to the other element or intervening elements may be present. In contrast, when an element is referred to as being “directly connected” or “directly coupled” to another element, there are no intervening elements present.
  • Reference is now made to FIGS. 1-9A which illustrate the basic processing steps that can be utilized in one embodiment of the present invention to form a semiconductor structure having at least three devices of different threshold voltages in different device regions. In the embodiment illustrated and described herein below, the semiconductor devices that are being fabricated are FinFET devices. Although such non-planar semiconductor devices are being described and illustrated, the inventive methodology can be applied to other types of non-planar semiconductor devices as well as planar semiconductor devices such as planar FET devices. When planar devices are to be fabricated, the processing steps shown in FIG. 2 may be omitted and the interfacial layer, if present, or the first threshold voltage adjusting layer is formed on a planar surface of a semiconductor substrate.
  • Specifically, FIG. 1 is a pictorial representation of a semiconductor substrate 10 that can be employed in the present invention. The semiconductor substrate 10 shown in FIG. 1 is a semiconductor-on-insulator substrate including a bottom semiconductor layer 12 and a top semiconductor layer, e.g., SOI layer, 16 that are separated, at least in part, by a buried insulating layer 14. Although illustration is made to a semiconductor-on-insulator substrate, semiconductor substrate 10 can also be a bulk semiconductor substrate including one or more semiconducting materials, or a hybrid semiconductor substrate including at least two surface regions that have different crystallographic orientations. The hybrid substrate can have regions that are all SOI like (i.e., have a buried insulating layer beneath an SOI layer) or some regions can be SOI like and others are bulk like.
  • The semiconductor substrate 10 can be fabricated using processing techniques well known to those skilled in the art. For example, semiconductor-on-insulator substrates can be formed by wafer bonding, lamination, or by a process in which insulating ions are first implanted into a bulk semiconductor material and thereafter an annealing step is performed that converts the implant region into a buried insulating region. When a hybrid substrate is employed, wafer bonding, etching and epitaxial growth such as described, for example, within U.S. Patent Application No. 2004/0256700 A1 can be employed.
  • As mentioned above, the semiconductor substrate 10 illustrated in FIG. 1 includes a top semiconductor layer 16 and a bottom semiconductor layer 12 that are separated, at least in part, by a buried insulating layer 14.
  • The top and bottom semiconductor layers can be comprised of the same or different semiconductor material. The term “semiconductor material” when used in describing the semiconductor substrate 10 comprises any material that has semiconductor properties including, but not limited to Si, Ge, SiGe, SiC, SiGeC, GaAs, CaN, InAs, InP and all other III/V or II/V compound semiconductor. In some embodiments of the present invention, it is preferred that the semiconductor material of the semiconductor substrate 1 0 is a Si-containing semiconductor material, i.e., a semiconductor material that includes silicon. In highly preferred embodiments of the invention, the semiconductor substrate 10 is comprised of silicon.
  • The semiconductor substrate 10 may be doped, undoped or contain doped and undoped regions therein. For clarity, the doped regions are not specifically shown in the drawings of the present application. Each doped region within the semiconductor substrate 10 may have the same, or they may have different conductivities and/or doping concentrations. The doped regions that are present in the semiconductor substrate 10 are typically referred to as well regions and they are formed utilizing a conventional ion implantation process.
  • The buried insulating layer 14 may comprise a crystalline or non-crystalline oxide, nitride or oxynitride nitride. In some embodiments of the present invention, the buried insulating layer 14 is preferably an oxide.
  • The thickness of the various layers of the semiconductor-on-insulator substrate illustrated in FIG. 1 may vary. Typically, the top semiconductor layer 16 of the semiconductor-on-insulator substrate has a thickness from 10 nm to 300 nm, with a thickness from 25 nm to 50 nm being even more typical. The thickness of the buried insulating layer 14 of the semiconductor-on-insulator substrate is typically from 40 nm to 250 nm, with a thickness from 125 nm to 175 nm being even more typical. The thickness of the bottom semiconductor layer 12, which may vary, is inconsequential to the invention described herein. A thinner bottom semiconductor layer may be used for 3D integration schemes and for processes where the bottom semiconductor layer is sacrificial.
  • At this point of the inventive process, isolation regions (not shown) are optionally formed into the semiconductor substrate 10; the isolation regions are typically used when planar FETs are to be formed. The isolation regions may be trench isolation regions or field oxide isolation regions. Trench isolation regions are formed utilizing a conventional trench isolation process well known to those skilled in the art. For example, a trench isolation region can be formed by lithography, etching, and filling a trench with a trench dielectric. Optionally, a liner may be formed in the trench prior to trench fill, a densification process may be performed after the trench fill and a planarization process may follow the trench fill. Field oxide regions may be formed utilizing a so-called local oxidation of silicon process. Note that the isolation regions provide isolation between neighboring semiconductor devices, typically required when the neighboring semiconductor devices have different conductivities.
  • Next, a blanket layer of hard mask material (not shown) is formed atop the uppermost surface of the semiconductor substrate 10 shown in FIG. 1. The hard mask material may comprise an oxide, a nitride, an oxynitride or combinations and multilayers thereof. Illustrative examples of hard mask materials include, but are not limited to silicon dioxide and/or silicon nitride. The hard mask may be formed utilizing a conventional deposition process including, but not limited to chemical vapor deposition (CVD), plasma enhanced chemical vapor deposition (PECVD), atomic layer deposition (ALD) and chemical solution deposition. Alternatively, the hard mask may be formed by a thermal process such as, for example thermal oxidation, thermal nitridation and thermal oxynitridation. In some embodiments, the hard mask can be formed by a combination of any of the above mentioned techniques.
  • The thickness of the hard mask that is formed at this point of the present invention may vary depending on the type of hard mask material used as well as the technique that was used for forming the same. Typically, the hard mask has a thickness from 10 nm to 60 nm, with a thickness from 20 to 40 nm being more typical.
  • Next, and as shown in FIG. 2, the structure shown in FIG. 1 including the blanket layer of hard mask atop the top semiconductor layer 16 of the semiconductor substrate 10 is patterned utilizing lithography and etching to provide a structure including at least three device regions 100, 102, and 104, respectively. Each of the device regions 100, 102 and 104 includes a patterned top semiconductor layer 16′ and a patterned hard mask 18. The patterned semiconductor layer 16′ is referred to as the fin of the FinFET structure being formed. It is observed that although three device regions are specifically shown in the drawings, the present invention also contemplates cases in which more than three device regions are formed by lithography and etching. Alternatively techniques such as, for example, a sidewall spacer as a hard mask, and self-assembly may also be employed in the present invention to form the fins of the patterned device regions.
  • The lithography step used in forming the various device regions includes applying a photoresist atop the hard mask, exposing the photoresist to a desired pattern of radiation and developing the exposed photoresist utilizing a conventional resist developer. After resist development, one or more etching processes are employed in transferring the pattern from the patterned photoresist to the hard mask and then to the underlying top semiconductor layer 16 of the semiconductor substrate 10. The one or more etching steps may include dry etching, wet chemical etching or a combination thereof. Examples of suitable dry etching techniques include reactive ion etching, plasma etching, ion beam etching or laser ablation. In some embodiments, the pattern photoresist is removed from the surface of the structure after transferring the pattern into the hard mask. In other embodiments, the patterned photoresist remains on the structure during the transfer of the pattern to the top semiconductor layer 16. In either instance, the patterned photoresist is removed utilizing a conventional resist removal process such as, for example, ashing. It is noted that the depth of this etch may vary and is not limited to the embodiment shown in which the etch stops on a surface of the buried insulating layer 14. In some embodiments, for example, the etch may be stopped above the buried insulating layer 14, while in other embodiments the etch may be stopped below the buried insulating layer 14. In yet other embodiments, the etch may be stopped at various depths within the semiconductor substrate 10.
  • As mentioned above, alternative techniques including a sidewall spacer as a hard mask, and self-assembly may also be employed in the present invention to form the fins of the patterned device regions. Those techniques can be used to provide sub-lithographic features (e.g., 50 nm or less). The sidewall spacer technique includes forming a sacrificial material on the top semiconductor layer, depositing oxide or nitride spacers, and removing the sacrificial material leaving behind the spacers. The spacers are then used as a hard mask during the etching of the top semiconductor layer. When self-assembly is employed, a conventional self-assembly block copolymer can be used to provide patterns that are sub-lithographic.
  • Each patterned semiconductor layer 16′ (herein after referred to as semiconductor fin 16′) has a vertical height from 10 to 300 nm, with a vertical height from 25 to 50 nm being more typical. The width of each semiconductor fin 16′ formed is from 2 to 50 nm, with a width from 5 to 20 nm being more typical.
  • After forming the structure shown in FIG. 2, the patterned hard mask 18 can optionally be removed from each of the semiconductor fins 16′ utilizing a conventional wet or dry etching process. In embodiments in which the patterned hard mask 18 is removed, the upper surface of each of the semiconductor fins 16′ in the various device regions is exposed. In this case, the exposed surface would behave as an additional gate in the device.
  • An optional interfacial layer (not shown) is then formed on all the exposed semiconductor surfaces, e.g., on sidewalls and optionally a top surface, of each of the semiconductor fins 16′. The optional interfacial layer is formed utilizing a conventional thermal growing technique that is well known to those skilled in the art including, for example, oxidation or oxynitirdation. When the exposed semiconductor material, e.g., fin, is a Si-containing material, the interfacial layer is comprised of silicon oxide, silicon oxynitride, or a nitrided silicon oxide. When the exposed semiconductor material is other than a Si-containing semiconductor material, the interfacial layer may comprise a semiconductor oxide, a semiconducting oxynitride or a nitrided semiconducting oxide.
  • The thickness of the interfacial layer is typically from 0.1 nm to 1.5 nm, with a thickness from 0.5 to 1.2 nm being even more typical. The thickness, however, may be different after processing at higher temperatures, which are usually required during finFET and CMOS fabrication.
  • In accordance with an embodiment of the invention, the interfacial layer is a silicon oxide layer having a thickness from 0.5 nm to 0.8 nm that is formed by a wet chemical oxidation. The process step for this wet chemical oxidation includes treating a cleaned surface of a semiconductor material (such as a HF-last semiconductor surface) with a mixture of ammonium hydroxide, hydrogen peroxide and water (in a 1:1:5 ratio) at 65° C. Alternatively, the interfacial layer can also be formed by treating the HF-last semiconductor surface in ozonated aqueous solutions, with the ozone concentration usually varying from, but not limited to 2 parts per million (ppm) to 40 ppm.
  • Next, and as illustrated in FIG. 3, a first threshold voltage adjusting layer 20 is formed over the entire surface of the structure (with or without the interfacial layer) shown in FIG. 2. The term “threshold voltage adjusting layer” as used throughout the instant application denotes a material that moves the threshold voltage towards either the nFET or pFET band edge.
  • The first threshold voltage layer 20 employed in the present invention may include an nFET threshold voltage adjusting material or a pFET threshold voltage adjusting material. The type of threshold voltage adjusting material employed in this step of the invention is dependent on which conductivity type device, e.g., nFinFET or pFinFET, is being fabricated in the first device region 100.
  • One example of an nFET threshold voltage adjusting material that can be used in the present invention is a rare earth metal-containing material that typically comprises an oxide or nitride of at least one element from Group IIIB of the Periodic Table of Elements (CAS version) including, for example, La, Ce, Pr, Nd, Pm, Sm, Eu, Ga, Tb, Dy, Ho, Er, Tm, Yb, Lu or mixtures thereof. In some embodiments, a rare earth metal (e.g., a metal from Group IIIB of the Periodic Table of Elements) can be used. Preferably, the rare earth metal-containing material comprises an oxide or La, Ce, Y, Sm, Er and/or Tb, with La2O3 or LaN being more preferred.
  • The rare earth metal or rare earth metal-containing material is formed utilizing a conventional deposition process including, for example, evaporation, molecular beam deposition, metalorgano chemical vapor deposition (MOCVD), atomic layer deposition (ALD), physical vapor deposition (PVD) and other like deposition processes. In some embodiments of the invention, ALD is highly preferred in forming the rare earth metal or the rare earth metal-containing material.
  • In one embodiment of the present invention, the rare earth metal-containing material is formed by placing the structure shown in FIG. 2 into the load-lock of a molecular beam deposition chamber, followed by pumping this chamber down to the range of 10−5 to 10−8 Torr. After these steps, the structure is inserted, without breaking vacuum into the growth chamber where the rare earth metal-containing material such as La oxide is deposited by directing atomic/molecular beams of the rare earth metal and oxygen or nitrogen onto the structure's surface. Specifically, because of the low pressure of the chamber, the released atomic/molecular species are beamlike and are not scattered prior to arriving at the structure. A substrate temperature of about 300° C. is used. In the case of La2O3 deposition, the La evaporation cell is held in the temperature range of 1400° to 1700° C., and a flow rate of 1 to 3 sccm of molecular oxygen is used. Alternatively, atomic or excited oxygen may be used as well, and this can be created by passing the oxygen through a radio frequency source excited in the range of 50 to 600 Watts. During the deposition, the pressure within the chamber can be in the range from 1×10−5 to 8×10−5 Torr, and the La oxide growth rate can be in the range from 0.1 to 2 nm per minute, with a range from 0.5 to 1.5 nm being more typical.
  • Another example of an nFET threshold voltage adjusting material that can be used in the present invention is an alkaline earth metal-containing material that comprises a compound having the formula MAx wherein M is an alkaline earth metal (Be, Mg, Ca, Sr, and/or Ba), A is one of O, S and a halide, and x is 0, 1 or 2. It is noted that the present invention contemplates alkaline earth metal-containing compounds that include a mixture of alkaline earth metals and/or a mixture of anions, such as an oxychloride. Examples of alkaline earth metal-containing compounds that can be used in the present invention include, but are not limited to MgO, MgS, MgF2, MgCl2, MgBr2, MgI2, CaO, CaS, CaF2, CaCl2, CaBr2, CaI2, SrO, SrS, SrF2, SrCI2, SrBr2, SrI2, BaO, BaS, BaF2, BaCl2, BaBr2, and BaI2. In one preferred embodiment of the present invention, the alkaline earth metal-containing compound includes Mg. MgO is a highly preferred alkaline earth metal-containing material employed in the present invention.
  • The alkaline earth metal-containing material is formed utilizing a conventional deposition process including, for example, sputtering from a target, reactive sputtering of an alkaline earth metal under oxygen plasma conditions, electroplating, evaporation, molecular beam deposition, MOCVD, ALD, PVD and other like deposition processes.
  • In addition to nFET threshold voltage adjusting materials, the first threshold voltage adjusting layer 20 can alternatively be a pFET threshold voltage adjusting material. Examples of pFET threshold voltage adjusting materials include Al (and its compounds that are non-conductive such as, for example Al2O3), Ge (and its compounds that are non-conductive such as, for example GeO2), and non-conductive compounds of Ti and Ta such as, TiO2 and Ta2O5, respectively.
  • The pFET threshold voltage adjusting materials are formed utilizing conventional deposition processes well known to those skilled in the art including, but not limited to chemical vapor deposition (CVD), plasma enhanced chemical vapor deposition (PECVD), chemical solution deposition, atomic layer deposition (ALD), physical vapor deposition, sputtering and plating.
  • Notwithstanding the type of material used as the first threshold voltage adjusting layer 20, the first threshold voltage adjusting layer 20 has a thickness from 0.1 to 5.0 nm, with a thickness from 0.3 to 2.0 nm being even more typical.
  • Next, and as illustrated in FIG. 4, the first threshold voltage adjusting layer 20 is patterned to be located only within one of the device regions. In the embodiment illustrated in the drawings, the first threshold voltage adjusting layer 20 is patterned to be only present within the first device region 100. This patterning step of the present invention is achieved by forming a block mask over the first device region 100 and then selectively removing via etching the first threshold voltage adjusting layer 20 from the second device region 102 and the third device region 104. The selective removal of the first threshold adjusting layer 20 from unwanted device regions includes a wet chemical etching process which removes the first threshold voltage adjusting layer 20 selective to the underlying layers including, for example, the buried insulating layer 14, the semiconductor fin 16′ and the patterned hard mask 18. An example of a wet chemical etchant that can be used to selectively remove the first voltage threshold adjusting layer 20 from the second device region 102 and the third device region 14 is HCl, ammonium hydroxide, HF and a mixture of ammonium hydroxide, hydrogen peroxide and water. After the selective removal step, the block mask is removed from the structure utilizing a conventional stripping process well known to those skilled in the art.
  • In some embodiments of the present invention, an optional threshold voltage adjusting layer (not shown) can be formed on the structure shown in FIG. 4 and then patterned such that the optional threshold voltage adjusting layer is present in device regions not including the first threshold voltage adjusting material. The optional threshold voltage adjusting layer includes either an nFET threshold voltage adjusting material or pFET threshold voltage adjusting material which is opposite to the type of material used for the first threshold voltage adjusting layer 20. That is, when the first voltage threshold adjusting layer 20 is an nFET threshold voltage adjusting material, the threshold voltage adjusting layer is a pFET threshold voltage adjusting material.
  • The optional threshold voltage adjusting layer is formed utilizing the techniques mentioned above for the first threshold voltage adjusting layer 20, patterned as described above, and the thickness of the optional threshold voltage adjusting layer is within the thickness regime mentioned for the first threshold voltage adjusting layer 20 as well.
  • In other embodiments of the invention, and when the first or optional threshold voltage material is an nFET threshold voltage material, the inventive method can be modified such that the nFET threshold voltage adjusting material remains within a pFET device region.
  • Next, a gate dielectric 22 is formed within each of the device regions 100, 102, and 104, respectively shown in FIG. 4 to provide the structure shown in FIG. 5. It is observed that the first threshold voltage adjusting layer 20 and, if present, the optional threshold voltage adjusting layer, are located beneath the gate dielectric 22.
  • The gate dielectric 22 may comprise an oxide, a nitride and/or an oxynitride. In one embodiment of the invention, a dielectric material having a dielectric constant, as measured in vacuum, of about 4.0 or less, such as, for example silicon dioxide is employed as the gate dielectric 22. In another embodiment of the invention, a dielectric material having a dielectric constant of greater than 4.0, typically greater than 10, as measured in vacuum, is used as gate dielectric 22.
  • Examples of such dielectric materials having a dielectric constant of greater than 4.0 include, but are not limited to silicon nitride, silicon oxynitride, metal oxides, metal nitrides, metal oxynitrides and/or metal silicates. In one embodiment, the gate dielectric 22 is comprised of HfO2, ZrO2, Al2O3, TiO2, La2O3 SrTiO3, LaAlO3 Y2O3 and multilayered stacks therof. In another embodiment of the invention, the gate dielectric 22 is a Hf-based gate dielectric including HfO2, hafnium silicate and hainium silicon oxynitirde.
  • The gate dielectric 22 can be formed utilizing a thermal growth process such as, for example, oxidation, nitridation or oxynitridation. Alternatively, the gate dielectric 22 can be formed by a deposition process including, but not limited to CVD, PECVD, MOCVD, ALD, evaporation, reactive sputtering and chemical solution deposition. The gate dielectric 22 may also be formed utilizing any combination of the aforementioned processes.
  • The thickness of the as deposited gate dielectric 22 may vary depending on the dielectric material employed as well as the process used to form the same. Typically, the thickness of the as deposited gate dielectric 22 is from 0.5 nm to 20 nm, with a thickness from 1 nm to 10 nm being even more typical.
  • Next, and as shown in FIG. 6, a second voltage adjusting layer 24 is formed on the gate dielectric 22. The second voltage adjusting layer 24 is then patterned, as shown in FIG. 7, such that it is present in one of the device regions not including the first threshold voltage adjusting layer. In the illustrated embodiment, the second voltage adjusting layer 24 is patterned to be present within the third device region 104. In such an embodiment, the optional threshold voltage layer may be present within the second device region 102. The second threshold voltage adjusting layer 24 includes either an nFET threshold voltage adjusting material or pFET threshold voltage adjusting material which is opposite to the type of material used for the first threshold voltage adjusting layer 20. That is, when the first voltage threshold adjusting layer 20 is an nFET threshold voltage adjusting material, the second threshold voltage adjusting layer 24 is a pFET threshold voltage adjusting material.
  • The second threshold voltage adjusting layer 24 is formed utilizing the techniques mentioned above for the first threshold voltage adjusting layer 20, and the thickness of the second threshold voltage adjusting layer 24 is within the thickness regime mentioned for the first threshold voltage adjusting layer 20 as well.
  • Next, and as shown in FIG. 8, a gate conductor 26 is formed on the structure shown in FIG. 7, e.g., atop the gate dielectric 22 in the first device region 100 and the second device region 102, and atop the second voltage adjusting layer 24 in the third device region 104. The gate conductor 26 is comprised of any conductive material including, but not limited to polysilicon, SiGe, an elemental metal, an alloy including an elemental metal, a metal silicide, a metal nitride or any combination thereof including multilayers. Examples of elemental metals that can be used as the gate conductor 26 include metals from Group VIB, VIIB and VIII of the Periodic Table of Elements (CAS version). The thickness of the gate conductor 26 may vary, with typical thicknesses being from 20 nm to 200 nm. More typically, the thickness of the gate conductor 26 is from 50 nm to 150 nm.
  • The gate conductor 26 is formed utilizing conventional techniques well known to those skilled in the art. When a silicide is formed, a conventional silicidation process can be used to form the same. The silicidation process can provide a fully silicided gate or a partially silicided gat including a top silicide layer and a bottom metal layer.
  • Next, and as shown in FIG. 9A, a Si-containing material 28 such as polysilicon, single crystal single, amorphous silicon, and SiGe, is conformally formed on the exposed upper surface of the gate conductor 26. The Si-containing material 28 can be formed utilizing a conventional deposition process including, but not limited to epitaxial growth, CVD, PECVD, and ALD. The thickness of the Si-containing material 28 may vary depending on the technique used to form the same.
  • At this point of the present invention conventional processes can be performed to complete the devices in each of the device regions. For example, source/drain regions can be formed via ion implantation and annealing, raised source/drain regions can be formed, silicide contacts can be formed at least atop the source/drain regions, a middle-of-the line (MOL) interconnect structure can be formed including a MOL dielectric containing a via filled with a contact metal, and a back-end-of-the-line (BEOL) structure can be formed including a BEOL dielectric having conductively filled lines and/or vias located therein. The details concerning these processes that are performed after providing the structure shown in FIG. 9 are not provided so as to not obscure the present invention.
  • It is noted that a replacement gate process can be used instead of the processing mentioned above in forming the gate structure.
  • In another embodiment of the present invention, the structure shown in FIG. 8 is first formed and thereafter a blanket layer of a Si-containing material 28′ is deposited and then subjected to a planarization process, such as chemical mechanical polishing and/or grinding, providing the structure shown in FIG. 9B. The Si-containing material 28′ shown in FIG. 9B includes one of the Si-containing materials 28 described above in regard to FIG. 9A.
  • In some embodiments of the present invention, an annealing step and removal of the Si-containing material, the gate conductor and the residual threshold voltage adjusting layers that are located atop the gate dielectric is then performed on the structure shown in either FIG. 9A or 9B providing the structure shown in FIG. 10. In FIG. 10, threshold voltage adjustment of the devices is achieved by diffusing the threshold voltage adjusting species from the threshold voltage adjusting layers into the remaining gate stack. In FIG. 10, the threshold voltage adjusted gate stacks are labeled as 30, 32 and 34. The diffused threshold voltage adjusting species may reside in the gate dielectric, remaining as a threshold voltage adjusting layer that is present beneath the gate dielectric, the interfacial layer, a top portion of the substrate or any combination thereof.
  • When the structure shown in FIG. 10 is formed, annealing is typically performed at a temperature from 900° C. to 1300° C., with a temperature from 1000° C. to about 1100° C. being even more typical. The annealing may include a thermal anneal, a rapid thermal anneal or a laser anneal. The annealing that causes the diffusion of the threshold voltage adjusting species from the various threshold voltage adjusting layers is performed in an inert ambient including for example, helium, neon, argon or any mixtures thereof. After annealing, and as stated above, the Si-containing material, the gate conductor, and the various threshold voltage adjusting layers are removed stopping on the gate dielectric 22 utilizing one or more etching steps that selectively remove those layers from the gate stack.
  • After providing the structure shown FIG. 10, a single metal gate electrode 36 is formed on the threshold voltage adjusted gate stacks 30, 32, and 34 followed by the deposition of another Si-containing material 38 (see FIG. 11). The single metal gate electrode 36 may include an elemental metal, an alloy including an elemental metal or metal nitride from Group VIB, VIIB and VII of the Periodic Table of Elements. The another Si-containing material 38 may comprise a conformal Si-containing material layer as shown in FIG. 9A or a blanket and planarized Si-containing material as shown in FIG. 9B. In FIG. 10, the later is shown. In this embodiment of the invention, a structure is provided that includes one device region including a first threshold voltage adjusted gate stack atop a semiconductor substrate, said first threshold voltage adjusted gate stack including a gate dielectric located on a surface of the semiconductor substrate, wherein a first threshold voltage adjusting species is present within the gate dielectric, a threshold voltage adjusting layer located beneath the gate dielectric, between the gate dielectric and the semiconductor substrate, and/or within the substrate; and another device region including a second threshold voltage adjusted gate stack atop a semiconductor substrate, said second threshold voltage adjusted gate stack including a gate dielectric located on a surface of the semiconductor substrate, wherein a second threshold voltage adjusting species is present within the gate dielectric, a threshold voltage adjusting layer located beneath the gate dielectric, between the gate dielectric and the semiconductor substrate, and/or within the substrate.
  • While the present invention has been particularly shown and described with respect to preferred embodiments thereof, it will be understood by those skilled in the art that the foregoing and other changes in forms and details may be made without departing from the spirit and scope of the present invention. It is therefore intended that the present invention not be limited to the exact forms and details described and illustrated, but fall within the scope of the appended claims.

Claims (25)

1. A method of fabricating a semiconductor structure comprising:
providing a semiconductor substrate including at least a first device region, a second device region and a third device region;
forming a first threshold voltage adjusting layer within said first device region, said first threshold voltage adjusting layer being absent from said second and third device regions and including one of an nFET threshold voltage adjusting material or a pFET threshold voltage adjusting material;
forming a gate dielectric within said first device region, said second device region and said third device region;
forming a second threshold device layer within either said second or third device regions, yet absence from said first device region, said second threshold voltage adjusting layer is the other of said nFET threshold voltage adjusting material or the pFET threshold voltage adjusting material; and
forming a gate conductor within said first, second and third device regions.
2. The method of claim 1 wherein said semiconductor substrate is a bulk semiconductor substrate or a semiconductor-on-insulator substrate including a top semiconductor layer located on a buried insulating layer, said top semiconductor layer is patterned to form at least one semiconductor fins within each of the device regions.
3. The method of claim 1 wherein said first threshold voltage adjusting layer is an nFET threshold voltage adjusting material.
4. The method of claim 3 wherein said nFET threshold voltage adjusting material is a Group IIIB rare earth metal or a rare earth metal-containing material that comprises an oxide or nitride of at least one element from Group IIIB of the Periodic Table of Elements.
5. The method of claim 4 wherein said Group IIIB element is one of La, Ce, Y, Sm, Er and Th.
6. The method of claim 3 wherein said nFET threshold voltage adjusting material is an alkaline earth metal containing material that comprises a compound of the formula MAx wherein M is an alkaline earth metal, A is one of O, S and a halide, and x is 0, 1 or 2.
7. The method of claim 1 wherein said pFET threshold voltage adjusting material includes Al, Al2O3, Ge, GeO2, non-conductive compounds of Ti, or non-conductive compounds of Ta.
8. The method of claim 1 wherein said gate dielectric is a dielectric material having a dielectric constant of greater than 4.0.
9. The method of claim 1 wherein said gate conductor comprises polySi, SiGe, an elemental metal, an alloy of an elemental metal or a silicide of an elemental metal.
10. The method of claim 1 further comprising forming a Si-containing material within each of the device regions.
11. The method of claim 1 further comprising forming another threshold voltage adjusting layer in at least one of said second device region or said third device region, but not said first device region, prior to forming the gate dielectric.
12. The method of claim 10 further comprising the steps of:
annealing to cause diffusion of threshold voltage adjusting species from the first and second threshold adjusting layers into, or beneath the gate dielectric;
removing the Si-containing material, the gate conductor, and the first and second threshold voltage adjusting layers;
forming a single metal gate electrode; and
forming another Si-containing material atop the single metal gate electrode.
13. A method of fabricating a semiconductor structure comprising:
providing a semiconductor substrate including at least a first device region, a second device region and a third device region, each device region including at least one semiconductor fin;
forming a first threshold voltage adjusting layer within said first device region, said first threshold voltage adjusting layer being absent from said second and third device regions and including one of an nFET threshold voltage adjusting material or a pFET threshold voltage adjusting material;
forming a gate dielectric within said first device region, said second device region and said third device region;
forming a second threshold voltage adjusting layer within either said second or third device regions, yet absence from said first device region, said second threshold voltage adjusting layer is the other of said nFET threshold voltage adjusting material or the pFET threshold voltage adjusting material;
forming a gate conductor within said first, second and third device regions; and
forming a Si-containing material atop said gate conductor in each of the device regions.
14. The method of claim 13 further comprising the steps of:
annealing to cause diffusion of threshold voltage adjusting species from the first and second threshold adjusting layers into, or beneath the gate dielectric;
removing the Si-containing material, the gate conductor, and the first and second threshold voltage adjusting layers;
forming a single metal gate electrode; and
forming another Si-containing material atop the single metal gate electrode.
15. A semiconductor structure comprising:
a first device region including a first threshold voltage adjusting layer located atop a semiconductor substrate, a gate dielectric located atop the first threshold voltage adjusting layer, and a gate conductor located atop the gate dielectric;
a second device region including a gate dielectric located atop the semiconductor substrate, and a gate conductor located atop the gate dielectric; and
a third device region including a gate dielectric located atop the semiconductor substrate, a second threshold voltage adjusting layer located atop the gate dielectric, and a gate conductor located atop the second threshold voltage adjusting layer, wherein
said first threshold voltage adjusting layer includes one of an nFET threshold voltage adjusting material or a pEET threshold voltage adjusting material and said second threshold voltage adjusting layer is the other of said nFET threshold voltage adjusting material or the pFET threshold voltage adjusting material.
16. The semiconductor structure of claim 15 wherein said semiconductor substrate is a semiconductor-on-insulator substrate including a plurality of semiconductor fins located on a surface of a buried insulating layer.
17. The semiconductor structure of claim 15 wherein said first threshold voltage adjusting layer is an nFET threshold voltage adjusting material.
18. The semiconductor structure of claim 17 wherein said nFET threshold voltage adjusting material is a Group IIIB rare earth metal or a rare earth metal-containing material that comprises an oxide or nitride of at least one element from Group IIIB of the Periodic Table of Elements.
19. The semiconductor structure of claim 17 wherein said nFET threshold voltage adjusting material is an alkaline earth metal containing material that comprises a compound of the formula MAx wherein M is an alkaline earth metal, A is one of O, S and a halide, and x is 0, 1 or 2.
20. The semiconductor structure of claim 15 wherein said pFET threshold voltage adjusting material includes Al, Al2O3, Ge, GeO2, non-conductive compounds of Ti, or non-conductive compounds of Ta.
21. The semiconductor structure of claim 15 wherein said gate dielectric is a dielectric material having a dielectric constant of greater than 4.0.
22. The semiconductor structure of claim 15 wherein said gate conductor comprises polySi, SiGe, an elemental metal, an alloy of an elemental metal or a silicide of an elemental metal.
23. The semiconductor structure of claim 15 further comprising a Si-containing material within each of the device regions.
24. The semiconductor structure of claim 15 further comprising another threshold voltage adjusting layer located beneath the gate dielectric in at least one of the device regions not including the first threshold voltage adjusting layer
25. A semiconductor structure comprising:
one device region including a first threshold voltage adjusted gate stack atop a semiconductor substrate, said first threshold voltage adjusted gate stack including a gate dielectric located on a surface of the semiconductor substrate, wherein a first threshold voltage adjusting species is present within the gate dielectric, a threshold voltage adjusting layer located beneath the gate dielectric, between the gate dielectric and the semiconductor substrate, and/or within the substrate; and
another device region including a second threshold voltage adjusted gate stack atop a semiconductor substrate, said second threshold voltage adjusted gate stack including a gate dielectric located on a surface of the semiconductor substrate, wherein a second threshold voltage adjusting species is present within the gate dielectric, a threshold voltage adjusting layer located beneath the gate dielectric, between the gate dielectric and the semiconductor substrate, and/or within the substrate.
US12/487,202 2009-06-18 2009-06-18 Planar and non-planar CMOS devices with multiple tuned threshold voltages Active US7855105B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US12/487,202 US7855105B1 (en) 2009-06-18 2009-06-18 Planar and non-planar CMOS devices with multiple tuned threshold voltages

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US12/487,202 US7855105B1 (en) 2009-06-18 2009-06-18 Planar and non-planar CMOS devices with multiple tuned threshold voltages

Publications (2)

Publication Number Publication Date
US7855105B1 US7855105B1 (en) 2010-12-21
US20100320545A1 true US20100320545A1 (en) 2010-12-23

Family

ID=43333410

Family Applications (1)

Application Number Title Priority Date Filing Date
US12/487,202 Active US7855105B1 (en) 2009-06-18 2009-06-18 Planar and non-planar CMOS devices with multiple tuned threshold voltages

Country Status (1)

Country Link
US (1) US7855105B1 (en)

Cited By (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102891179A (en) * 2011-07-20 2013-01-23 中国科学院微电子研究所 Semiconductor device and manufacturing method thereof
US20130020578A1 (en) * 2011-07-20 2013-01-24 Qingqing Liang Semiconductor Device and Method for Manufacturing the Same
US20150021698A1 (en) * 2013-07-18 2015-01-22 International Business Machines Corporation Intrinsic Channel Planar Field Effect Transistors Having Multiple Threshold Voltages
US20150021699A1 (en) * 2013-07-18 2015-01-22 International Business Machines Corporation FIN Field Effect Transistors Having Multiple Threshold Voltages
US9093558B2 (en) 2012-08-24 2015-07-28 International Business Machines Corporation Integration of multiple threshold voltage devices for complementary metal oxide semiconductor using full metal gate
WO2015138176A1 (en) * 2014-03-14 2015-09-17 Applied Materials, Inc. MULTI-THRESHOLD VOLTAGE (Vt) WORKFUNCTION METAL BY SELECTIVE ATOMIC LAYER DEPOSITION (ALD)
US9177865B2 (en) 2013-06-05 2015-11-03 Samsung Electronics Co., Ltd. Method for fabricating semiconductor device having multiple threshold voltages
US20180097002A1 (en) * 2016-09-30 2018-04-05 International Business Machines Corporation Separate n and p fin etching for reduced cmos device leakage
US10096599B2 (en) 2011-12-28 2018-10-09 Intel Corporation Methods of integrating multiple gate dielectric transistors on a tri-gate (finFET) process
CN105655334B (en) * 2011-12-28 2019-01-08 英特尔公司 Semiconductor device with integrated multiple gate-dielectric transistors
US20190035826A1 (en) * 2017-07-27 2019-01-31 Canon Kabushiki Kaisha Image capturing apparatus, camera, and method of manufacturing image capturing apparatus
US10510621B2 (en) * 2018-04-13 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Methods for threshold voltage tuning and structures formed thereby
US20190393097A1 (en) * 2018-06-22 2019-12-26 Tokyo Electron Limited Method for forming a nanowire device
WO2021007536A1 (en) * 2019-07-11 2021-01-14 Tokyo Electron Limited Method for threshold voltage tuning through selective deposition of high-k metal gate (hkmg) film stacks
US11189616B2 (en) 2019-09-17 2021-11-30 International Business Machines Corporation Multi-threshold voltage non-planar complementary metal-oxtde-semiconductor devices

Families Citing this family (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7718496B2 (en) 2007-10-30 2010-05-18 International Business Machines Corporation Techniques for enabling multiple Vt devices using high-K metal gate stacks
US9087741B2 (en) 2011-07-11 2015-07-21 International Business Machines Corporation CMOS with dual raised source and drain for NMOS and PMOS
US8546203B1 (en) * 2012-07-17 2013-10-01 International Business Machines Corporation Semiconductor structure having NFET extension last implants
US8673699B2 (en) * 2012-07-17 2014-03-18 International Business Machines Corporation Semiconductor structure having NFET extension last implants
CN103579004B (en) * 2012-08-10 2016-05-11 中国科学院微电子研究所 FinFET and manufacture method thereof
KR20140034347A (en) * 2012-08-31 2014-03-20 삼성전자주식회사 Semiconductor device and method for fabricating the same
US8722494B1 (en) * 2012-11-01 2014-05-13 International Business Machines Corporation Dual gate finFET devices
US8999831B2 (en) 2012-11-19 2015-04-07 International Business Machines Corporation Method to improve reliability of replacement gate device
US8785284B1 (en) 2013-02-20 2014-07-22 International Business Machines Corporation FinFETs and fin isolation structures
US8993399B2 (en) 2013-05-17 2015-03-31 International Business Machines Corporation FinFET structures having silicon germanium and silicon fins
US9245742B2 (en) 2013-12-18 2016-01-26 Asm Ip Holding B.V. Sulfur-containing thin films
CN104979198B (en) * 2014-04-02 2018-03-30 中芯国际集成电路制造(上海)有限公司 The forming method of fin formula field effect transistor
US9209185B2 (en) * 2014-04-16 2015-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for FinFET device
US10468528B2 (en) 2014-04-16 2019-11-05 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device with high-k metal gate stack
US9721955B2 (en) 2014-04-25 2017-08-01 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for SRAM FinFET device having an oxide feature
US9178067B1 (en) 2014-04-25 2015-11-03 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for FinFET device
US9224736B1 (en) 2014-06-27 2015-12-29 Taiwan Semicondcutor Manufacturing Company, Ltd. Structure and method for SRAM FinFET device
KR102219678B1 (en) 2014-08-12 2021-02-25 삼성전자주식회사 Semiconductor device and method for manufacturing the same
US9563122B2 (en) 2015-04-28 2017-02-07 International Business Machines Corporation Method to harden photoresist for directed self-assembly processes
US9659824B2 (en) 2015-04-28 2017-05-23 International Business Machines Corporation Graphoepitaxy directed self-assembly process for semiconductor fin formation
US9553092B2 (en) 2015-06-12 2017-01-24 Globalfoundries Inc. Alternative threshold voltage scheme via direct metal gate patterning for high performance CMOS FinFETs
US9741815B2 (en) * 2015-06-16 2017-08-22 Asm Ip Holding B.V. Metal selenide and metal telluride thin films for semiconductor device applications
US9799654B2 (en) * 2015-06-18 2017-10-24 International Business Machines Corporation FET trench dipole formation
US10020304B2 (en) * 2015-11-16 2018-07-10 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor, semiconductor device and fabricating method thereof
US9646886B1 (en) * 2015-12-30 2017-05-09 International Business Machines Corporation Tailored silicon layers for transistor multi-gate control
US9748145B1 (en) 2016-02-29 2017-08-29 Globalfoundries Inc. Semiconductor devices with varying threshold voltage and fabrication methods thereof
US9899264B2 (en) 2016-06-30 2018-02-20 International Business Machines Corporation Integrated metal gate CMOS devices
US9793230B1 (en) * 2016-07-08 2017-10-17 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and method of forming
CN108807377B (en) * 2017-05-03 2020-07-10 中芯国际集成电路制造(北京)有限公司 Semiconductor device and method of forming the same
US10446400B2 (en) 2017-10-20 2019-10-15 Samsung Electronics Co., Ltd. Method of forming multi-threshold voltage devices and devices so formed
KR102418061B1 (en) * 2018-01-09 2022-07-06 삼성전자주식회사 Semiconductor device
US10665461B2 (en) 2018-09-24 2020-05-26 International Business Machines Corporation Semiconductor device with multiple threshold voltages
US10985075B2 (en) * 2018-10-11 2021-04-20 International Business Machines Corporation Gate formation scheme for n-type and p-type transistors having separately tuned threshold voltages

Citations (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6303419B1 (en) * 2000-03-24 2001-10-16 Industrial Technology Research Institute Method for fabricating a BiCMOS device featuring twin wells and an N type epitaxial layer
US6633069B2 (en) * 1997-05-20 2003-10-14 Kabushiki Kaisha Toshiba Semiconductor device
US6642090B1 (en) * 2002-06-03 2003-11-04 International Business Machines Corporation Fin FET devices from bulk semiconductor and method for forming
US6660596B2 (en) * 2000-03-16 2003-12-09 International Business Machines Corporation Double planar gated SOI MOSFET structure
US6689653B1 (en) * 2003-06-18 2004-02-10 Chartered Semiconductor Manufacturing Ltd. Method of preserving the top oxide of an ONO dielectric layer via use of a capping material
US20040256700A1 (en) * 2003-06-17 2004-12-23 International Business Machines Corporation High-performance CMOS devices on hybrid crystal oriented substrates
US6846734B2 (en) * 2002-11-20 2005-01-25 International Business Machines Corporation Method and process to make multiple-threshold metal gates CMOS technology
US6855989B1 (en) * 2003-10-01 2005-02-15 Advanced Micro Devices, Inc. Damascene finfet gate with selective metal interdiffusion
US6909147B2 (en) * 2003-05-05 2005-06-21 International Business Machines Corporation Multi-height FinFETS
US6936882B1 (en) * 2003-07-08 2005-08-30 Advanced Micro Devices, Inc. Selective silicidation of gates in semiconductor devices to achieve multiple threshold voltages
US6936508B2 (en) * 2003-09-12 2005-08-30 Texas Instruments Incorporated Metal gate MOS transistors and methods for making the same
US6977194B2 (en) * 2003-10-30 2005-12-20 International Business Machines Corporation Structure and method to improve channel mobility by gate electrode stress modification
US7112847B1 (en) * 2003-09-03 2006-09-26 Advanced Micro Devices, Inc. Smooth fin topology in a FinFET device
US20070111419A1 (en) * 2005-09-28 2007-05-17 Doyle Brian S CMOS Devices with a single work function gate electrode and method of fabrication
US20070111448A1 (en) * 2005-11-15 2007-05-17 Hong-Jyh Li Semiconductor devices and methods of manufacture thereof
US7265417B2 (en) * 2000-10-18 2007-09-04 International Business Machines Corporation Method of fabricating semiconductor side wall fin
US7288805B2 (en) * 2005-02-24 2007-10-30 International Business Machines Corporation Double gate isolation
US7384830B2 (en) * 2003-08-22 2008-06-10 International Business Machines Corporation Strained-channel Fin field effect transistor (FET) with a uniform channel thickness and separate gates
US7407890B2 (en) * 2006-04-21 2008-08-05 International Business Machines Corporation Patterning sub-lithographic features with variable widths
US7435639B2 (en) * 2006-05-31 2008-10-14 Freescale Semiconductor, Inc. Dual surface SOI by lateral epitaxial overgrowth
US7439588B2 (en) * 2005-12-13 2008-10-21 Intel Corporation Tri-gate integration with embedded floating body memory cell using a high-K dual metal gate
US7491598B2 (en) * 2005-11-28 2009-02-17 International Business Machines Corporation CMOS circuits including a passive element having a low end resistance
US7547947B2 (en) * 2005-11-15 2009-06-16 International Business Machines Corporation SRAM cell
US7612405B2 (en) * 2007-03-06 2009-11-03 Taiwan Semiconductor Manufacturing Company, Ltd. Fabrication of FinFETs with multiple fin heights
US7674669B2 (en) * 2007-09-07 2010-03-09 Micron Technology, Inc. FIN field effect transistor
US7718496B2 (en) * 2007-10-30 2010-05-18 International Business Machines Corporation Techniques for enabling multiple Vt devices using high-K metal gate stacks

Patent Citations (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6633069B2 (en) * 1997-05-20 2003-10-14 Kabushiki Kaisha Toshiba Semiconductor device
US6660596B2 (en) * 2000-03-16 2003-12-09 International Business Machines Corporation Double planar gated SOI MOSFET structure
US6303419B1 (en) * 2000-03-24 2001-10-16 Industrial Technology Research Institute Method for fabricating a BiCMOS device featuring twin wells and an N type epitaxial layer
US7361556B2 (en) * 2000-10-18 2008-04-22 International Business Machines Corporation Method of fabricating semiconductor side wall fin
US7265417B2 (en) * 2000-10-18 2007-09-04 International Business Machines Corporation Method of fabricating semiconductor side wall fin
US6642090B1 (en) * 2002-06-03 2003-11-04 International Business Machines Corporation Fin FET devices from bulk semiconductor and method for forming
US6846734B2 (en) * 2002-11-20 2005-01-25 International Business Machines Corporation Method and process to make multiple-threshold metal gates CMOS technology
US6909147B2 (en) * 2003-05-05 2005-06-21 International Business Machines Corporation Multi-height FinFETS
US20040256700A1 (en) * 2003-06-17 2004-12-23 International Business Machines Corporation High-performance CMOS devices on hybrid crystal oriented substrates
US6689653B1 (en) * 2003-06-18 2004-02-10 Chartered Semiconductor Manufacturing Ltd. Method of preserving the top oxide of an ONO dielectric layer via use of a capping material
US6936882B1 (en) * 2003-07-08 2005-08-30 Advanced Micro Devices, Inc. Selective silicidation of gates in semiconductor devices to achieve multiple threshold voltages
US7384830B2 (en) * 2003-08-22 2008-06-10 International Business Machines Corporation Strained-channel Fin field effect transistor (FET) with a uniform channel thickness and separate gates
US7112847B1 (en) * 2003-09-03 2006-09-26 Advanced Micro Devices, Inc. Smooth fin topology in a FinFET device
US6936508B2 (en) * 2003-09-12 2005-08-30 Texas Instruments Incorporated Metal gate MOS transistors and methods for making the same
US6855989B1 (en) * 2003-10-01 2005-02-15 Advanced Micro Devices, Inc. Damascene finfet gate with selective metal interdiffusion
US6977194B2 (en) * 2003-10-30 2005-12-20 International Business Machines Corporation Structure and method to improve channel mobility by gate electrode stress modification
US7288805B2 (en) * 2005-02-24 2007-10-30 International Business Machines Corporation Double gate isolation
US20070269950A1 (en) * 2005-02-24 2007-11-22 Anderson Brent A Double gate isolation
US20070111419A1 (en) * 2005-09-28 2007-05-17 Doyle Brian S CMOS Devices with a single work function gate electrode and method of fabrication
US20070111448A1 (en) * 2005-11-15 2007-05-17 Hong-Jyh Li Semiconductor devices and methods of manufacture thereof
US7547947B2 (en) * 2005-11-15 2009-06-16 International Business Machines Corporation SRAM cell
US7491598B2 (en) * 2005-11-28 2009-02-17 International Business Machines Corporation CMOS circuits including a passive element having a low end resistance
US7439588B2 (en) * 2005-12-13 2008-10-21 Intel Corporation Tri-gate integration with embedded floating body memory cell using a high-K dual metal gate
US7407890B2 (en) * 2006-04-21 2008-08-05 International Business Machines Corporation Patterning sub-lithographic features with variable widths
US7435639B2 (en) * 2006-05-31 2008-10-14 Freescale Semiconductor, Inc. Dual surface SOI by lateral epitaxial overgrowth
US7612405B2 (en) * 2007-03-06 2009-11-03 Taiwan Semiconductor Manufacturing Company, Ltd. Fabrication of FinFETs with multiple fin heights
US7674669B2 (en) * 2007-09-07 2010-03-09 Micron Technology, Inc. FIN field effect transistor
US7718496B2 (en) * 2007-10-30 2010-05-18 International Business Machines Corporation Techniques for enabling multiple Vt devices using high-K metal gate stacks

Cited By (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130020578A1 (en) * 2011-07-20 2013-01-24 Qingqing Liang Semiconductor Device and Method for Manufacturing the Same
WO2013010299A1 (en) * 2011-07-20 2013-01-24 中国科学院微电子研究所 Semiconductor device and method for manufacturing same
CN102891179A (en) * 2011-07-20 2013-01-23 中国科学院微电子研究所 Semiconductor device and manufacturing method thereof
CN105655334B (en) * 2011-12-28 2019-01-08 英特尔公司 Semiconductor device with integrated multiple gate-dielectric transistors
US10658361B2 (en) 2011-12-28 2020-05-19 Intel Corporation Methods of integrating multiple gate dielectric transistors on a tri-gate (FINFET) process
DE112011106052B4 (en) 2011-12-28 2021-10-28 Intel Corporation Integration of dielectric multigate transistors in a tri-gate process (FINFET)
US11695008B2 (en) 2011-12-28 2023-07-04 Intel Corporation Methods of integrating multiple gate dielectric transistors on a tri-gate (FINFET) process
US10096599B2 (en) 2011-12-28 2018-10-09 Intel Corporation Methods of integrating multiple gate dielectric transistors on a tri-gate (finFET) process
US9093558B2 (en) 2012-08-24 2015-07-28 International Business Machines Corporation Integration of multiple threshold voltage devices for complementary metal oxide semiconductor using full metal gate
US9406679B2 (en) 2012-08-24 2016-08-02 International Business Machines Corporation Integration of multiple threshold voltage devices for complementary metal oxide semiconductor using full metal gate
US9177865B2 (en) 2013-06-05 2015-11-03 Samsung Electronics Co., Ltd. Method for fabricating semiconductor device having multiple threshold voltages
US20150021698A1 (en) * 2013-07-18 2015-01-22 International Business Machines Corporation Intrinsic Channel Planar Field Effect Transistors Having Multiple Threshold Voltages
US20150021699A1 (en) * 2013-07-18 2015-01-22 International Business Machines Corporation FIN Field Effect Transistors Having Multiple Threshold Voltages
US10109534B2 (en) 2014-03-14 2018-10-23 Applied Materials, Inc. Multi-threshold voltage (Vt) workfunction metal by selective atomic layer deposition (ALD)
WO2015138176A1 (en) * 2014-03-14 2015-09-17 Applied Materials, Inc. MULTI-THRESHOLD VOLTAGE (Vt) WORKFUNCTION METAL BY SELECTIVE ATOMIC LAYER DEPOSITION (ALD)
US10229910B2 (en) * 2016-09-30 2019-03-12 International Business Machines Corporation Separate N and P fin etching for reduced CMOS device leakage
US20180097002A1 (en) * 2016-09-30 2018-04-05 International Business Machines Corporation Separate n and p fin etching for reduced cmos device leakage
US11043519B2 (en) * 2017-07-27 2021-06-22 Canon Kabushiki Kaisha Image capturing apparatus comprising silicon nitride layer of different chlorine concentration, camera, and method of manufacturing image capturing apparatus
US20190035826A1 (en) * 2017-07-27 2019-01-31 Canon Kabushiki Kaisha Image capturing apparatus, camera, and method of manufacturing image capturing apparatus
US10510621B2 (en) * 2018-04-13 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Methods for threshold voltage tuning and structures formed thereby
US11121041B2 (en) 2018-04-13 2021-09-14 Taiwan Semiconductor Manufacturing Co., Ltd. Methods for threshold voltage tuning and structure formed thereby
US20190393097A1 (en) * 2018-06-22 2019-12-26 Tokyo Electron Limited Method for forming a nanowire device
US10847424B2 (en) * 2018-06-22 2020-11-24 Tokyo Electron Limited Method for forming a nanowire device
WO2021007536A1 (en) * 2019-07-11 2021-01-14 Tokyo Electron Limited Method for threshold voltage tuning through selective deposition of high-k metal gate (hkmg) film stacks
US11264289B2 (en) 2019-07-11 2022-03-01 Tokyo Electron Limited Method for threshold voltage tuning through selective deposition of high-K metal gate (HKMG) film stacks
US11189616B2 (en) 2019-09-17 2021-11-30 International Business Machines Corporation Multi-threshold voltage non-planar complementary metal-oxtde-semiconductor devices
US11605634B2 (en) 2019-09-17 2023-03-14 International Business Machines Corporation Multi-threshold voltage non-planar complementary metal-oxide-semiconductor devices
US11749680B2 (en) 2019-09-17 2023-09-05 International Business Machines Corporation Multi-threshold voltage non-planar complementary metal-oxide-semiconductor devices

Also Published As

Publication number Publication date
US7855105B1 (en) 2010-12-21

Similar Documents

Publication Publication Date Title
US7855105B1 (en) Planar and non-planar CMOS devices with multiple tuned threshold voltages
US8309447B2 (en) Method for integrating multiple threshold voltage devices for CMOS
US7432567B2 (en) Metal gate CMOS with at least a single gate metal and dual gate dielectrics
US7833849B2 (en) Method of fabricating a semiconductor structure including one device region having a metal gate electrode located atop a thinned polygate electrode
KR101055930B1 (en) High-performance CMOS circuit and its manufacturing method
US7999332B2 (en) Asymmetric semiconductor devices and method of fabricating
US7569466B2 (en) Dual metal gate self-aligned integration
US8105892B2 (en) Thermal dual gate oxide device integration
US9087784B2 (en) Structure and method of Tinv scaling for high k metal gate technology
US20080017936A1 (en) Semiconductor device structures (gate stacks) with charge compositions
US20120018813A1 (en) BARRIER COAT FOR ELIMINATION OF RESIST RESIDUES ON HIGH k/METAL GATE STACKS
US7911008B2 (en) SRAM cell having a rectangular combined active area for planar pass gate and planar pull-down NFETS
US7943458B2 (en) Methods for obtaining gate stacks with tunable threshold voltage and scaling
US8513085B2 (en) Structure and method to improve threshold voltage of MOSFETs including a high k dielectric

Legal Events

Date Code Title Description
AS Assignment

Owner name: INTERNATIONAL BUSINESS MACHINES CORPORATION, NEW Y

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:JAGANNATHAN, HEMANTH;NARAYANAN, VIJAY;PARUCHURI, VAMSI;SIGNING DATES FROM 20090611 TO 20090617;REEL/FRAME:022844/0940

STCF Information on status: patent grant

Free format text: PATENTED CASE

REMI Maintenance fee reminder mailed
FPAY Fee payment

Year of fee payment: 4

SULP Surcharge for late payment
AS Assignment

Owner name: GLOBALFOUNDRIES U.S. 2 LLC, NEW YORK

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:INTERNATIONAL BUSINESS MACHINES CORPORATION;REEL/FRAME:036550/0001

Effective date: 20150629

AS Assignment

Owner name: GLOBALFOUNDRIES INC., CAYMAN ISLANDS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:GLOBALFOUNDRIES U.S. 2 LLC;GLOBALFOUNDRIES U.S. INC.;REEL/FRAME:036779/0001

Effective date: 20150910

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 8TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1552)

Year of fee payment: 8

AS Assignment

Owner name: WILMINGTON TRUST, NATIONAL ASSOCIATION, DELAWARE

Free format text: SECURITY AGREEMENT;ASSIGNOR:GLOBALFOUNDRIES INC.;REEL/FRAME:049490/0001

Effective date: 20181127

AS Assignment

Owner name: GLOBALFOUNDRIES U.S. INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:GLOBALFOUNDRIES INC.;REEL/FRAME:054633/0001

Effective date: 20201022

AS Assignment

Owner name: GLOBALFOUNDRIES INC., CAYMAN ISLANDS

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:WILMINGTON TRUST, NATIONAL ASSOCIATION;REEL/FRAME:054636/0001

Effective date: 20201117

AS Assignment

Owner name: GLOBALFOUNDRIES U.S. INC., NEW YORK

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:WILMINGTON TRUST, NATIONAL ASSOCIATION;REEL/FRAME:056987/0001

Effective date: 20201117

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 12TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1553); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 12