US20090320749A1 - Apparatus for integrated surface treatment and deposition for copper interconnect - Google Patents

Apparatus for integrated surface treatment and deposition for copper interconnect Download PDF

Info

Publication number
US20090320749A1
US20090320749A1 US12/555,736 US55573609A US2009320749A1 US 20090320749 A1 US20090320749 A1 US 20090320749A1 US 55573609 A US55573609 A US 55573609A US 2009320749 A1 US2009320749 A1 US 2009320749A1
Authority
US
United States
Prior art keywords
substrate
integrated system
transfer module
ambient
module
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US12/555,736
Inventor
Hyungsuk Alexander Yoon
Mikhail Korolik
Fritz C. Redeker
John M. Boyd
Yezdi Dordi
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Individual
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US12/555,736 priority Critical patent/US20090320749A1/en
Publication of US20090320749A1 publication Critical patent/US20090320749A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76862Bombardment with particles, e.g. treatment in noble gas plasmas; UV irradiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating

Definitions

  • the semiconductor wafers include integrated circuit devices in the form of multi-level structures defined on a silicon substrate. At a substrate level, transistor devices with diffusion regions are formed. In subsequent levels, interconnect metallization lines are patterned and electrically connected to the transistor devices to define a desired integrated circuit device. Also, patterned conductive layers are insulated from other conductive layers by dielectric materials.
  • VLSI very large scale integration
  • ULSI ultra large scale integration
  • the shrinking dimensions of interconnect in VLSI and ULSI technologies have placed additional demands on the processing capabilities.
  • the widths of vias, contacts and other features, as well as the dielectric materials between them decrease to sub-micron dimensions (e.g., less than 0.20 micrometers or less), whereas the thickness of the dielectric layers remains substantially constant, with the result that the aspect ratios for the features, i.e., their height divided by width, increase.
  • Many traditional deposition processes have difficulty achieving substantially void-free and seam-free filling of sub-micron structures where the aspect ratio exceeds 4:1.
  • Conformal deposition of the barrier layer on interconnect features by deposition methods needs to occur on clean surfaces to ensure good adhesion between the barrier layer and/or liner layer, and the material(s) the barrier layer deposited upon.
  • Surface impurity can become a source of defects during the heating cycles of the substrate processing.
  • Pre-treatment can be used to remove unwanted compounds from the substrate surface prior to barrier deposition.
  • deposition by ALD might need surface pre-treatment to make the substrate surface easier to bond with the deposition precursor to improve the quality of barrier layer deposition.
  • Electro-migration is a well-known reliability problem for metal interconnects, caused by electrons pushing and moving metal atoms in the direction of current flow at a rate determined by the current density.
  • EM in copper lines is a surface phenomenon. It can occur wherever the copper is free to move, typically at an interface where there is poor adhesion between the copper and another material, such as at the copper/barrier or copper/liner interface. The quality and conformality of the barrier layer and/or liner layer can certainly affect the EM performance of copper interconnect. It is desirable to perform the ALD barrier and liner layer deposition right after the surface pre-treatment, since the pre-treated surface might be altered if the surface is exposed to oxygen or other contaminants for a period of time.
  • a post-treatment after barrier and/or liner layer deposition prior to the deposition of copper can improve the adhesion between the barrier or liner layer with copper by removing impurities from the substrate surface.
  • a post-treatment after barrier or liner layer deposition prior the deposition of a copper seed layer by electroless method can increase nucleation sites for copper seed layer deposition, which can improve the film quality of the copper seed layer.
  • the embodiments fill the needs for integrated systems that perform substrate surface treatment and film deposition for copper interconnect with improved metal migration performance and reduced void propagation. It should be appreciated that the present invention can be implemented in numerous ways, including as a solution, a method, a process, an apparatus, or a system. Several inventive embodiments of the present invention are described below.
  • an integrated system for depositing films on a substrate for copper interconnect includes a processing chamber having a plurality of proximity heads, and selected ones of the proximity heads are used for surface treatments and atomic layer depositions (ALDs).
  • the integrated system also includes a vacuum transfer module coupled to the processing chamber. The vacuum transfer module is used to transfer the substrate in the integrated system.
  • the integrated system includes a processing module for copper seed layer deposition.
  • the processing module for performing copper seed layer deposition is integrated with a rinse/dryer to enable dry-in/dry-out process capability and is filled with an inert gas to limit the exposure of the substrate to oxygen.
  • the integrated system includes a controlled-ambient transfer module coupled to the processing module for copper seed layer deposition, and a loadlock coupled to the vacuum transfer module and to the controlled-ambient transfer module.
  • the loadlock is used to assist transferring the substrate between the vacuum transfer module and to the controlled-ambient transfer module, wherein the integrated system enables controlled-ambient transitions within the integrated system to limit exposure of the substrate to uncontrolled ambient conditions outside of the integrated system.
  • an integrated system for depositing films on a substrate for copper interconnect includes a cassette for holding the substrate before and after the substrate being processed in the integrated system, and a processing chamber with a plurality of proximity heads. Selected ones of the proximity heads are used for surface treatments and atomic layer depositions (ALDs), and the processing chamber is configured to operate under vacuum.
  • the integrated system further includes a vacuum transfer module coupled to the processing chamber, and the vacuum transfer module is used to transfer the substrate in the integrated system.
  • the integrated system includes a first loadlock coupled to the cassette and to the vacuum transfer module and to the processing chamber with the plurality of proximity heads, and the first loadlock is used to assist transferring the substrate between the cassette and the vacuum transfer module.
  • the integrates system includes a processing module for copper seed layer deposition, and the processing module for performing copper seed layer deposition is integrated with a rinse/dryer to enable dry-in/dry-out process capability and is filled with an inert gas to limit the exposure of the substrate to oxygen.
  • the integrated system also includes a controlled-ambient transfer module coupled to the processing module for copper seed layer deposition, and a second loadlock coupled to the vacuum transfer module and to the controlled-ambient transfer module. The second loadlock is used to assist transferring the substrate between the vacuum transfer module and to the controlled-ambient transfer module.
  • the integrated system enables controlled-ambient transitions within the integrated system to limit exposure of the substrate to uncontrolled ambient conditions outside of the integrated system.
  • an integrated system for depositing films on a substrate for copper interconnect includes a processing chamber having a plurality of proximity heads and selected ones of the proximity heads are used for surface treatments and atomic layer depositions (ALDs).
  • the integrated system also includes a vacuum transfer module coupled to the processing chamber, and the vacuum transfer module is used to transfer the substrate in the integrated system.
  • the integrated system further includes a processing module for copper seed layer deposition, a controlled-ambient transfer module coupled to the processing module for copper seed layer deposition, and a loadlock coupled to the vacuum transfer module and to the controlled-ambient transfer module. The loadlock is used to assist transferring the substrate between the vacuum transfer module and to the controlled-ambient transfer module.
  • the controlled-ambient transfer module and the processing module for performing copper seed layer deposition are filled with an inert gas to limit the exposure of the substrate to oxygen.
  • the integrated system enables controlled-ambient transitions within the integrated system to limit exposure of the substrate to uncontrolled ambient conditions outside of the integrated system.
  • FIG. 1A show an exemplary cross section of an interconnect structure prior to barrier layer deposition, in accordance of an embodiment of the current invention.
  • FIG. 1B show an exemplary cross section of an interconnect structure after deposition of barrier layer deposition and copper, in accordance of an embodiment of the current invention.
  • FIG. 2 shows an exemplary ALD deposition cycle.
  • FIG. 3 shows a cross-sectional diagram of an ALD film grown with limited growth sites in the beginning of ALD deposition.
  • FIG. 4A shows a schematic diagram of a proximity head ALD chamber, in accordance with an embodiment of the current invention.
  • FIG. 4B shows a schematic diagram of a proximity head for ALD, in accordance with an embodiment of the current invention.
  • FIG. 4C shows a schematic diagram of a proximity head for ALD coupled to an RF power source over a substrate and a grounded substrate support, in accordance with an embodiment of the current invention.
  • FIG. 4D shows a schematic diagram of a thin film deposited by proximity head ALD, in accordance with an embodiment of the current invention.
  • FIG. 5A shows a schematic diagram of a chamber with a surface treatment proximity head, in accordance with an embodiment of the current invention.
  • FIG. 5B shows a schematic diagram of a proximity head for surface treatment, in accordance with an embodiment of the current invention.
  • FIG. 6A shows plurality of proximity heads for surface treatment and deposition over a substrate, in accordance with an embodiment of the current invention.
  • FIG. 6B shows plurality of proximity heads for surface treatment and deposition over a substrate, in accordance with another embodiment of the current invention.
  • FIG. 7A shows a process flow for surface treatment and film deposition for copper interconnect, in accordance with one embodiment of the current invention.
  • FIG. 7B shows an integrated system for surface treatment and film deposition for copper interconnect, in accordance with one embodiment of the current invention.
  • Substrate pre-treatment prior to barrier layer deposition can either remove surface contaminants or can activate surface for barrier layer atomic layer deposition (ALD).
  • Substrate post-treatment after film deposition can either remove surface contaminants or prepare the substrate surface for deposition of another film, such as a copper seed layer.
  • Pre-treatment and post-treatment proximity heads can be integrated with an atomic layer deposition (ALD) proximity head to complete the film deposition and surface treatment in one chamber.
  • the substrate can be moved into a copper seed layer deposition chamber in the same integrated system for copper seed layer deposition. The substrate is either transferred under vacuum or in a controlled ambient to limit the exposure to oxygen or other contaminants.
  • ALD barrier layer, ALD liner layer, and copper seed layer deposited on clean or activated surfaces yield good electro-migration (EM) performance, and avoid delamination and void propagation.
  • EM electro-migration
  • FIG. 1A shows an exemplary cross-section of an interconnect structure(s) after being patterned by using a dual damascene process sequence.
  • the interconnect structure(s) is on a substrate 50 and has a dielectric layer 100 , which was previously fabricated to form a metallization line 101 therein.
  • the metallization line is typically fabricated by etching a trench into the dielectric 100 and then filling the trench with a conductive material, such as copper.
  • the barrier layer 120 can be made of PVD tantalum nitride (TaN), PVD tantalum (Ta), ALD TaN, or a combination of these films. Other barrier layer materials can also be used. Alternatively, a liner layer can be deposited between the barrier layer 120 and the copper material 122 to increase the adhesion between the copper material 122 and the barrier layer 120 .
  • Another barrier layer 102 is deposited over the planarized copper material 122 to protect the copper material 122 from premature oxidation when via holes 114 are etched through overlying dielectric materials 104 , 106 to the barrier layer 102 .
  • the barrier layer 102 is also configured to function as a selective etch stop and a copper diffusion barrier. Exemplary barrier layer 102 materials include silicon nitride (SiN) or silicon carbide (SiC).
  • a via dielectric layer 104 is deposited over the barrier layer 102 .
  • the via dielectric layer 104 can be made of a material with a low dielectric constant.
  • a trench dielectric layer 106 Over the via dielectric layer 104 is a trench dielectric layer 106 .
  • the trench dielectric layer 106 may be a low K dielectric material, which can be a material same as or different from layer 104 .
  • both the via and trench dielectric layers are made of the same material, and deposited at the same time to form a continuous film.
  • the substrate 50 that holds the structure(s) undergoes patterning and etching processes to form the via holes 114 and trenches 116 by known art.
  • FIG. 1B shows that after the formation of via holes 114 and trenches 116 , a barrier layer 130 , an optional liner layer 131 , and a copper layer 132 are deposited to line and fill the via holes 114 and the trenches 116 .
  • the barrier layer 130 can be made by materials, such as tantalum nitride (TaN), tantalum (Ta), Ruthenium (Ru), or a hybrid combination of these films.
  • Barrier layer materials may be other refractory metal compound including but not limited to titanium (Ti), titanium nitride (TiN), tungsten (W), zirconium (Zr), hafnium (Hf), molybdenum (Mo), niobium (Nb), vanadium (V), and chromium (Cr), among others.
  • the optional liner layer 131 can be made by materials, such as tantalum (Ta), and Ruthenium (Ru).
  • Liner layer materials may be other refractory metal compound including but not limited to titanium (Ti), titanium nitride (TiN), tungsten (W), zirconium (Zr), hafnium (Hf), molybdenum (Mo), niobium (Nb), vanadium (V), and chromium (Cr), among others. While these are the commonly considered materials, other barrier layer and liner layer materials can also be used.
  • a copper layer 132 is then deposited to fill the via holes 114 and the trenches 116 .
  • a copper seed layer 133 can be deposited prior to the gap-filling copper film 132 is deposited.
  • the substrate surface can have residual contaminants left from etching the dielectric layers 104 , 106 and the barrier layer 102 to allow the metallic barrier layer 130 to be in contact with the copper material 122 .
  • a cleaning process such as Ar sputtering, can be used to remove surface contaminant.
  • conformal deposition of metallic barrier layer 130 by ALD might need surface pre-treatment to make the substrate surface easier to bond with the deposition precursor. The reason is described below.
  • Atomic layer deposition is known to produce thin film with good step coverage.
  • ALD is typically accomplished by using multiple pulses, such as two pulses, of reactants with gas purge in between, as shown in FIG. 2 .
  • a pulse of barrier-metal-containing reactant (M) 201 is delivered to the substrate surface, followed by a pulse of purging gas (P) 202 .
  • the pulse of barrier-metal-containing reactant 201 delivered to the substrate surface to form a monolayer of barrier metal, such as Ta, on the substrate surface.
  • the pulse of purging gas is a plasma-enhanced (or plasma-assisted) gas.
  • the barrier metal bonds to the substrate surface, which can be made of a dielectric material, such as low-k materials 104 , 106 of FIG. 1A , and/or a conductive material, such as copper material 122 of FIG. 1A .
  • the purge gas 202 removes the excess barrier-metal-containing reactant 201 from the substrate surface.
  • a pulse of reactant (B) 203 is delivered to the substrate surface.
  • the barrier material contains nitrogen, such as TaN
  • the reactant (B) 203 is likely to contain nitrogen.
  • the reactant (B) 203 can be nitrogen-containing gas to form TaN with the Ta on the substrate.
  • Examples of reactant (B) 203 include ammonia (NH 3 ), N 2 , and NO.
  • Other N-containing precursors gases may be used including but not limited to N x H y for x and y integers (e.g., N 2 H 4 ), N 2 plasma source, NH 2 N(CH 3 ) 2 , among others.
  • the reactant (B) 203 can be a hydrogen-containing reducing gas, such as H 2 .
  • H 2 is a reducing gas that reacts with the ligand bounding with the barrier-metal in reactant M 201 to terminate the film deposition.
  • a pulse of purging gas 204 is a pulse of purging gas 204 .
  • Reactants M, B, and purge gas P can be plasma enhanced or thermally excited.
  • the pulse of reactant (B) 203 is a plasma-enhanced (or plasma-assisted).
  • FIG. 3 shows an ALD film with islands 301 that are grown with limited growth sites in the beginning of ALD deposition. Between the islands 301 , there are voids 303 along the surface of the substrate.
  • Substrate surface such as SiO2 or low-k material, can be quite inert and not easy to bond with for barrier metal in the barrier-metal-containing reactant M.
  • one embodiment of the present invention is to pre-treat the surface of the substrate prior to ALD in order to make the surface more susceptible to ALD, due to more deposition sites.
  • the deposition rate (or throughput) for some barrier or liner layers, such as Ru, is considered too low from manufacturing standpoint.
  • new systems and methods of using a proximity head for ALD of barrier layer and/or liner layer are invented. Details of using a proximity head to deposit an ALD film are described in commonly assigned U.S. patent application Ser. No. 11/736,511, entitled “Apparatus and Method for Atomic Layer Deposition,” which is filed on the same day as the instant application. This application is incorporated herein by reference in its entirety.
  • the ALD proximity head is briefly introduced below.
  • FIG. 4A shows a schematic diagram of an ALD reactor 400 with a proximity head 430 .
  • reactor 400 there is a substrate 410 disposed on a substrate support 420 .
  • the proximity head 430 is supported above substrate 410 and covers only a portion of substrate surface. Between the proximity head 430 and the substrate 410 , there is a reaction volume 450 .
  • a gas inlet 440 and a vacuum line 465 are coupled to the proximity head 430 .
  • the gas inlet 440 supplies reactants and purging gas to process chamber 400 .
  • the gas inlet 440 can be coupled to a plurality of containers that store reactants and purging gas.
  • the gas inlet 440 can be coupled to a container 441 that stores a first reactant, such as reactant M described in FIG. 2 .
  • the gas inlet 440 can also be coupled to a container 443 that supplies a second reactant, such as reactant B described in FIG. 2 .
  • reactant B can be plasma assisted.
  • Reactant B can be supplied by a reactor 443 ′ that generate plasmarized reactant B.
  • the substrate support 420 can be coupled to a radio frequency (RF) generator to generate a plasma of reactant B when reactant B is dispensed into the reaction volume 450 , instead of supplying plasmarized reactant B from reactor 443 ′.
  • RF radio frequency
  • Another alternative is to couple an RF generator 473 to the proximity head 430 to generate plasma.
  • one electrode is coupled to the RF generator and the other electrode is grounded, during plasma generation.
  • the gas inlet 440 is coupled to a container 445 that stores a purging gas.
  • Reactant M, purging gas and reactant B can be diluted by a carrier gas, which can be an inert gas.
  • a carrier gas which can be an inert gas.
  • one of reactants M, B and purging gas P is supplied to the gas inlet 440 .
  • the on and off of gas supplies of these gas are controlled by valves 451 , 453 , and 454 .
  • the other end of the vacuum line 465 is a vacuum pump 460 .
  • the reaction volume 450 in FIG. 4 a is much smaller than the reaction volume in a conventional ALD chamber.
  • the deposition rate of proximity head ALD of barrier layer can be 10 times or higher than the deposition rate of conventional ALD.
  • FIG. 4B shows one embodiment of a proximity head 430 disposed above substrate 410 , with a reaction volume 450 between the proximity head 430 and substrate 410 .
  • the substrate surface under the reaction volume 450 is an active surface region 455 .
  • the proximity head 430 has one or more gas channels 411 that supplies reactant M, B, or purging gas P.
  • On both sides of the gas channel 411 there are vacuum channels 413 , 415 pumping excessive reactant M, B, purging gas, and/or reactant byproducts from the reaction volume 450 .
  • Reactant M, B, and purging gas P is passed through the gas channel 411 sequentially, such as the sequence shown in FIG. 2 .
  • Gas channel 411 is coupled to the gas inlet 440 .
  • the proximity head for ALD can also have multiple sides with different sides dispensing different types of processing gases. Rotating the proximity head from side to side allows the ALD cycle to be completed and a thin film being deposited.
  • FIG. 4C shows a schematic top view of an embodiment of proximity head 430 of FIGS. 4A and 4B on top of a substrate 410 .
  • Proximity head 430 moves across the substrate surface.
  • the length of the proximity head L PH is equal to or greater than the diameter of the substrate.
  • the reaction volume under the proximity head covers the substrate surface underneath.
  • the substrate 410 is moved under the proximity head 430 .
  • both the proximity head 430 and the substrate 410 move, but in opposite directions to cross each other. The thickness of the thin film deposited can be controlled by the speed the proximity head 430 move across the substrate 410 .
  • FIG. 4D shows a schematic cross-sectional diagram of a thin barrier or liner layer 420 deposited on a substrate 410 , in accordance with one embodiment of the current invention.
  • a small section 421 of thin barrier or liner layer 420 is deposited under the proximity head. After section 421 is deposited, the proximity is moved towards left to deposit another section 422 , which overlaps section 421 slightly.
  • Section 423 follows section 422
  • section 424 follows section 423 , and so on.
  • the deposition process stops and a complete thin film 410 is formed.
  • one embodiment of the present invention is to pre-treat the surface of the substrate prior to ALD in order to have the surface more susceptible to ALD.
  • the surface can be post-treated to remove any surface contaminant or to reduce impurities in the film, or to densify the film.
  • Post-treatment can also enhance nucleation of copper seed layer deposited by an electroless process in a similar mechanism described above for pre-treatment prior to barrier layer deposition. Copper seed layer with enhanced nucleation has better film quality and results in better reliability (such as EM performance) and avoids delamination and void propagation.
  • Surface pre-treatment and post-treatment can be performed by proximity heads.
  • proximity heads for surface treatment are described in commonly assigned U.S. patent application Ser. No. 11/736,514, entitled “Apparatus and Method for Pre and Post Treatment of Atomic Layer Deposition,” which is filed on the same day as the instant application. This application is incorporated herein by reference in its entirety. Surface treatment using proximity is briefly introduced below.
  • FIG. 5A shows a schematic diagram of a chamber 500 for substrate surface treatment with a proximity head 530 .
  • chamber 500 there is a substrate 510 disposed on a substrate support 520 .
  • the proximity head 530 is supported above substrate 510 .
  • Between the proximity head 530 and the substrate 510 there is a reaction volume 550 . Since the proximity head 530 only covers a portion of the substrate surface, the reaction volume 550 is much smaller than conventional surface treatment that applies to the entire substrate surface.
  • a gas inlet 540 and a vacuum line 565 are coupled to the proximity head 530 .
  • the other end of the vacuum line 565 is a pump 560 .
  • the gas inlet 540 supplies reactant gas to process chamber 500 .
  • the excess treatment gas is pumped away from the reaction volume 550 by the vacuum line 565 .
  • the gas inlet 540 can be coupled to a container 541 that stores a treatment gas, such as H 2 .
  • the treatment gas can be diluted with an inert gas.
  • the treatment gas can be plasma assisted.
  • the plasmarized treatment gas is supplied by a reactor 541 ′ that plasmarizes the treatment gas.
  • the substrate support 520 can be coupled to a radio frequency (RF) generator 570 to generate plasma to plasmarize treatment gas when treatment gas is dispensed into the reaction volume 550 , instead of supplying plasmarized treatment from reactor 541 ′.
  • RF radio frequency
  • Another alternative is to couple an RF generator 573 to the proximity head 530 to generate plasma.
  • the inert gas can be used to sustain chamber pressure or to sustain plasma.
  • FIG. 5B shows one embodiment of a proximity head 530 disposed above substrate 510 , with a reaction volume 450 between the proximity head 530 and substrate 510 .
  • the proximity head 530 has one or more gas channels 511 that supply treatment gas. On both sides of the gas channel 511 , there are vacuum channels 513 , 515 pumping excess treatment gas(es) from the reaction volume 550 .
  • Gas channel 511 is coupled the container of the treatment gas. When treatment gas is injected from the gas channel 511 to the substrate surface, the excess amount of gas is pumped away from the substrate surface by the vacuum channels 513 , 515 , which limits the reaction volume to be substantially below the proximity head 530 .
  • the processing gases for ALD by proximity head and the treatment gas for surface treatment by proximity head can be plasma-enhanced or excited by other means, such as by thermal excitation, by UV, or by laser.
  • ALD proximity head(s), pre-treatment proximity head(s), and/or post-treatment proximity head(s) can be integrated in one single process chamber to complete the deposition and treatment processes.
  • the substrate can be pre-treated to clean the substrate surface or the substrate surface can be pre-treated to prepare the surface for barrier layer ALD deposition, as discussed above.
  • the substrate surface can be posted-treated to prepare the surface for copper seed layer deposition.
  • the substrate is pre-treated, deposited with a barrier layer and a liner layer, and post-treated.
  • Pre-treatment proximity head 620 is used to pre-treat the substrate surface either to remove impurities or to prepare the substrate surface for ALD. Between the proximity head 620 and the surface of substrate 610 , there is a reaction volume 660 . The substrate surface below the reaction volume 660 is an active process region 670 . Between the proximity head 620 and the surface of substrate 610 , there is a reaction volume 660 . The substrate surface below the reaction volume 660 is an active process region 670 . Next to pre-treatment proximity head 620 is an ALD 1 proximity head 630 used to deposit a barrier layer on the substrate.
  • ALD 1 proximity head 630 is an ALD 2 proximity head 640 used to deposit a liner layer on the substrate.
  • the substrate is post-treated either to remove impurities or to prepare the substrate surface for copper seed layer deposition following.
  • the post-treatment is performed by a post-treatment proximity head 650 .
  • the various proximity heads move sequentially across the substrate surface to complete treatment and deposition surface. The treatment and deposition processes can occur simultaneously or in sequence.
  • the pre-treatment proximity head can move across the substrate with ALD 1 proximity head, ALD 2 proximity head, and post-treatment proximity head, but no treatment gas is dispensed from the pre-treatment proximity head.
  • FIG. 6A is only an example of integrating treatment proximity head with deposition proximity head. Other combinations are possible. For example, there could be a surface treatment after the barrier layer is deposited and before the deposition of the liner layer.
  • FIG. 6B shows an embodiment with a surface treatment between two deposition steps. Inter-treatment proximity head 635 is inserted between ALD 1 proximity head 630 and ALD 2 proximity head 640 .
  • the proximity head surface treatment chamber can be integrated with ALD proximity heads to complete surface treatment and barrier/liner layer(s) deposition in one process chamber. Details of integrating proximity heads for ALD with proximity heads for surface treatment are described in commonly assigned U.S. patent application Ser. No. 11/736,519, entitled “Apparatus and Method for Integrated Surface Treatment and Film Deposition,” which is filed on the same day as the instant application. The application is incorporated herein by reference in its entirety.
  • the gap distance between the proximity head and the substrate for surface treatment is small and is between about 5 mm to about 10 mm.
  • the gap distance between the proximity head and the substrate during ALD changes from side to side and is less than about 5 mm, such as 1 mm.
  • the gap distance between the different proximity head and substrate surface can be different for different proximity heads in the chamber.
  • the substrate is ready for electroless deposition (ELD) of copper seed layer.
  • ELD electroless deposition
  • the substrate should not be exposed to oxygen or other contaminants to ensure the surface is ready for depositing high-quality electroless copper seed layer.
  • the substrate should be transferred or processed in controlled environment, such as an environment under vacuum or an environment filled with an inert gas.
  • FIG. 7A shows an embodiment of a process flow 700 of depositing a barrier layer, an optional liner layer, an electroless copper seed layer, and a copper gap-fill layer to fill an interconnect structure.
  • the barrier layer and the optional liner layer are deposited in an integrated chamber that has the process capability of surface treatment.
  • the substrate is moved into a process chamber with integrated surface treatment and ALD deposition.
  • the integrated surface treatment and ALD deposition chamber uses proximity heads for surface treatment and ALD deposition, since proximity heads allow integration of multiple processing heads in one processing chamber.
  • the substrate surface is processed in the process chamber with integrated surface treatment and ALD deposition to deposit a barrier layer and an optional liner layer with surface treatment before and/or after film deposition.
  • the substrate surface before film deposition such as the one shown in FIG. 1A
  • the surface is either cleaned to remove surface contaminants or treated with a treatment gas to increase deposition grown sites, as described above.
  • substrate surface of the interconnect feature such as surface 122 a of FIG. 1A , could have been oxidized to have formed a metal oxide.
  • the metal oxide can be removed by an Ar sputtering process, a plasma process using a fluorine-containing gas, such as NF 3 , CF 4 , or a combination of both.
  • a fluorine-containing gas such as NF 3 , CF 4
  • the dielectric surfaces of openings 114 , 116 might need to be plasma treated to increase deposition sites to improve film quality, as described above.
  • barrier layer such as TaN
  • a liner layer, such as Ru might be needed before copper deposition.
  • the liner layer might not be needed.
  • the barrier layer is TaN and the thickness of the barrier layer is between about 20 ⁇ to about 200 ⁇ .
  • the liner layer is Ru and the thickness of the liner layer is between about 20 ⁇ to about 200 ⁇ .
  • the substrate can be post-treated, as described above, to remove surface contaminants or to prepare the substrate surface copper seed layer deposition. Therefore, the integrated chamber can include a proximity head for post-treatment.
  • the barrier layer is hydrogen-plasma treated to produce a metal-rich surface on the Ta, TaN, or Ru layer to provide a catalytic surface for the subsequent copper seed deposition step.
  • the substrate is moved into a copper seed layer deposition chamber.
  • a copper seed layer is deposited.
  • the thickness of the copper seed layer is between about 25 ⁇ to about 200 ⁇ .
  • the thickness of the copper seed layer is between about 50 ⁇ to about 100 ⁇ .
  • the copper seed layer is deposited by an electroless process.
  • the thick copper bulk fill process can be deposited by an electroless deposition (ELD) process or by an electrochemical plating (ECP) process.
  • ELD electroless deposition
  • ECP electrochemical plating
  • step 711 a copper gap fill layer is deposited.
  • Electroless copper deposition and ECP are well-known wet process.
  • the reactor needs to be integrated with a rinse/dryer to enable dry-in/dry-out process capability.
  • the system needs to be filled with inert gas to ensure minimal exposure of the substrate to oxygen.
  • a dry-in/dry-out electroless copper process has been developed.
  • all fluids used in the process are de-gassed, i.e. dissolved oxygen is removed by commercially available degassing systems. Details of apparatus and methods of integrating wet and dry processes are described in commonly assigned U.S. patent application Ser. No. 11/514,038, entitled “Processes and Systems for Engineering a Barrier Surface for Copper Deposition” filed on Aug. 30, 2006, which is incorporated herein by reference in its entirety.
  • the electroless deposition process can be carried out in a number of ways, such as puddle-plating, where fluid is dispensed onto a substrate and is allowed to react in a static mode, after which the reactants are removed and discarded, or reclaimed.
  • the process uses a proximity process head to limit the electroless process liquid is only in contact with the substrate surface on a limited region. The substrate surface not under the proximity process head is dry. Details of such process and system can be found in U.S. application Ser. No. 10/607,611, titled “Apparatus And Method For Depositing And Planarizing Thin Films Of Semiconductor Wafers,” filed on Jun. 27, 2003, and U.S. application Ser. No. 10/879,263, titled “Method and Apparatus For Plating Semiconductor Wafers,” filed on Jun. 28, 2004, both of which are incorporated herein in their entireties.
  • the substrate can be optionally moved into a substrate cleaning chamber to undergo an optional substrate cleaning at step 713 .
  • Post-copper-deposition clean can be accomplished by using a brush scrub clean with a chemical solution, such as a solution containing CP72B supplied by Air Products and Chemical, Inc. of Allentown, Pa.
  • a chemical solution such as a solution containing CP72B supplied by Air Products and Chemical, Inc. of Allentown, Pa.
  • Other substrate surface cleaning processes can also be used.
  • FIG. 7B shows an embodiment of a schematic diagram of an integrated system 750 that allows minimal exposure of substrate surface to oxygen or other contaminants after barrier surface preparation.
  • the substrate is transferred from one process station immediately to the next process station, limiting the duration that the clean or treated barrier layer or liner layer surface is exposed to oxygen.
  • the integrated system 750 can be used to process substrate(s) through the process sequence of flow 700 of FIG. 7A .
  • the pre-treatment and post-treatment for barrier/liner layer deposition, ALD of barrier and liner layers, and electroless deposition of copper seed layer, copper gap-fill layer deposition, and the optional post copper gap-fill deposition involve a mixture of dry and wet processes.
  • the wet processes are typically operated near atmosphere, while the dry plasma processes are operated at less than 1 Torr. Therefore, the integrated system needs to be able to handle a mixture of dry and wet processes.
  • the integrated system 750 has 2 substrate transfer modules 755 , and 757 .
  • Transfer modules 755 and 757 are equipped with robots to move substrate 751 from one process area to another process area.
  • the process area could be a substrate cassette, a reactor, or a loadlock.
  • Substrate transfer module 755 is operated under vacuum, at a pressure less than about 1 Torr.
  • Substrate transfer module 755 is coupled to a process chamber 756 for integrated surface treatment and ALD, which is also operated under vacuum, at a pressure less than 1 Torr.
  • vacuum transfer module 755 interfaces with a substrate loader (or substrate cassette) 752 to bring the substrate 751 into the integrated system or to return the substrate to the cassette 752 .
  • a loadlock 753 to assist transferring the substrate between the atmospheric cassette 752 and the vacuum transfer module 755 , which is operated under vacuum at a pressure compatible with processing chamber(s), such as processing chamber 756 , attached.
  • processing chamber(s) such as processing chamber 756
  • the pressure of the loadlock 753 is first being brought to be atmospheric to allow the substrate 751 to be transferred from the atmospheric cassette 752 to the loadlock 753 .
  • the loadlock 753 is pumped to be in vacuum to allow the substrate 751 to be transferred from the loadlock 753 to the vacuum transfer module 755 .
  • the substrate 751 is brought to the integrated system 750 to deposit barrier/liner layer(s) and copper seed layer, and a copper gap-fill layer.
  • substrate 751 is moved to process module 756 with a chamber 756 for integrated surface treatment and ALD barrier/liner deposition.
  • the surface treatment and ALD barrier/liner deposition are performed with proximity heads, such as the ones in FIG. 6A .
  • the surface treatment processes, ALD barrier deposition, and ALD liner deposition described in FIG. 6A are all dry processes and are all operated below 1 Torr.
  • Electroless copper deposition and electro-chemical plating are well-known wet processes. As discussed above, for a wet process to be integrated in a system with controlled processing and transporting environment, which has been described above, the reactor needs to be integrated with a rinse/dryer to enable dry-in/dry-out process capability. In addition, the system needs to be filled with inert gas to ensure minimal exposure of the substrate to oxygen. Recently, a dry-in/dry-out electroless copper process has been developed. Further, all fluids used in the process are de-gassed, i.e. dissolved oxygen is removed by commercially available degassing systems.
  • Both ELD copper and ECP copper processing modules need to be integrated with a transfer module with controlled ambient; therefore, the substrate transport module 757 is operating under controlled-ambient to limit the exposure of substrate to oxygen or contaminants.
  • the substrate transport module 757 is filled with an inert gas and operated at atmospheric pressure.
  • Substrate 751 is moved from processing chamber 756 to ELD copper processing module 758 for copper seed layer deposition, as described in steps 705 and 707 .
  • the substrate 751 is moved to ECP copper module 759 for copper gap-fill deposition, as described in step 709 and 711 .
  • ECP gap-fill the substrate 751 could be moved into a cleaning module 761 and undergoes a substrate cleaning, as described in step 713 .
  • the cleaning after ECP copper deposition is optional.
  • the ECP processing module has an integrated rinse/dry, which might have sufficiently cleaned the substrate.

Abstract

An integrated system for depositing films on a substrate for copper interconnect is provided. The system includes a processing chamber with a plurality of proximity heads, and a vacuum transfer module coupled to the processing chamber. Selected ones of the proximity heads are used for surface treatments and atomic layer depositions (ALDs). The system further includes a processing module for copper seed layer deposition, which is integrated with a rinse/dryer to enable dry-in/dry-out process capability and is filled with an inert gas to limit the exposure of the substrate to oxygen. Additionally, the system includes a controlled-ambient transfer module coupled to the processing module for copper seed layer deposition. Further, the system includes a loadlock coupled to the vacuum transfer module and to the controlled-ambient transfer module. The integrated system enables controlled-ambient transitions within the system to limit exposure of the substrate to uncontrolled ambient conditions outside of the system.

Description

    CLAIM OF PRIORITY
  • This application is a divisional application of U.S. application Ser. No. 11/736,522, entitled “Apparatus and Method for Integrated Surface Treatment and Deposition for Copper Interconnect”, which claims priority from U.S. application Ser. No. 11/514,038, entitled “Processes and Systems for Engineering a Barrier Surface for Copper Deposition” filed on Aug. 30, 2006, all of which are herein incorporated by reference.
  • CROSS REFERENCE TO RELATED APPLICATION
  • This application is related to U.S. patent application Ser. No. 11/736,514, filed on Apr. 17, 2007 and entitled “Apparatus and Method for Pre and Post Treatment of Atomic Layer Deposition,” U.S. patent application Ser. No. 11/736,511, filed on Apr. 17, 2007 and entitled “Apparatus and Method for Atomic Layer Deposition,” and U.S. patent application Ser. No. 11/736,519, filed on Apr. 17, 2007 and entitled “Apparatus and Method for Integrated Surface Treatment and Film Deposition.” The disclosure of these related applications is incorporated herein by reference in their entireties for all purposes.
  • BACKGROUND
  • In the fabrication of semiconductor devices such as integrated circuits, memory cells, and the like, a series of manufacturing operations are performed to define features on semiconductor wafers. The semiconductor wafers include integrated circuit devices in the form of multi-level structures defined on a silicon substrate. At a substrate level, transistor devices with diffusion regions are formed. In subsequent levels, interconnect metallization lines are patterned and electrically connected to the transistor devices to define a desired integrated circuit device. Also, patterned conductive layers are insulated from other conductive layers by dielectric materials.
  • Reliably producing sub-micron and smaller features is one of the key technologies for the next generation of very large scale integration (VLSI) and ultra large scale integration (ULSI) of semiconductor devices. However, the shrinking dimensions of interconnect in VLSI and ULSI technologies have placed additional demands on the processing capabilities. As circuit densities increase, the widths of vias, contacts and other features, as well as the dielectric materials between them, decrease to sub-micron dimensions (e.g., less than 0.20 micrometers or less), whereas the thickness of the dielectric layers remains substantially constant, with the result that the aspect ratios for the features, i.e., their height divided by width, increase. Many traditional deposition processes have difficulty achieving substantially void-free and seam-free filling of sub-micron structures where the aspect ratio exceeds 4:1.
  • Currently, copper and its alloys have become the metals of choice for sub-micron interconnect technology due to its lower resistivity. One problem with the use of copper is that copper diffuses into silicon, silicon dioxide, and other dielectric materials, which may compromise the integrity of devices. Therefore, conformal barrier layers become increasingly important to prevent copper diffusion. Copper might not adhere well to the barrier layer; therefore, a liner layer might need to be deposited between the barrier layer and copper. Conformal deposition of the liner layer is also important to provide good step coverage to assist copper adhesion and/or deposition.
  • Conformal deposition of the barrier layer on interconnect features by deposition methods, such as atomic layer deposition (ALD), needs to occur on clean surfaces to ensure good adhesion between the barrier layer and/or liner layer, and the material(s) the barrier layer deposited upon. Surface impurity can become a source of defects during the heating cycles of the substrate processing. Pre-treatment can be used to remove unwanted compounds from the substrate surface prior to barrier deposition. In addition, deposition by ALD might need surface pre-treatment to make the substrate surface easier to bond with the deposition precursor to improve the quality of barrier layer deposition.
  • Electro-migration (EM) is a well-known reliability problem for metal interconnects, caused by electrons pushing and moving metal atoms in the direction of current flow at a rate determined by the current density. EM in copper lines is a surface phenomenon. It can occur wherever the copper is free to move, typically at an interface where there is poor adhesion between the copper and another material, such as at the copper/barrier or copper/liner interface. The quality and conformality of the barrier layer and/or liner layer can certainly affect the EM performance of copper interconnect. It is desirable to perform the ALD barrier and liner layer deposition right after the surface pre-treatment, since the pre-treated surface might be altered if the surface is exposed to oxygen or other contaminants for a period of time.
  • A post-treatment after barrier and/or liner layer deposition prior to the deposition of copper can improve the adhesion between the barrier or liner layer with copper by removing impurities from the substrate surface. In addition, a post-treatment after barrier or liner layer deposition prior the deposition of a copper seed layer by electroless method can increase nucleation sites for copper seed layer deposition, which can improve the film quality of the copper seed layer.
  • In view of the foregoing, there is a need for integrated systems and methods that perform substrate surface treatment and film deposition for copper interconnect with improved metal migration performance and reduced void propagation.
  • SUMMARY
  • Broadly speaking, the embodiments fill the needs for integrated systems that perform substrate surface treatment and film deposition for copper interconnect with improved metal migration performance and reduced void propagation. It should be appreciated that the present invention can be implemented in numerous ways, including as a solution, a method, a process, an apparatus, or a system. Several inventive embodiments of the present invention are described below.
  • In one embodiment, an integrated system for depositing films on a substrate for copper interconnect is provided. The integrated system includes a processing chamber having a plurality of proximity heads, and selected ones of the proximity heads are used for surface treatments and atomic layer depositions (ALDs). The integrated system also includes a vacuum transfer module coupled to the processing chamber. The vacuum transfer module is used to transfer the substrate in the integrated system. Further, the integrated system includes a processing module for copper seed layer deposition. The processing module for performing copper seed layer deposition is integrated with a rinse/dryer to enable dry-in/dry-out process capability and is filled with an inert gas to limit the exposure of the substrate to oxygen. Additionally, the integrated system includes a controlled-ambient transfer module coupled to the processing module for copper seed layer deposition, and a loadlock coupled to the vacuum transfer module and to the controlled-ambient transfer module. The loadlock is used to assist transferring the substrate between the vacuum transfer module and to the controlled-ambient transfer module, wherein the integrated system enables controlled-ambient transitions within the integrated system to limit exposure of the substrate to uncontrolled ambient conditions outside of the integrated system.
  • In another embodiment, an integrated system for depositing films on a substrate for copper interconnect is provided. The integrated system includes a cassette for holding the substrate before and after the substrate being processed in the integrated system, and a processing chamber with a plurality of proximity heads. Selected ones of the proximity heads are used for surface treatments and atomic layer depositions (ALDs), and the processing chamber is configured to operate under vacuum. The integrated system further includes a vacuum transfer module coupled to the processing chamber, and the vacuum transfer module is used to transfer the substrate in the integrated system. In addition, the integrated system includes a first loadlock coupled to the cassette and to the vacuum transfer module and to the processing chamber with the plurality of proximity heads, and the first loadlock is used to assist transferring the substrate between the cassette and the vacuum transfer module. Additionally, the integrates system includes a processing module for copper seed layer deposition, and the processing module for performing copper seed layer deposition is integrated with a rinse/dryer to enable dry-in/dry-out process capability and is filled with an inert gas to limit the exposure of the substrate to oxygen. The integrated system also includes a controlled-ambient transfer module coupled to the processing module for copper seed layer deposition, and a second loadlock coupled to the vacuum transfer module and to the controlled-ambient transfer module. The second loadlock is used to assist transferring the substrate between the vacuum transfer module and to the controlled-ambient transfer module. The integrated system enables controlled-ambient transitions within the integrated system to limit exposure of the substrate to uncontrolled ambient conditions outside of the integrated system.
  • In yet another embodiment, an integrated system for depositing films on a substrate for copper interconnect is provided. The integrated system includes a processing chamber having a plurality of proximity heads and selected ones of the proximity heads are used for surface treatments and atomic layer depositions (ALDs). The integrated system also includes a vacuum transfer module coupled to the processing chamber, and the vacuum transfer module is used to transfer the substrate in the integrated system. The integrated system further includes a processing module for copper seed layer deposition, a controlled-ambient transfer module coupled to the processing module for copper seed layer deposition, and a loadlock coupled to the vacuum transfer module and to the controlled-ambient transfer module. The loadlock is used to assist transferring the substrate between the vacuum transfer module and to the controlled-ambient transfer module. The controlled-ambient transfer module and the processing module for performing copper seed layer deposition are filled with an inert gas to limit the exposure of the substrate to oxygen. The integrated system enables controlled-ambient transitions within the integrated system to limit exposure of the substrate to uncontrolled ambient conditions outside of the integrated system.
  • Other aspects and advantages of the invention will become apparent from the following detailed description, taken in conjunction with the accompanying drawings, illustrating by way of example the principles of the invention.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The present invention will be readily understood by the following detailed description in conjunction with the accompanying drawings, and like reference numerals designate like structural elements.
  • FIG. 1A show an exemplary cross section of an interconnect structure prior to barrier layer deposition, in accordance of an embodiment of the current invention.
  • FIG. 1B show an exemplary cross section of an interconnect structure after deposition of barrier layer deposition and copper, in accordance of an embodiment of the current invention.
  • FIG. 2 shows an exemplary ALD deposition cycle.
  • FIG. 3 shows a cross-sectional diagram of an ALD film grown with limited growth sites in the beginning of ALD deposition.
  • FIG. 4A shows a schematic diagram of a proximity head ALD chamber, in accordance with an embodiment of the current invention.
  • FIG. 4B shows a schematic diagram of a proximity head for ALD, in accordance with an embodiment of the current invention.
  • FIG. 4C shows a schematic diagram of a proximity head for ALD coupled to an RF power source over a substrate and a grounded substrate support, in accordance with an embodiment of the current invention.
  • FIG. 4D shows a schematic diagram of a thin film deposited by proximity head ALD, in accordance with an embodiment of the current invention.
  • FIG. 5A shows a schematic diagram of a chamber with a surface treatment proximity head, in accordance with an embodiment of the current invention.
  • FIG. 5B shows a schematic diagram of a proximity head for surface treatment, in accordance with an embodiment of the current invention.
  • FIG. 6A shows plurality of proximity heads for surface treatment and deposition over a substrate, in accordance with an embodiment of the current invention.
  • FIG. 6B shows plurality of proximity heads for surface treatment and deposition over a substrate, in accordance with another embodiment of the current invention.
  • FIG. 7A shows a process flow for surface treatment and film deposition for copper interconnect, in accordance with one embodiment of the current invention.
  • FIG. 7B shows an integrated system for surface treatment and film deposition for copper interconnect, in accordance with one embodiment of the current invention.
  • DETAILED DESCRIPTION OF THE EXEMPLARY EMBODIMENTS
  • Several exemplary embodiments of integrated apparatus (or systems) and methods for substrate surface treatment and film deposition for copper interconnect are detailed. Substrate pre-treatment prior to barrier layer deposition can either remove surface contaminants or can activate surface for barrier layer atomic layer deposition (ALD). Substrate post-treatment after film deposition can either remove surface contaminants or prepare the substrate surface for deposition of another film, such as a copper seed layer. Pre-treatment and post-treatment proximity heads can be integrated with an atomic layer deposition (ALD) proximity head to complete the film deposition and surface treatment in one chamber. Afterwards, the substrate can be moved into a copper seed layer deposition chamber in the same integrated system for copper seed layer deposition. The substrate is either transferred under vacuum or in a controlled ambient to limit the exposure to oxygen or other contaminants. ALD barrier layer, ALD liner layer, and copper seed layer deposited on clean or activated surfaces yield good electro-migration (EM) performance, and avoid delamination and void propagation.
  • It should be appreciated that the present invention can be implemented in numerous ways, including a process, a method, an apparatus, or a system. Several inventive embodiments of the present invention are described below. It will be apparent to those skilled in the art that the present invention may be practiced without some or all of the specific details set forth herein.
  • FIG. 1A shows an exemplary cross-section of an interconnect structure(s) after being patterned by using a dual damascene process sequence. The interconnect structure(s) is on a substrate 50 and has a dielectric layer 100, which was previously fabricated to form a metallization line 101 therein. The metallization line is typically fabricated by etching a trench into the dielectric 100 and then filling the trench with a conductive material, such as copper.
  • In the trench, there is a barrier layer 120, used to prevent the copper material 122, from diffusing into the dielectric 100. The barrier layer 120 can be made of PVD tantalum nitride (TaN), PVD tantalum (Ta), ALD TaN, or a combination of these films. Other barrier layer materials can also be used. Alternatively, a liner layer can be deposited between the barrier layer 120 and the copper material 122 to increase the adhesion between the copper material 122 and the barrier layer 120. Another barrier layer 102 is deposited over the planarized copper material 122 to protect the copper material 122 from premature oxidation when via holes 114 are etched through overlying dielectric materials 104, 106 to the barrier layer 102. The barrier layer 102 is also configured to function as a selective etch stop and a copper diffusion barrier. Exemplary barrier layer 102 materials include silicon nitride (SiN) or silicon carbide (SiC).
  • A via dielectric layer 104 is deposited over the barrier layer 102. The via dielectric layer 104 can be made of a material with a low dielectric constant. Over the via dielectric layer 104 is a trench dielectric layer 106. The trench dielectric layer 106 may be a low K dielectric material, which can be a material same as or different from layer 104. In one embodiment, both the via and trench dielectric layers are made of the same material, and deposited at the same time to form a continuous film. After the trench dielectric layer 106 is deposited, the substrate 50 that holds the structure(s) undergoes patterning and etching processes to form the via holes 114 and trenches 116 by known art.
  • FIG. 1B shows that after the formation of via holes 114 and trenches 116, a barrier layer 130, an optional liner layer 131, and a copper layer 132 are deposited to line and fill the via holes 114 and the trenches 116. The barrier layer 130 can be made by materials, such as tantalum nitride (TaN), tantalum (Ta), Ruthenium (Ru), or a hybrid combination of these films. Barrier layer materials may be other refractory metal compound including but not limited to titanium (Ti), titanium nitride (TiN), tungsten (W), zirconium (Zr), hafnium (Hf), molybdenum (Mo), niobium (Nb), vanadium (V), and chromium (Cr), among others.
  • The optional liner layer 131 can be made by materials, such as tantalum (Ta), and Ruthenium (Ru). Liner layer materials may be other refractory metal compound including but not limited to titanium (Ti), titanium nitride (TiN), tungsten (W), zirconium (Zr), hafnium (Hf), molybdenum (Mo), niobium (Nb), vanadium (V), and chromium (Cr), among others. While these are the commonly considered materials, other barrier layer and liner layer materials can also be used. A copper layer 132 is then deposited to fill the via holes 114 and the trenches 116. A copper seed layer 133 can be deposited prior to the gap-filling copper film 132 is deposited.
  • As discussed above, before depositing a metallic barrier layer 130, the substrate surface can have residual contaminants left from etching the dielectric layers 104, 106 and the barrier layer 102 to allow the metallic barrier layer 130 to be in contact with the copper material 122. A cleaning process, such as Ar sputtering, can be used to remove surface contaminant. Also as discussed above, conformal deposition of metallic barrier layer 130 by ALD might need surface pre-treatment to make the substrate surface easier to bond with the deposition precursor. The reason is described below.
  • Atomic layer deposition (ALD) is known to produce thin film with good step coverage. ALD is typically accomplished by using multiple pulses, such as two pulses, of reactants with gas purge in between, as shown in FIG. 2. For metallic barrier deposition, a pulse of barrier-metal-containing reactant (M) 201 is delivered to the substrate surface, followed by a pulse of purging gas (P) 202. The pulse of barrier-metal-containing reactant 201 delivered to the substrate surface to form a monolayer of barrier metal, such as Ta, on the substrate surface. In one embodiment, the pulse of purging gas is a plasma-enhanced (or plasma-assisted) gas. The barrier metal, such as Ta, bonds to the substrate surface, which can be made of a dielectric material, such as low- k materials 104, 106 of FIG. 1A, and/or a conductive material, such as copper material 122 of FIG. 1A. The purge gas 202 removes the excess barrier-metal-containing reactant 201 from the substrate surface.
  • Following the pulse of the purging gas 202, a pulse of reactant (B) 203 is delivered to the substrate surface. If the barrier material contains nitrogen, such as TaN, the reactant (B) 203 is likely to contain nitrogen. The reactant (B) 203 can be nitrogen-containing gas to form TaN with the Ta on the substrate. Examples of reactant (B) 203 include ammonia (NH3), N2, and NO. Other N-containing precursors gases may be used including but not limited to NxHy for x and y integers (e.g., N2H4), N2 plasma source, NH2N(CH3)2, among others. If the barrier material contains little or no nitrogen, the reactant (B) 203 can be a hydrogen-containing reducing gas, such as H2. H2 is a reducing gas that reacts with the ligand bounding with the barrier-metal in reactant M 201 to terminate the film deposition. Following pulse 203 is a pulse of purging gas 204. Reactants M, B, and purge gas P can be plasma enhanced or thermally excited. In one embodiment, the pulse of reactant (B) 203 is a plasma-enhanced (or plasma-assisted).
  • However, in some situations, the substrate surface does not possess ample bonding sites for all the potential locations on the surface. Accordingly, the barrier-metal-containing reactant M (or precursor) bonding to the surface can result in the formation of islands and grains which are sufficiently far apart to form poor quality ALD film. FIG. 3 shows an ALD film with islands 301 that are grown with limited growth sites in the beginning of ALD deposition. Between the islands 301, there are voids 303 along the surface of the substrate. Substrate surface, such as SiO2 or low-k material, can be quite inert and not easy to bond with for barrier metal in the barrier-metal-containing reactant M. Surface treatment by OH, O, or O radical exposure can efficiently insert HOH into the SiOSi to generate 2 Si—OH surface species that are highly reactive with the barrier-metal-containing reactant M. The introduction of the pre-treatment plasma into the processing chamber containing the substrate can result in the formation of surface species at various desired bonding sites. In order to grow continuous interfaces and films, one embodiment of the present invention is to pre-treat the surface of the substrate prior to ALD in order to make the surface more susceptible to ALD, due to more deposition sites.
  • Due to the relatively long deposition cycle of conventional ALD process, the deposition rate (or throughput) for some barrier or liner layers, such as Ru, is considered too low from manufacturing standpoint. In order to improve the deposition rate, new systems and methods of using a proximity head for ALD of barrier layer and/or liner layer are invented. Details of using a proximity head to deposit an ALD film are described in commonly assigned U.S. patent application Ser. No. 11/736,511, entitled “Apparatus and Method for Atomic Layer Deposition,” which is filed on the same day as the instant application. This application is incorporated herein by reference in its entirety. The ALD proximity head is briefly introduced below.
  • FIG. 4A shows a schematic diagram of an ALD reactor 400 with a proximity head 430. In reactor 400, there is a substrate 410 disposed on a substrate support 420. The proximity head 430 is supported above substrate 410 and covers only a portion of substrate surface. Between the proximity head 430 and the substrate 410, there is a reaction volume 450.
  • A gas inlet 440 and a vacuum line 465 are coupled to the proximity head 430. The gas inlet 440 supplies reactants and purging gas to process chamber 400. The gas inlet 440 can be coupled to a plurality of containers that store reactants and purging gas. The gas inlet 440 can be coupled to a container 441 that stores a first reactant, such as reactant M described in FIG. 2. The gas inlet 440 can also be coupled to a container 443 that supplies a second reactant, such as reactant B described in FIG. 2. As described above, reactant B can be plasma assisted. Reactant B can be supplied by a reactor 443′ that generate plasmarized reactant B. Alternatively, the substrate support 420 can be coupled to a radio frequency (RF) generator to generate a plasma of reactant B when reactant B is dispensed into the reaction volume 450, instead of supplying plasmarized reactant B from reactor 443′. Another alternative is to couple an RF generator 473 to the proximity head 430 to generate plasma. In one embodiment, one electrode is coupled to the RF generator and the other electrode is grounded, during plasma generation.
  • The gas inlet 440 is coupled to a container 445 that stores a purging gas. Reactant M, purging gas and reactant B can be diluted by a carrier gas, which can be an inert gas. During ALD deposition cycles, one of reactants M, B and purging gas P is supplied to the gas inlet 440. The on and off of gas supplies of these gas are controlled by valves 451, 453, and 454. The other end of the vacuum line 465 is a vacuum pump 460. The reaction volume 450 in FIG. 4 a is much smaller than the reaction volume in a conventional ALD chamber. The deposition rate of proximity head ALD of barrier layer can be 10 times or higher than the deposition rate of conventional ALD.
  • FIG. 4B shows one embodiment of a proximity head 430 disposed above substrate 410, with a reaction volume 450 between the proximity head 430 and substrate 410. The substrate surface under the reaction volume 450 is an active surface region 455. The proximity head 430 has one or more gas channels 411 that supplies reactant M, B, or purging gas P. On both sides of the gas channel 411, there are vacuum channels 413, 415 pumping excessive reactant M, B, purging gas, and/or reactant byproducts from the reaction volume 450. Reactant M, B, and purging gas P is passed through the gas channel 411 sequentially, such as the sequence shown in FIG. 2. Gas channel 411 is coupled to the gas inlet 440. When a pulse of gas, either reactant M, B, or purging gas P, is injected from the gas channel 411 to the substrate surface, the excess amount of gas is pumped away from the substrate surface by the vacuum channels 413, 415, which keeps the reaction volume small and reduces the purging or pumping time. Since the reaction volume is small, only small amount of reactant is needed to cover the small reaction volume. Similarly only small amount of purging gas is needed to purge the excess reactant from the reaction volume 450. In addition, the vacuum channels are right near the small reaction volume 450, which assists the pumping and purging of the excess reactants, purging gas, and reaction byproducts from the substrate surface. As a consequence, the pulse times ΔTM, ΔTB, ΔTP1, and ΔTP2 for reactants M, B, and purging gas P respectively, can be greatly reduced.
  • As a consequence, the ALD cycle time can be reduced and the throughput can be increased. Details of why ALD by proximity head has higher throughput than conventional ALD are discussed commonly assigned U.S. patent application Ser. No. 11/736,511, entitled “Apparatus and Method for Atomic Layer Deposition,” which is mentioned above.
  • The proximity head for ALD can also have multiple sides with different sides dispensing different types of processing gases. Rotating the proximity head from side to side allows the ALD cycle to be completed and a thin film being deposited.
  • FIG. 4C shows a schematic top view of an embodiment of proximity head 430 of FIGS. 4A and 4B on top of a substrate 410. Proximity head 430 moves across the substrate surface. In this embodiment, the length of the proximity head LPH is equal to or greater than the diameter of the substrate. The reaction volume under the proximity head covers the substrate surface underneath. By moving the proximity head across the substrate once, the entire substrate surface is deposited with a thin film of the barrier or liner layer. In another embodiment, the substrate 410 is moved under the proximity head 430. In yet another embodiment, both the proximity head 430 and the substrate 410 move, but in opposite directions to cross each other. The thickness of the thin film deposited can be controlled by the speed the proximity head 430 move across the substrate 410.
  • FIG. 4D shows a schematic cross-sectional diagram of a thin barrier or liner layer 420 deposited on a substrate 410, in accordance with one embodiment of the current invention. At the edge of substrate 410, a small section 421 of thin barrier or liner layer 420 is deposited under the proximity head. After section 421 is deposited, the proximity is moved towards left to deposit another section 422, which overlaps section 421 slightly. Section 423 follows section 422, and section 424 follows section 423, and so on. At the other edge of the substrate, the deposition process stops and a complete thin film 410 is formed.
  • As discussed above, in order to grow continuous interfaces and films, one embodiment of the present invention is to pre-treat the surface of the substrate prior to ALD in order to have the surface more susceptible to ALD. In addition, after barrier layer and/or liner layer is deposited on the substrate surface, the surface can be post-treated to remove any surface contaminant or to reduce impurities in the film, or to densify the film. Post-treatment can also enhance nucleation of copper seed layer deposited by an electroless process in a similar mechanism described above for pre-treatment prior to barrier layer deposition. Copper seed layer with enhanced nucleation has better film quality and results in better reliability (such as EM performance) and avoids delamination and void propagation. Surface pre-treatment and post-treatment can be performed by proximity heads. Details of using proximity heads for surface treatment are described in commonly assigned U.S. patent application Ser. No. 11/736,514, entitled “Apparatus and Method for Pre and Post Treatment of Atomic Layer Deposition,” which is filed on the same day as the instant application. This application is incorporated herein by reference in its entirety. Surface treatment using proximity is briefly introduced below.
  • FIG. 5A shows a schematic diagram of a chamber 500 for substrate surface treatment with a proximity head 530. In chamber 500, there is a substrate 510 disposed on a substrate support 520. The proximity head 530 is supported above substrate 510. Between the proximity head 530 and the substrate 510, there is a reaction volume 550. Since the proximity head 530 only covers a portion of the substrate surface, the reaction volume 550 is much smaller than conventional surface treatment that applies to the entire substrate surface.
  • A gas inlet 540 and a vacuum line 565 are coupled to the proximity head 530. The other end of the vacuum line 565 is a pump 560. The gas inlet 540 supplies reactant gas to process chamber 500. The excess treatment gas is pumped away from the reaction volume 550 by the vacuum line 565. The gas inlet 540 can be coupled to a container 541 that stores a treatment gas, such as H2. The treatment gas can be diluted with an inert gas. As described above, the treatment gas can be plasma assisted. In one embodiment, the plasmarized treatment gas is supplied by a reactor 541′ that plasmarizes the treatment gas. Alternatively, the substrate support 520 can be coupled to a radio frequency (RF) generator 570 to generate plasma to plasmarize treatment gas when treatment gas is dispensed into the reaction volume 550, instead of supplying plasmarized treatment from reactor 541′. Another alternative is to couple an RF generator 573 to the proximity head 530 to generate plasma. The inert gas can be used to sustain chamber pressure or to sustain plasma.
  • FIG. 5B shows one embodiment of a proximity head 530 disposed above substrate 510, with a reaction volume 450 between the proximity head 530 and substrate 510. The proximity head 530 has one or more gas channels 511 that supply treatment gas. On both sides of the gas channel 511, there are vacuum channels 513, 515 pumping excess treatment gas(es) from the reaction volume 550. Gas channel 511 is coupled the container of the treatment gas. When treatment gas is injected from the gas channel 511 to the substrate surface, the excess amount of gas is pumped away from the substrate surface by the vacuum channels 513, 515, which limits the reaction volume to be substantially below the proximity head 530.
  • The processing gases for ALD by proximity head and the treatment gas for surface treatment by proximity head can be plasma-enhanced or excited by other means, such as by thermal excitation, by UV, or by laser.
  • ALD proximity head(s), pre-treatment proximity head(s), and/or post-treatment proximity head(s) can be integrated in one single process chamber to complete the deposition and treatment processes. In one embodiment, for a substrate to be deposited with a thin barrier layer, such as TaN, and a liner layer, such as Ru, the substrate can be pre-treated to clean the substrate surface or the substrate surface can be pre-treated to prepare the surface for barrier layer ALD deposition, as discussed above. After barrier layer deposition and liner layer deposition, the substrate surface can be posted-treated to prepare the surface for copper seed layer deposition. In a single and integrated deposition/treatment chamber, the substrate is pre-treated, deposited with a barrier layer and a liner layer, and post-treated. FIG. 6A shows a substrate 610 with a plurality of proximity treatment and deposition heads over the substrate 610. Pre-treatment proximity head 620 is used to pre-treat the substrate surface either to remove impurities or to prepare the substrate surface for ALD. Between the proximity head 620 and the surface of substrate 610, there is a reaction volume 660. The substrate surface below the reaction volume 660 is an active process region 670. Between the proximity head 620 and the surface of substrate 610, there is a reaction volume 660. The substrate surface below the reaction volume 660 is an active process region 670. Next to pre-treatment proximity head 620 is an ALD1 proximity head 630 used to deposit a barrier layer on the substrate. After the ALD1 proximity head 630 is an ALD2 proximity head 640 used to deposit a liner layer on the substrate. After the liner layer is deposited, the substrate is post-treated either to remove impurities or to prepare the substrate surface for copper seed layer deposition following. The post-treatment is performed by a post-treatment proximity head 650. The various proximity heads move sequentially across the substrate surface to complete treatment and deposition surface. The treatment and deposition processes can occur simultaneously or in sequence.
  • In addition, not every proximity head in the process chamber needs to be used for processing. For example, if pre-treatment is not needed for some types of substrates, the pre-treatment proximity head can move across the substrate with ALD1 proximity head, ALD2 proximity head, and post-treatment proximity head, but no treatment gas is dispensed from the pre-treatment proximity head.
  • The embodiment shown in FIG. 6A is only an example of integrating treatment proximity head with deposition proximity head. Other combinations are possible. For example, there could be a surface treatment after the barrier layer is deposited and before the deposition of the liner layer. FIG. 6B shows an embodiment with a surface treatment between two deposition steps. Inter-treatment proximity head 635 is inserted between ALD1 proximity head 630 and ALD2 proximity head 640.
  • The proximity head surface treatment chamber can be integrated with ALD proximity heads to complete surface treatment and barrier/liner layer(s) deposition in one process chamber. Details of integrating proximity heads for ALD with proximity heads for surface treatment are described in commonly assigned U.S. patent application Ser. No. 11/736,519, entitled “Apparatus and Method for Integrated Surface Treatment and Film Deposition,” which is filed on the same day as the instant application. The application is incorporated herein by reference in its entirety.
  • The gap distance between the proximity head and the substrate for surface treatment is small and is between about 5 mm to about 10 mm. The gap distance between the proximity head and the substrate during ALD changes from side to side and is less than about 5 mm, such as 1 mm. The gap distance between the different proximity head and substrate surface can be different for different proximity heads in the chamber.
  • Once the substrate completes processing in the integrated surface treatment and deposition system, such as the ones in FIGS. 6A and 6B, the substrate is ready for electroless deposition (ELD) of copper seed layer. The substrate should not be exposed to oxygen or other contaminants to ensure the surface is ready for depositing high-quality electroless copper seed layer. To achieve controlled and limited exposure to oxygen or to protect the surface from contaminants, the substrate should be transferred or processed in controlled environment, such as an environment under vacuum or an environment filled with an inert gas.
  • FIG. 7A shows an embodiment of a process flow 700 of depositing a barrier layer, an optional liner layer, an electroless copper seed layer, and a copper gap-fill layer to fill an interconnect structure. The barrier layer and the optional liner layer are deposited in an integrated chamber that has the process capability of surface treatment. At step 701, the substrate is moved into a process chamber with integrated surface treatment and ALD deposition. As described above, the integrated surface treatment and ALD deposition chamber uses proximity heads for surface treatment and ALD deposition, since proximity heads allow integration of multiple processing heads in one processing chamber.
  • At step 703, the substrate surface is processed in the process chamber with integrated surface treatment and ALD deposition to deposit a barrier layer and an optional liner layer with surface treatment before and/or after film deposition. In one embodiment, the substrate surface before film deposition, such as the one shown in FIG. 1A, is pre-treated to prepare the surface for barrier layer deposition. The surface is either cleaned to remove surface contaminants or treated with a treatment gas to increase deposition grown sites, as described above. In one embodiment, substrate surface of the interconnect feature, such as surface 122 a of FIG. 1A, could have been oxidized to have formed a metal oxide. The metal oxide can be removed by an Ar sputtering process, a plasma process using a fluorine-containing gas, such as NF3, CF4, or a combination of both. Alternatively, the dielectric surfaces of openings 114, 116 might need to be plasma treated to increase deposition sites to improve film quality, as described above. For some barrier layer, such as TaN, a liner layer, such as Ru, might be needed before copper deposition. For other barrier layer, such as Ru, the liner layer might not be needed. In one embodiment, the barrier layer is TaN and the thickness of the barrier layer is between about 20 Å to about 200 Å. The liner layer is Ru and the thickness of the liner layer is between about 20 Å to about 200 Å.
  • After the barrier layer and the optional liner layer are deposited, the substrate can be post-treated, as described above, to remove surface contaminants or to prepare the substrate surface copper seed layer deposition. Therefore, the integrated chamber can include a proximity head for post-treatment. In one embodiment, the barrier layer is hydrogen-plasma treated to produce a metal-rich surface on the Ta, TaN, or Ru layer to provide a catalytic surface for the subsequent copper seed deposition step.
  • At step 705, the substrate is moved into a copper seed layer deposition chamber. At step 707, a copper seed layer is deposited. In one embodiment, the thickness of the copper seed layer is between about 25 Å to about 200 Å. In another embodiment, the thickness of the copper seed layer is between about 50 Å to about 100 Å. In one embodiment, the copper seed layer is deposited by an electroless process. The thick copper bulk fill process can be deposited by an electroless deposition (ELD) process or by an electrochemical plating (ECP) process. At step 709, the substrate is moved to a copper-plating chamber. However, if the copper gap-fill layer is deposited by ELD, this step can be skipped (optional step), since the gap-fill layer deposition will be done in the same processing chamber as the seed layer. At step 711, a copper gap fill layer is deposited.
  • Electroless copper deposition and ECP are well-known wet process. For a wet process to be integrated in a system with controlled processing and transporting environment, the reactor needs to be integrated with a rinse/dryer to enable dry-in/dry-out process capability. In addition, the system needs to be filled with inert gas to ensure minimal exposure of the substrate to oxygen. Recently, a dry-in/dry-out electroless copper process has been developed. Further, all fluids used in the process are de-gassed, i.e. dissolved oxygen is removed by commercially available degassing systems. Details of apparatus and methods of integrating wet and dry processes are described in commonly assigned U.S. patent application Ser. No. 11/514,038, entitled “Processes and Systems for Engineering a Barrier Surface for Copper Deposition” filed on Aug. 30, 2006, which is incorporated herein by reference in its entirety.
  • The electroless deposition process can be carried out in a number of ways, such as puddle-plating, where fluid is dispensed onto a substrate and is allowed to react in a static mode, after which the reactants are removed and discarded, or reclaimed. In another embodiment, the process uses a proximity process head to limit the electroless process liquid is only in contact with the substrate surface on a limited region. The substrate surface not under the proximity process head is dry. Details of such process and system can be found in U.S. application Ser. No. 10/607,611, titled “Apparatus And Method For Depositing And Planarizing Thin Films Of Semiconductor Wafers,” filed on Jun. 27, 2003, and U.S. application Ser. No. 10/879,263, titled “Method and Apparatus For Plating Semiconductor Wafers,” filed on Jun. 28, 2004, both of which are incorporated herein in their entireties.
  • After copper deposition at steps 707 and 711, the substrate can be optionally moved into a substrate cleaning chamber to undergo an optional substrate cleaning at step 713. Post-copper-deposition clean can be accomplished by using a brush scrub clean with a chemical solution, such as a solution containing CP72B supplied by Air Products and Chemical, Inc. of Allentown, Pa. Other substrate surface cleaning processes can also be used.
  • FIG. 7B shows an embodiment of a schematic diagram of an integrated system 750 that allows minimal exposure of substrate surface to oxygen or other contaminants after barrier surface preparation. In addition, since it is an integrated system, the substrate is transferred from one process station immediately to the next process station, limiting the duration that the clean or treated barrier layer or liner layer surface is exposed to oxygen. The integrated system 750 can be used to process substrate(s) through the process sequence of flow 700 of FIG. 7A.
  • As described above, the pre-treatment and post-treatment for barrier/liner layer deposition, ALD of barrier and liner layers, and electroless deposition of copper seed layer, copper gap-fill layer deposition, and the optional post copper gap-fill deposition involve a mixture of dry and wet processes. The wet processes are typically operated near atmosphere, while the dry plasma processes are operated at less than 1 Torr. Therefore, the integrated system needs to be able to handle a mixture of dry and wet processes.
  • The integrated system 750 has 2 substrate transfer modules 755, and 757. Transfer modules 755 and 757 are equipped with robots to move substrate 751 from one process area to another process area. The process area could be a substrate cassette, a reactor, or a loadlock. Substrate transfer module 755 is operated under vacuum, at a pressure less than about 1 Torr. Substrate transfer module 755 is coupled to a process chamber 756 for integrated surface treatment and ALD, which is also operated under vacuum, at a pressure less than 1 Torr. In one embodiment, vacuum transfer module 755 interfaces with a substrate loader (or substrate cassette) 752 to bring the substrate 751 into the integrated system or to return the substrate to the cassette 752. Between the vacuum transfer module 755 and the cassette 752, there is a loadlock 753 to assist transferring the substrate between the atmospheric cassette 752 and the vacuum transfer module 755, which is operated under vacuum at a pressure compatible with processing chamber(s), such as processing chamber 756, attached. For example, if the substrate 751 is to be transferred from the atmospheric cassette 752 to the vacuum transfer module 755, the pressure of the loadlock 753 is first being brought to be atmospheric to allow the substrate 751 to be transferred from the atmospheric cassette 752 to the loadlock 753. After the substrate 751 is in the loadlock 753 and the loadlock door(s) is closed, the loadlock 753 is pumped to be in vacuum to allow the substrate 751 to be transferred from the loadlock 753 to the vacuum transfer module 755.
  • As described above in process flow 700, the substrate 751 is brought to the integrated system 750 to deposit barrier/liner layer(s) and copper seed layer, and a copper gap-fill layer. As described in step 701 of process flow 700, substrate 751 is moved to process module 756 with a chamber 756 for integrated surface treatment and ALD barrier/liner deposition. The surface treatment and ALD barrier/liner deposition are performed with proximity heads, such as the ones in FIG. 6A. The surface treatment processes, ALD barrier deposition, and ALD liner deposition described in FIG. 6A are all dry processes and are all operated below 1 Torr.
  • After substrate 751 is processed in process chamber 756 at step 702, the substrate is ready for ELD copper seed layer deposition. Electroless copper deposition and electro-chemical plating (ECP) are well-known wet processes. As discussed above, for a wet process to be integrated in a system with controlled processing and transporting environment, which has been described above, the reactor needs to be integrated with a rinse/dryer to enable dry-in/dry-out process capability. In addition, the system needs to be filled with inert gas to ensure minimal exposure of the substrate to oxygen. Recently, a dry-in/dry-out electroless copper process has been developed. Further, all fluids used in the process are de-gassed, i.e. dissolved oxygen is removed by commercially available degassing systems.
  • Both ELD copper and ECP copper processing modules need to be integrated with a transfer module with controlled ambient; therefore, the substrate transport module 757 is operating under controlled-ambient to limit the exposure of substrate to oxygen or contaminants. In one embodiment, the substrate transport module 757 is filled with an inert gas and operated at atmospheric pressure. Substrate 751 is moved from processing chamber 756 to ELD copper processing module 758 for copper seed layer deposition, as described in steps 705 and 707. Afterwards, the substrate 751 is moved to ECP copper module 759 for copper gap-fill deposition, as described in step 709 and 711. After ECP gap-fill, the substrate 751 could be moved into a cleaning module 761 and undergoes a substrate cleaning, as described in step 713. However, the cleaning after ECP copper deposition is optional. The ECP processing module has an integrated rinse/dry, which might have sufficiently cleaned the substrate.
  • While this invention has been described in terms of several embodiments, it will be appreciated that those skilled in the art upon reading the preceding specifications and studying the drawings will realize various alterations, additions, permutations and equivalents thereof. Therefore, it is intended that the present invention includes all such alterations, additions, permutations, and equivalents as fall within the true spirit and scope of the invention. In the claims, elements and/or steps do not imply any particular order of operation, unless explicitly stated in the claims.

Claims (20)

1. An integrated system for depositing films on a substrate for copper interconnect, comprising:
a processing chamber having a plurality of proximity heads, selected ones of the proximity heads being used for surface treatments and atomic layer depositions (ALDs);
a vacuum transfer module coupled to the processing chamber, the vacuum transfer module being used to transfer the substrate in the integrated system;
a processing module for copper seed layer deposition, wherein the processing module for performing copper seed layer deposition is integrated with a rinse/dryer to enable dry-in/dry-out process capability and is filled with an inert gas to limit the exposure of the substrate to oxygen;
a controlled-ambient transfer module coupled to the processing module for copper seed layer deposition; and
a loadlock coupled to the vacuum transfer module and to the controlled-ambient transfer module, the loadlock being used to assist transferring the substrate between the vacuum transfer module and to the controlled-ambient transfer module, wherein the integrated system enables controlled-ambient transitions within the integrated system to limit exposure of the substrate to uncontrolled ambient conditions outside of the integrated system.
2. The integrated system of claim 1, further comprising:
a processing module for copper gap-fill layer deposition, which is coupled to the controlled-ambient transfer module; and
a processing module for substrate cleaning, which is coupled to the controlled-ambient transfer module.
3. The integrated system of claim 1, further comprising:
a cassette for holding the substrate before and after the substrate being processed in the integrated system; and
a loadlock coupled to the cassette and to the vacuum transfer module, the loadlock being used to assist transferring the substrate between the cassette and the vacuum transfer module.
4. The integrated system of claim 1, wherein one of the proximity heads is used to deposit an ALD barrier layer for copper interconnect.
5. The integrated system of claim 1, wherein one of the proximity heads is used to deposit an ALD liner layer for copper interconnect.
6. The integrated system of claim 1, wherein one of the proximity heads is used to perform surface pre-treatment before ALDs, and another one of the proximity heads is used to perform surface post-treatment after ALDs.
7. The integrated system of claim 1, wherein not every proximity head in the processing chamber is used during substrate processing.
8. The integrated system of claim 2, wherein the processing module for copper seed layer deposition is an electroless deposition module, and the processing module for copper gap-fill layer deposition is an electrochemical plating module.
9. The integrated system of claim 1, wherein the selected ones of the proximity heads includes a proximity head for surface pre-treatment, a proximity head for barrier layer ALD, a proximity head for liner layer ALD, and a proximity head for surface post-treatment.
10. The integrated system of claim 1, wherein the selected ones of the plurality of proximity heads are placed side by side, and are placed in the sequence of the proximity head for surface pre-treatment, the proximity head for barrier layer ALD, the proximity head for liner layer ALD, and the proximity head for surface post-treatment.
11. The integrated system of claim 1, wherein the controlled-ambient transfer module is filled with an inert gas to limit the exposure of the substrate to oxygen.
12. The integrated system of claim 1, wherein the films deposited on the substrate for copper interconnect in the integrated system with limited exposure to uncontrolled ambient conditions outside the integrated system improve electro-migration (EM) performance for copper interconnect.
13. An integrated system for depositing films on a substrate for copper interconnect, comprising:
a cassette for holding the substrate before and after the substrate being processed in the integrated system;
a processing chamber with a plurality of proximity heads, selected ones of the proximity heads being used for surface treatments and atomic layer depositions (ALDs), wherein the processing chamber is configured to operate under vacuum;
a vacuum transfer module coupled to the processing chamber, the vacuum transfer module being used to transfer the substrate in the integrated system;
a first loadlock coupled to the cassette and to the vacuum transfer module and to the processing chamber with the plurality of proximity heads, the first loadlock being used to assist transferring the substrate between the cassette and the vacuum transfer module;
a processing module for copper seed layer deposition, wherein the processing module for performing copper seed layer deposition is integrated with a rinse/dryer to enable dry-in/dry-out process capability and is filled with an inert gas to limit the exposure of the substrate to oxygen;
a controlled-ambient transfer module coupled to the processing module for copper seed layer deposition; and
a second loadlock coupled to the vacuum transfer module and to the controlled-ambient transfer module, the second loadlock being used to assist transferring the substrate between the vacuum transfer module and to the controlled-ambient transfer module, wherein the integrated system enables controlled-ambient transitions within the integrated system to limit exposure of the substrate to uncontrolled ambient conditions outside of the integrated system.
14. The integrated system of claim 13, further comprising:
a processing module for copper gap-fill layer deposition, which is coupled to the controlled-ambient transfer module; and
a processing module for substrate cleaning, which is coupled to the controlled-ambient transfer module.
15. The integrated system of claim 13, wherein one of the proximity heads is used to deposit an ALD barrier layer for copper interconnect and another one of the proximity heads is used to deposit an ALD liner layer.
16. The integrated system of claim 13, wherein one of the proximity heads is used to perform surface pre-treatment before ALD and another one of the proximity heads is used to perform surface post-treatment after ALD.
17. The integrated system of claim 14, wherein the processing module for copper seed layer deposition is an electroless deposition module, and the processing module for copper gap-fill layer deposition is an electrochemical plating module.
18. The integrated system of claim 14, wherein the selected ones of the proximity heads includes a proximity head for surface pre-treatment, a proximity head for barrier layer ALD, a proximity head for liner layer ALD, and a proximity head for surface post-treatment.
19. The integrated system of claim 14, wherein the controlled-ambient transfer module is filled with an inert gas to limit the exposure of the substrate to oxygen.
20. An integrated system for depositing films on a substrate for copper interconnect, comprising:
a processing chamber having a plurality of proximity heads, selected ones of the proximity heads being used for surface treatments and atomic layer depositions (ALDs);
a vacuum transfer module coupled to the processing chamber, the vacuum transfer module being used to transfer the substrate in the integrated system;
a processing module for copper seed layer deposition;
a controlled-ambient transfer module coupled to the processing module for copper seed layer deposition; and
a loadlock coupled to the vacuum transfer module and to the controlled-ambient transfer module, the loadlock being used to assist transferring the substrate between the vacuum transfer module and to the controlled-ambient transfer module, wherein the controlled-ambient transfer module and the processing module for performing copper seed layer deposition are filled with an inert gas to limit the exposure of the substrate to oxygen, and wherein the integrated system enables controlled-ambient transitions within the integrated system to limit exposure of the substrate to uncontrolled ambient conditions outside of the integrated system.
US12/555,736 2007-04-17 2009-09-08 Apparatus for integrated surface treatment and deposition for copper interconnect Abandoned US20090320749A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US12/555,736 US20090320749A1 (en) 2007-04-17 2009-09-08 Apparatus for integrated surface treatment and deposition for copper interconnect

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/736,522 US7615486B2 (en) 2007-04-17 2007-04-17 Apparatus and method for integrated surface treatment and deposition for copper interconnect
US12/555,736 US20090320749A1 (en) 2007-04-17 2009-09-08 Apparatus for integrated surface treatment and deposition for copper interconnect

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US11/736,522 Division US7615486B2 (en) 2006-08-30 2007-04-17 Apparatus and method for integrated surface treatment and deposition for copper interconnect

Publications (1)

Publication Number Publication Date
US20090320749A1 true US20090320749A1 (en) 2009-12-31

Family

ID=39872470

Family Applications (2)

Application Number Title Priority Date Filing Date
US11/736,522 Expired - Fee Related US7615486B2 (en) 2006-08-30 2007-04-17 Apparatus and method for integrated surface treatment and deposition for copper interconnect
US12/555,736 Abandoned US20090320749A1 (en) 2007-04-17 2009-09-08 Apparatus for integrated surface treatment and deposition for copper interconnect

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US11/736,522 Expired - Fee Related US7615486B2 (en) 2006-08-30 2007-04-17 Apparatus and method for integrated surface treatment and deposition for copper interconnect

Country Status (1)

Country Link
US (2) US7615486B2 (en)

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080125899A1 (en) * 2006-11-28 2008-05-29 Tokyo Electron Limited Device and method for controlling substrate processing apparatus
US20080142971A1 (en) * 2006-12-14 2008-06-19 Lam Research Corporation Interconnect structure and method of manufacturing a damascene structure
US20090056767A1 (en) * 2007-08-30 2009-03-05 Tokyo Ohka Kogyo Co., Ltd. Surface treatment apparatus
US20090165715A1 (en) * 2007-12-27 2009-07-02 Oh Jae-Eung Vapor deposition reactor
US20100037916A1 (en) * 2006-11-30 2010-02-18 Yasumasa Iwata Treatment device, treatment method, and surface treatment jig
US20100041213A1 (en) * 2008-08-13 2010-02-18 Synos Technology, Inc. Vapor Deposition Reactor For Forming Thin Film
US20100260935A1 (en) * 2009-04-09 2010-10-14 Tokyo Electron Limited Film deposition apparatus, film deposition method, and computer readable storage medium
US20110076421A1 (en) * 2009-09-30 2011-03-31 Synos Technology, Inc. Vapor deposition reactor for forming thin film on curved surface
US20130014779A1 (en) * 2011-07-13 2013-01-17 United Microelectronics Corp. Cleaning method of semiconductor manufacturing process
US8758512B2 (en) 2009-06-08 2014-06-24 Veeco Ald Inc. Vapor deposition reactor and method for forming thin film
US8840958B2 (en) 2011-02-14 2014-09-23 Veeco Ald Inc. Combined injection module for sequentially injecting source precursor and reactant precursor
TWI564945B (en) * 2011-07-13 2017-01-01 聯華電子股份有限公司 Cleaning method of semiconductor manufacturing process
US11373903B2 (en) 2016-10-02 2022-06-28 Applied Materials, Inc. Doped selective metal caps to improve copper electromigration with ruthenium liner

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FI123539B (en) * 2009-02-09 2013-06-28 Beneq Oy ALD reactor, procedure for charging ALD reactor and production line
US7928570B2 (en) * 2009-04-16 2011-04-19 International Business Machines Corporation Interconnect structure
US20130243971A1 (en) * 2012-03-14 2013-09-19 Applied Materials, Inc. Apparatus and Process for Atomic Layer Deposition with Horizontal Laser
US9059255B2 (en) * 2013-03-01 2015-06-16 Globalfoundries Inc. Methods of forming non-continuous conductive layers for conductive structures on an integrated circuit product
US11220737B2 (en) * 2014-06-25 2022-01-11 Universal Display Corporation Systems and methods of modulating flow during vapor jet deposition of organic materials
EP2960059B1 (en) 2014-06-25 2018-10-24 Universal Display Corporation Systems and methods of modulating flow during vapor jet deposition of organic materials
US11267012B2 (en) * 2014-06-25 2022-03-08 Universal Display Corporation Spatial control of vapor condensation using convection
US10566534B2 (en) 2015-10-12 2020-02-18 Universal Display Corporation Apparatus and method to deliver organic material via organic vapor-jet printing (OVJP)
JP6569520B2 (en) * 2015-12-24 2019-09-04 東京エレクトロン株式会社 Deposition equipment

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4058430A (en) * 1974-11-29 1977-11-15 Tuomo Suntola Method for producing compound thin films
US5487784A (en) * 1991-10-29 1996-01-30 Ellis, Jr.; Frank B. Formation of tin oxide films on glass substrates
US6017820A (en) * 1998-07-17 2000-01-25 Cutek Research, Inc. Integrated vacuum and plating cluster system
US6045671A (en) * 1994-10-18 2000-04-04 Symyx Technologies, Inc. Systems and methods for the combinatorial synthesis of novel materials
US20030045131A1 (en) * 2001-08-31 2003-03-06 Applied Materials, Inc. Method and apparatus for processing a wafer
US6821563B2 (en) * 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
US7247346B1 (en) * 2002-08-28 2007-07-24 Nanosolar, Inc. Combinatorial fabrication and high-throughput screening of optoelectronic devices
US20080260963A1 (en) * 2007-04-17 2008-10-23 Hyungsuk Alexander Yoon Apparatus and method for pre and post treatment of atomic layer deposition
US7456429B2 (en) * 2006-03-29 2008-11-25 Eastman Kodak Company Apparatus for atomic layer deposition

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7049226B2 (en) * 2001-09-26 2006-05-23 Applied Materials, Inc. Integration of ALD tantalum nitride for copper metallization
US8236382B2 (en) * 2002-09-30 2012-08-07 Lam Research Corporation Proximity substrate preparation sequence, and method, apparatus, and system for implementing the same
US20060033678A1 (en) * 2004-01-26 2006-02-16 Applied Materials, Inc. Integrated electroless deposition system
US20070020890A1 (en) * 2005-07-19 2007-01-25 Applied Materials, Inc. Method and apparatus for semiconductor processing

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4058430A (en) * 1974-11-29 1977-11-15 Tuomo Suntola Method for producing compound thin films
US5487784A (en) * 1991-10-29 1996-01-30 Ellis, Jr.; Frank B. Formation of tin oxide films on glass substrates
US6045671A (en) * 1994-10-18 2000-04-04 Symyx Technologies, Inc. Systems and methods for the combinatorial synthesis of novel materials
US6017820A (en) * 1998-07-17 2000-01-25 Cutek Research, Inc. Integrated vacuum and plating cluster system
US20030045131A1 (en) * 2001-08-31 2003-03-06 Applied Materials, Inc. Method and apparatus for processing a wafer
US7247346B1 (en) * 2002-08-28 2007-07-24 Nanosolar, Inc. Combinatorial fabrication and high-throughput screening of optoelectronic devices
US6821563B2 (en) * 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
US7456429B2 (en) * 2006-03-29 2008-11-25 Eastman Kodak Company Apparatus for atomic layer deposition
US20080260963A1 (en) * 2007-04-17 2008-10-23 Hyungsuk Alexander Yoon Apparatus and method for pre and post treatment of atomic layer deposition

Cited By (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080125899A1 (en) * 2006-11-28 2008-05-29 Tokyo Electron Limited Device and method for controlling substrate processing apparatus
US7738987B2 (en) * 2006-11-28 2010-06-15 Tokyo Electron Limited Device and method for controlling substrate processing apparatus
US20100037916A1 (en) * 2006-11-30 2010-02-18 Yasumasa Iwata Treatment device, treatment method, and surface treatment jig
US9129999B2 (en) 2006-11-30 2015-09-08 Tokyo Ohka Kogyo Co., Ltd. Treatment device, treatment method, and surface treatment jig
US20080142971A1 (en) * 2006-12-14 2008-06-19 Lam Research Corporation Interconnect structure and method of manufacturing a damascene structure
US8026605B2 (en) 2006-12-14 2011-09-27 Lam Research Corporation Interconnect structure and method of manufacturing a damascene structure
US20090056767A1 (en) * 2007-08-30 2009-03-05 Tokyo Ohka Kogyo Co., Ltd. Surface treatment apparatus
US8371317B2 (en) 2007-08-30 2013-02-12 Tokyo Ohka Kogyo Co., Ltd Surface treatment apparatus
US8333839B2 (en) * 2007-12-27 2012-12-18 Synos Technology, Inc. Vapor deposition reactor
US20090165715A1 (en) * 2007-12-27 2009-07-02 Oh Jae-Eung Vapor deposition reactor
US20100041213A1 (en) * 2008-08-13 2010-02-18 Synos Technology, Inc. Vapor Deposition Reactor For Forming Thin Film
US8470718B2 (en) 2008-08-13 2013-06-25 Synos Technology, Inc. Vapor deposition reactor for forming thin film
US8882915B2 (en) * 2009-04-09 2014-11-11 Tokyo Electron Limited Film deposition apparatus, film deposition method, and computer readable storage medium
US20100260935A1 (en) * 2009-04-09 2010-10-14 Tokyo Electron Limited Film deposition apparatus, film deposition method, and computer readable storage medium
US8758512B2 (en) 2009-06-08 2014-06-24 Veeco Ald Inc. Vapor deposition reactor and method for forming thin film
US20110076421A1 (en) * 2009-09-30 2011-03-31 Synos Technology, Inc. Vapor deposition reactor for forming thin film on curved surface
US8840958B2 (en) 2011-02-14 2014-09-23 Veeco Ald Inc. Combined injection module for sequentially injecting source precursor and reactant precursor
US20130014779A1 (en) * 2011-07-13 2013-01-17 United Microelectronics Corp. Cleaning method of semiconductor manufacturing process
US8641828B2 (en) * 2011-07-13 2014-02-04 United Microelectronics Corp. Cleaning method of semiconductor manufacturing process
TWI564945B (en) * 2011-07-13 2017-01-01 聯華電子股份有限公司 Cleaning method of semiconductor manufacturing process
US11373903B2 (en) 2016-10-02 2022-06-28 Applied Materials, Inc. Doped selective metal caps to improve copper electromigration with ruthenium liner

Also Published As

Publication number Publication date
US20080260940A1 (en) 2008-10-23
US7615486B2 (en) 2009-11-10

Similar Documents

Publication Publication Date Title
US7615486B2 (en) Apparatus and method for integrated surface treatment and deposition for copper interconnect
US20080260963A1 (en) Apparatus and method for pre and post treatment of atomic layer deposition
US8287647B2 (en) Apparatus and method for atomic layer deposition
US6656831B1 (en) Plasma-enhanced chemical vapor deposition of a metal nitride layer
US20080260967A1 (en) Apparatus and method for integrated surface treatment and film deposition
US8771804B2 (en) Processes and systems for engineering a copper surface for selective metal deposition
US8241701B2 (en) Processes and systems for engineering a barrier surface for copper deposition
TWI393186B (en) Processes and integrated systems for engineering a substrate surface for metal deposition
SG174751A1 (en) Apparatus and method for integrated surface treatment and deposition for copper interconnect
US7566661B2 (en) Electroless treatment of noble metal barrier and adhesion layer
US8053355B2 (en) Methods and systems for low interfacial oxide contact between barrier and copper metallization
TWI374951B (en) Integrated electroless deposition system
WO2008055007A2 (en) Methods of fabricating a barrier layer with varying composition for copper metallization
US7387962B2 (en) Physical vapor deposition methods for forming hydrogen-stuffed trench liners for copper-based metallization
JP2004006856A (en) Ultra-thin tungsten metal film used as adherence promoter between metal barrier layer and copper, and method for closely adhering copper thin film to substrate using it
TWI223867B (en) Method for forming a metal interconnect on a substrate
KR20090052331A (en) Processes and integrated systems for engineering a substrate surface for metal deposition
US20080045013A1 (en) Iridium encased metal interconnects for integrated circuit applications
US20090032950A1 (en) Film forming method, semiconductor device manufacturing method, semiconductor device, program and recording medium
TWI609095B (en) Methods for manganese nitride integration
US7041582B2 (en) Method of manufacturing semiconductor device
TW202312300A (en) Method of forming a metal liner for interconnect structures
US7465652B2 (en) Method of forming a catalyst layer on the barrier layer of a conductive interconnect of a semiconductor device
KR20040102452A (en) Method of forming wirings of a semiconductor device, method of forming a metal layer of a semiconductor device and apparatus for performing the same

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION