US20090020825A1 - Forming dual metal complementary metal oxide semiconductor integrated circuits - Google Patents

Forming dual metal complementary metal oxide semiconductor integrated circuits Download PDF

Info

Publication number
US20090020825A1
US20090020825A1 US12/283,217 US28321708A US2009020825A1 US 20090020825 A1 US20090020825 A1 US 20090020825A1 US 28321708 A US28321708 A US 28321708A US 2009020825 A1 US2009020825 A1 US 2009020825A1
Authority
US
United States
Prior art keywords
layer
metal
type
sacrificial
transistor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US12/283,217
Inventor
Mark Doczy
Mitchell Taylor
Justin K. Brask
Jack Kavalieros
Suman Datta
Matthew V. Metz
Robert S. Chau
Jack Hwang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Individual
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US12/283,217 priority Critical patent/US20090020825A1/en
Publication of US20090020825A1 publication Critical patent/US20090020825A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures

Definitions

  • the present invention relates to methods for making semiconductor devices, in particular, semiconductor devices with metal gate electrodes.
  • MOS field-effect transistors with very thin gate dielectrics made from silicon dioxide may experience unacceptable gate leakage currents.
  • Forming the gate dielectric from certain high dielectric constant (K) dielectric materials, instead of silicon dioxide, can reduce gate leakage.
  • high-k dielectric means having a dielectric constant higher than 10.
  • metal gate electrodes may be used in devices that include high-k gate dielectrics.
  • a replacement gate process may be used to form gate electrodes from different metals. In that process, a first polysilicon layer, bracketed by a pair of spacers, is removed selectively to a second polysilicon layer to create a trench between the spacers. The trench is filled with a first metal. The second polysilicon layer is then removed, and replaced with a second metal that differs from the first metal.
  • FIGS. 1A-1N represent cross-sections of structures that may be formed when carrying out an embodiment of the method of the present invention.
  • FIGS. 1A-1N illustrate structures that may be formed, when carrying out an embodiment of the method of the present invention.
  • high-k gate dielectric layer 170 and a sacrificial metal layer 169 are formed on substrate 100 , generating the FIG. 1A structure.
  • Substrate 100 may comprise a bulk silicon or silicon-on-insulator substructure.
  • substrate 100 may comprise other materials—which may or may not be combined with silicon—such as: germanium, indium antimonide, lead telluride, indium arsenide, indium phosphide, gallium arsenide, or gallium antimonide.
  • germanium, indium antimonide, lead telluride, indium arsenide, indium phosphide, gallium arsenide, or gallium antimonide such as: germanium, indium antimonide, lead telluride, indium arsenide, indium phosphide, gallium arsenide, or gallium antimonide.
  • high-k gate dielectric layer 170 Some of the materials that may be used to make high-k gate dielectric layer 170 include: hafnium oxide, hafnium silicon oxide, lanthanum oxide, lanthanum aluminum oxide, zirconium oxide, zirconium silicon oxide, tantalum oxide, titanium oxide, barium strontium titanium oxide, barium titanium oxide, strontium titanium oxide, yttrium oxide, aluminum oxide, lead scandium tantalum oxide, and lead zinc niobate. Particularly preferred are hafnium oxide, zirconium oxide, titanium oxide and aluminum-oxide. Although a few examples of materials that may be used to form high-k gate dielectric layer 170 are described here, that layer may be made from other materials that serve to reduce gate leakage.
  • the layer 170 has a dielectric constant higher than 10 and from 15 to 25 in one embodiment of the present invention.
  • High-k gate dielectric layer 170 may be formed on substrate 100 using a conventional deposition method, e.g., a conventional chemical vapor deposition (“CVD”), low pressure CVD, or physical vapor deposition (“PVD”) process.
  • a conventional atomic layer CVD process is used.
  • a metal oxide precursor e.g., a metal chloride
  • steam may be fed at selected flow rates into a CVD reactor, which is then operated at a selected temperature and pressure to generate an atomically smooth interface between substrate 100 and high-k gate dielectric layer 170 .
  • the CVD reactor should be operated long enough to form a layer with the desired thickness.
  • high-k gate dielectric layer 170 may be less than about 60 Angstroms thick, for example, and, in one embodiment, between about 5 Angstroms and about 40 Angstroms thick.
  • a sacrificial metal layer 169 may be formed over the dielectric layer 170 .
  • the sacrificial metal layer 169 may be any metal that is capable of withstanding high temperatures (greater than 450° C.) without reaction with overlying materials.
  • the sacrificial metal layer 14 may be formed of titanium nitride.
  • the layer 169 may be formed by sputtering. In another embodiment, the layer 169 may be formed by atomic layer deposition.
  • sacrificial layer 171 is formed on high-k gate dielectric layer 170 as shown in FIG. 1B .
  • hard mask layer 172 is then formed on sacrificial layer 171 , generating the FIG. 1B structure.
  • Sacrificial layer 171 may comprise polysilicon and may be deposited on sacrificial metal layer 169 using a conventional deposition process. Sacrificial layer 171 may be, for example, between about 100 and about 2,000 Angstroms thick, and, in one embodiment, between about 500 and about 1,600 Angstroms thick.
  • Hard mask layer 172 may comprise silicon nitride between about 100 and about 1000 Angstroms thick, for example, and between about 200 and about 350 Angstroms thick in one embodiment. Hard mask layer 172 may be formed on sacrificial layer 171 .
  • Sacrificial layer 171 and hard mask layer 172 are then patterned to form patterned hard mask layers 130 , 131 , and patterned sacrificial layers 104 , 106 , and 169 —as FIG. 1C illustrates.
  • Conventional wet or dry etch processes may be used to remove unprotected parts of hard mask layer 172 , sacrificial metal layer 169 and sacrificial layer 171 .
  • exposed part 174 of high-k gate dielectric layer 170 is removed.
  • high-k gate dielectric layer 170 may be removed using dry or wet etch techniques, it may be difficult to etch that layer using such processes without adversely affecting adjacent structures. It may be difficult to etch high-k gate dielectric layer 170 selectively to the underlying substrate using a dry etch process, and wet etch techniques may etch high-k gate dielectric layer 170 isotropically—undercutting overlying sacrificial layers 104 , 106 in an undesirable fashion.
  • exposed part 174 of high-k gate dielectric layer 170 may be modified to facilitate its removal selectively to covered part 175 of that layer.
  • Exposed part 174 may be modified by adding impurities to that part of high-k gate dielectric layer 170 after sacrificial layer 171 has been etched.
  • a plasma enhanced chemical vapor deposition (“PECVD”) process may be used to add impurities to exposed part 174 of high-k gate dielectric layer 170 .
  • PECVD plasma enhanced chemical vapor deposition
  • a halogen or halide gas (or a combination of such gases) may be fed into a reactor prior to striking a plasma.
  • the reactor should be operated under the appropriate conditions (e.g., temperature, pressure, radio frequency, and power) for a sufficient time to modify exposed part 174 to ensure that it may be removed selectively to other materials.
  • a low power PECVD process e.g., one taking place at less than about 200 Watts, is used.
  • hydrogen bromide (“HBr”) and chlorine (“Cl 2 ”) gases are fed into the reactor at appropriate flow rates to ensure that a plasma generated from those gases will modify exposed part 174 in the desired manner.
  • HBr hydrogen bromide
  • Cl 2 chlorine
  • exposed part 174 After exposed part 174 has been modified, it may be removed. The presence of the added impurities enables that exposed part to be etched selectively to covered part 175 to generate the FIG. 1D structure.
  • exposed part 174 is removed by exposing it to a relatively strong acid, e.g., a halide based acid (such as hydrobromic or hydrochloric acid) or phosphoric acid.
  • a relatively strong acid e.g., a halide based acid (such as hydrobromic or hydrochloric acid) or phosphoric acid.
  • a halide based acid such as hydrobromic or hydrochloric acid
  • the acid preferably contains between about 0.5% and about 10% HBr or HCl by volume—and more preferably about 5% by volume.
  • An etch process that uses such an acid may take place at or near room temperature, and last for between about 5 and about 30 minutes—although a longer exposure may be used if desired.
  • the acid may contain between about 75% and about 95% H 3 PO 4 by volume.
  • An etch process that uses such an acid may, for example, take place at between about 140° C. and about 180° C., and, in one embodiment, at about 160° C.
  • the exposure step may last between about 30 seconds and about 5 minutes—and for about one minute for a 20 Angstrom thick film.
  • FIG. 1D represents an intermediate structure that may be formed when making a complementary metal oxide semiconductor (“CMOS”). That structure includes first part 101 and second part 102 of substrate 100 shown in FIG. 1E . Isolation region 103 separates first part 101 from second part 102 . Isolation region 103 may comprise silicon dioxide, or other materials that may separate the transistor's active regions.
  • First sacrificial layer 104 is formed on first high-k gate dielectric layer 105
  • second sacrificial layer 106 is formed on second high-k gate dielectric layer 107 .
  • Hard masks 130 , 131 are formed on sacrificial layers 104 , 106 .
  • spacers may be formed on opposite sides of sacrificial layers 104 , 106 .
  • spacers comprise silicon nitride
  • they may be formed in the following way. First, a silicon nitride layer of substantially uniform thickness, for example, less than about 1000 Angstroms thick—is deposited over the entire structure, producing the structure shown in FIG. 1 E. Conventional deposition processes may be used to generate that structure.
  • silicon nitride layer 134 is deposited directly on substrate 100 and opposite sides of sacrificial layers 104 , 106 —without first forming a buffer oxide layer on substrate 100 and layers 104 , 106 .
  • a buffer oxide layer may be formed prior to forming layer 134 .
  • a second oxide may be formed on layer 134 prior to etching that layer. If used, such an oxide may enable the subsequent silicon nitride etch step to generate an L-shaped spacer.
  • Silicon nitride layer 134 may be etched using a conventional process for anisotropically etching silicon nitride to create the FIG. 1F structure. As a result of that etch step, sacrificial layer 104 is bracketed by a pair of sidewall spacers 108 , 109 , and sacrificial layer 106 is bracketed by a pair of sidewall spacers 110 , 111 .
  • the source and drain regions 135 - 138 may be formed, after forming spacers 108 , 109 , 110 , 111 , by implanting ions into parts 101 and 102 of substrate 100 , followed by applying an appropriate anneal step.
  • An ion implantation and anneal sequence used to form n-type source and drain regions within part 101 of substrate 100 may dope sacrificial layer 104 n-type at the same time.
  • an ion implantation and anneal sequence used to form p-type source and drain regions within part 102 of substrate 100 may dope sacrificial layer 106 p-type.
  • doping sacrificial layer 106 with boron that layer should include that element at a sufficient concentration to ensure that a subsequent wet etch process, for removing n-type germanium containing layer 104 , will not remove a significant amount of p-type sacrificial layer 106 .
  • the anneal will activate the dopants that were previously introduced into the source and drain regions and tip regions and into sacrificial layers 104 , 106 .
  • a rapid thermal anneal is applied that takes place at a temperature that exceeds about 1,000° C. and, optimally, that takes place at 1,080° C.
  • such an anneal may modify the molecular structure of high-k gate dielectric layers 105 , 107 to create gate dielectric layers that may demonstrate improved performance.
  • dielectric layer 112 may be deposited over the device, generating the FIG. 1G structure.
  • Dielectric layer 112 may comprise silicon dioxide, or a low-k material.
  • Dielectric layer 112 may be doped with phosphorus, boron, or other elements, and may be formed using a high density plasma deposition process.
  • source and drain regions 135 , 136 , 137 , 138 which are capped by silicided regions 139 , 140 , 141 , 142 , have already been formed.
  • Those source and drain regions may be formed by implanting ions into the substrate, then activating them. Alternatively, an epitaxial growth process may be used to form the source and drain regions, as will be apparent to those skilled in the art.
  • FIG. 1G structure Commonly used nitride spacer, source/drain, and silicide formation techniques to make the FIG. 1G structure. That structure may include other features—not shown, so as not to obscure the method of the present invention—that may be formed using conventional process steps.
  • Dielectric layer 112 is removed from hard masks 130 , 131 , which are, in turn, removed from patterned sacrificial layers 104 , 106 , producing the FIG. 1H structure.
  • a conventional chemical mechanical polishing (“CMP”) operation may be applied to remove that part of dielectric layer 112 and hard masks 130 , 131 .
  • Hard masks 130 , 131 may be removed to expose patterned sacrificial layers 104 , 106 .
  • Hard masks 130 , 131 may be polished from the surface of layers 104 , 106 , when dielectric layer 112 is polished—as they will have served their purpose by that stage in the process.
  • FIG. 1H structure After forming the FIG. 1H structure, sacrificial layers 104 or 106 are removed to generate trenches 113 , producing the structure shown in FIG. 1I .
  • a 1% solution of HF may be used for 15 to 30 seconds to remove the chemical oxide formed over the remaining polysilicon.
  • a wet etch process that is selective for layers 104 over sacrificial layer 106 is applied to remove layers 104 and 169 without removing significant portions of layer 106 .
  • a wet etch process may comprise exposing sacrificial layer 104 to an aqueous solution that comprises a source of hydroxide for a sufficient time at a sufficient temperature to remove substantially all of layer 104 .
  • That source of hydroxide may comprise between about 2 and about 30 percent ammonium hydroxide or a tetraalkyl ammonium hydroxide, e.g., tetramethyl ammonium hydroxide (“TMAH”), by volume in deionized water.
  • TMAH tetramethyl ammonium hydroxide
  • Any remaining sacrificial layer 104 may be selectively removed by exposing it to absolution, which is maintained at a temperature between about 15° C. and about 90° C. (for example, below about 40° C.), that comprises between about 2 and about 30 percent ammonium hydroxide by volume in deionized water.
  • sonic energy at a frequency of between about 10 kHz and about 2,000 kHz, while dissipating at between about 1 and about 10 Watts/cm 2 .
  • sacrificial layer 104 may be selectively removed by exposing it at about 25° C. for about 30 minutes to a solution that comprises about 15 percent ammonium hydroxide by volume in deionized water, while applying sonic energy at about 1,000 kHz—dissipating at about 5 Watts/cm 2 .
  • a solution that comprises about 15 percent ammonium hydroxide by volume in deionized water, while applying sonic energy at about 1,000 kHz—dissipating at about 5 Watts/cm 2 .
  • Such an etch process should remove substantially all of an n-type sacrificial layer without removing a meaningful amount of a p-type sacrificial layer.
  • sacrificial layer 104 may be selectively removed by exposing it for at least one minute to a solution, which is maintained at a temperature between about 60° C. and about 90° C., that comprises between about 20 and about 30 percent TMAH by volume in deionized water, while applying sonic energy.
  • Removing sacrificial layer 104 with a thickness of about 1,350 Angstroms, by exposing it at about 80° C. for about 2 minutes to a solution that comprises about 25 percent TMAH by volume in deionized water, while applying sonic energy at about 1,000 kHz—dissipating at about 5 Watts/cm 2 —may remove substantially all of layer 104 without removing a significant amount of layer 106 .
  • First high-k gate dielectric layer 105 should be sufficiently thick to prevent the etchant that is applied to remove sacrificial layer 104 from reaching the channel region that is located beneath first high-k gate dielectric layer 105 .
  • the sacrificial metal layer 169 may also be removed by selective etching. In some embodiments, the layer 169 may not be removed. In some embodiments, the dielectric layer 105 may be removed before forming the replacement metal gate. In such case, a metal oxide gate dielectric may be formed before forming the replacement gate.
  • n-type metal layer 180 is formed directly on layers 105 and in the trenches 113 to generate the FIG. 1J structure.
  • N-type metal layer 180 may comprise any n-type conductive material.
  • N-type metal layer 180 preferably has thermal stability characteristics that render it suitable for making a metal NMOS gate electrode for a semiconductor device.
  • the layer 180 may be between 30 and 1000 Angstroms thick and may be deposited by physical vapor deposition or chemical vapor deposition.
  • n-type metal layer 180 Materials that may be used to form n-type metal layer 180 include: hafnium, zirconium, titanium, tantalum, aluminum, and their alloys, e.g., metal carbides that include these elements, i.e., hafnium carbide, zirconium carbide, titanium carbide, tantalum carbide, and aluminum carbide.
  • N-type metal layer 180 may be formed on first high-k gate dielectric layer 105 using well known PVD or CVD processes, e.g., conventional sputter or atomic layer CVD processes.
  • the p-type side 200 may be masked and an n-type layer 115 may be deposited on the n-type side 202 to form the FIG. 1K structure.
  • the layer 115 may be the same as the layer 180 , in one embodiment.
  • N-type metal layers 115 and 180 may serve as a metal NMOS gate electrode that has a workfunction that is between about 3.9 eV and about 4.2 eV, and that is between about 100 Angstroms and about 2,000 Angstroms thick and, in one embodiment, may particularly be between about 500 Angstroms and about 1,600 Angstroms thick.
  • FIG. 1K represents structures in which n-type metal layers 115 , 180 fill all of trench 113
  • n-type metal layer 115 may fill only part of trench 113 , with the remainder of the trench being filled with a material that may be easily polished, e.g., tungsten, aluminum, titanium, or titanium nitride.
  • n-type metal layer 115 which serves as the workfunction metal, may be between about 50 and about 1,000 Angstroms thick and, for example, at least about 100 Angstroms thick.
  • the resulting metal NMOS gate electrode may be considered to comprise the combination of both the workfunction metal and the trench fill metal. If a trench fill metal is deposited on a workfunction metal, the trench fill metal may cover the entire device when deposited, forming a structure like the FIG. 1J structure. That trench fill metal must then be polished back so that it fills only the trench, generating a structure like the FIG. 1K structure.
  • the masking of p-type side 200 may be removed and the horizontal portions of the layer 180 , as well as the horizontal portions of the 115 , may be polishing off, and n-type side 202 may be masked. Then a workfunction adjusting implant I is performed on the p-type side 200 as shown in FIG. 1L .
  • the implant species may be nitrogen, oxygen, chlorine, fluorine, or bromine, for example, to increase the workfunction of the n-type layer 180 to make it more suitable for use in p-type transistors.
  • the workfunction increasing species may be aided by plasma enhanced ion implantation, furnace diffusion, or plasma deposition, to mention a few examples.
  • the species may be added until the species makes up from about 3 to about 50 atomic percent of the exposed layer 180 . In many cases, between about 5 and about 10 atomic percent may be sufficient doping. If the trenches 113 have a reentrant profile, an angled implant may be used.
  • p-type metal layer 116 is formed directly on layer 180 to fill trench 115 on the p-type side 200 and to generate the FIG. 1M structure.
  • P-type metal layer 116 may comprise any p-type conductive material from which a metal PMOS gate electrode may be derived.
  • P-type metal layer 116 preferably has thermal stability characteristics that render it suitable for making a metal PMOS gate electrode for a semiconductor device.
  • p-type metal layer 116 Materials that may be used to form p-type metal layer 116 include: ruthenium, palladium, platinum, cobalt, nickel, and conductive metal oxides, e.g., ruthenium oxide.
  • P-type metal layer 116 may be formed on second high-k gate dielectric layer 107 using well known PVD or CVD processes, e.g., conventional sputter or atomic layer CVD processes. As shown in FIG. 1N , p-type metal layer 116 is removed except where it fills trench 113 . Layer 116 may be removed from other portions of the device via a wet or dry etch process, or an appropriate CMP operation, with dielectric 112 serving as an etch or polish stop.
  • P-type metal layer 116 may serve as a metal PMOS gate electrode with a workfunction that is between about 4.9 eV and about 5.2 eV, and that is between about 100 Angstroms and about 2,000 Angstroms thick, and more preferably is between about 500 Angstroms and about 1,600 Angstroms thick.
  • FIGS. 1M and 1N represent structures in which p-type metal layer 116 fills all of trench 150 , in alternative embodiments, p-type metal layer 116 may fill only part of trench 150 . As with the metal NMOS gate electrode, the remainder of the trench may be filled with a material that may be easily polished, e.g., tungsten, aluminum, titanium, or titanium nitride.
  • p-type metal layer 116 which serves as the workfunction metal, may be between about 50 and about 1,000 Angstroms thick.
  • the resulting metal PMOS gate electrode may be considered to comprise the combination of both the workfunction metal and the trench fill metal.
  • a capping dielectric layer may be deposited onto dielectric layer 112 , metal NMOS gate electrode 115 , and metal PMOS gate electrode 116 , using any conventional deposition process. Process steps for completing the device that follow the deposition of such a capping dielectric layer, e.g., forming the device's contacts, metal interconnect, and passivation layer, are well known to those skilled in the art and will not be described here.

Abstract

Complementary metal oxide semiconductor metal gate transistors may be formed by depositing a metal layer in trenches formerly inhabited by patterned gate structures. The patterned gate structures may have been formed of polysilicon in one embodiment. The metal layer may have a workfunction most suitable for forming one type of transistor, but is used to form both the n and p-type transistors. The workfunction of the metal layer may be converted, for example, by ion implantation to make it more suitable for use in forming transistors of the opposite type.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • This application is a divisional of U.S. patent application Ser. No. 10/889,535, filed Jul. 12, 2004.
  • BACKGROUND
  • The present invention relates to methods for making semiconductor devices, in particular, semiconductor devices with metal gate electrodes.
  • MOS field-effect transistors with very thin gate dielectrics made from silicon dioxide may experience unacceptable gate leakage currents. Forming the gate dielectric from certain high dielectric constant (K) dielectric materials, instead of silicon dioxide, can reduce gate leakage. As used herein, high-k dielectric means having a dielectric constant higher than 10. When, however, a high-k dielectric film is initially formed, it may have a slightly imperfect molecular structure. To repair such a film, it may be necessary to anneal it at a relatively high temperature.
  • Because such a high-k dielectric layer may not be compatible with polysilicon, it may be desirable to use metal gate electrodes in devices that include high-k gate dielectrics. When making a CMOS device that includes metal gate electrodes, it may be necessary to make the NMOS and PMOS gate electrodes from different materials. A replacement gate process may be used to form gate electrodes from different metals. In that process, a first polysilicon layer, bracketed by a pair of spacers, is removed selectively to a second polysilicon layer to create a trench between the spacers. The trench is filled with a first metal. The second polysilicon layer is then removed, and replaced with a second metal that differs from the first metal.
  • Thus, there is a need for alternate ways to form replacement metal gate electrodes.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIGS. 1A-1N represent cross-sections of structures that may be formed when carrying out an embodiment of the method of the present invention.
  • Features shown in these figures are not intended to be drawn to scale.
  • DETAILED DESCRIPTION
  • FIGS. 1A-1N illustrate structures that may be formed, when carrying out an embodiment of the method of the present invention. Initially, high-k gate dielectric layer 170 and a sacrificial metal layer 169 are formed on substrate 100, generating the FIG. 1A structure. Substrate 100 may comprise a bulk silicon or silicon-on-insulator substructure. Alternatively, substrate 100 may comprise other materials—which may or may not be combined with silicon—such as: germanium, indium antimonide, lead telluride, indium arsenide, indium phosphide, gallium arsenide, or gallium antimonide. Although a few examples of materials from which substrate 100 may be formed are described here, any material that may serve as a foundation upon which a semiconductor device may be built falls within the spirit and scope of the present invention.
  • Some of the materials that may be used to make high-k gate dielectric layer 170 include: hafnium oxide, hafnium silicon oxide, lanthanum oxide, lanthanum aluminum oxide, zirconium oxide, zirconium silicon oxide, tantalum oxide, titanium oxide, barium strontium titanium oxide, barium titanium oxide, strontium titanium oxide, yttrium oxide, aluminum oxide, lead scandium tantalum oxide, and lead zinc niobate. Particularly preferred are hafnium oxide, zirconium oxide, titanium oxide and aluminum-oxide. Although a few examples of materials that may be used to form high-k gate dielectric layer 170 are described here, that layer may be made from other materials that serve to reduce gate leakage. The layer 170 has a dielectric constant higher than 10 and from 15 to 25 in one embodiment of the present invention.
  • High-k gate dielectric layer 170 may be formed on substrate 100 using a conventional deposition method, e.g., a conventional chemical vapor deposition (“CVD”), low pressure CVD, or physical vapor deposition (“PVD”) process. Preferably, a conventional atomic layer CVD process is used. In such a process, a metal oxide precursor (e.g., a metal chloride) and steam may be fed at selected flow rates into a CVD reactor, which is then operated at a selected temperature and pressure to generate an atomically smooth interface between substrate 100 and high-k gate dielectric layer 170. The CVD reactor should be operated long enough to form a layer with the desired thickness. In most applications, high-k gate dielectric layer 170 may be less than about 60 Angstroms thick, for example, and, in one embodiment, between about 5 Angstroms and about 40 Angstroms thick.
  • A sacrificial metal layer 169 may be formed over the dielectric layer 170. The sacrificial metal layer 169 may be any metal that is capable of withstanding high temperatures (greater than 450° C.) without reaction with overlying materials. As one example, the sacrificial metal layer 14 may be formed of titanium nitride. In one embodiment, the layer 169 may be formed by sputtering. In another embodiment, the layer 169 may be formed by atomic layer deposition.
  • After high-k gate dielectric layer 170 and sacrificial metal layer 169 are formed on substrate 100, sacrificial layer 171 is formed on high-k gate dielectric layer 170 as shown in FIG. 1B. In this embodiment, hard mask layer 172 is then formed on sacrificial layer 171, generating the FIG. 1B structure. Sacrificial layer 171 may comprise polysilicon and may be deposited on sacrificial metal layer 169 using a conventional deposition process. Sacrificial layer 171 may be, for example, between about 100 and about 2,000 Angstroms thick, and, in one embodiment, between about 500 and about 1,600 Angstroms thick.
  • Hard mask layer 172 may comprise silicon nitride between about 100 and about 1000 Angstroms thick, for example, and between about 200 and about 350 Angstroms thick in one embodiment. Hard mask layer 172 may be formed on sacrificial layer 171.
  • Sacrificial layer 171 and hard mask layer 172 are then patterned to form patterned hard mask layers 130, 131, and patterned sacrificial layers 104, 106, and 169—as FIG. 1C illustrates. Conventional wet or dry etch processes may be used to remove unprotected parts of hard mask layer 172, sacrificial metal layer 169 and sacrificial layer 171. In this embodiment, after those layers have been etched, exposed part 174 of high-k gate dielectric layer 170 is removed.
  • Although exposed part 174 of high-k gate dielectric layer 170 may be removed using dry or wet etch techniques, it may be difficult to etch that layer using such processes without adversely affecting adjacent structures. It may be difficult to etch high-k gate dielectric layer 170 selectively to the underlying substrate using a dry etch process, and wet etch techniques may etch high-k gate dielectric layer 170 isotropically—undercutting overlying sacrificial layers 104, 106 in an undesirable fashion.
  • To reduce the lateral removal of high-k gate dielectric layer 170, as exposed part 174 of that layer is etched, exposed part 174 of high-k gate dielectric layer 170 may be modified to facilitate its removal selectively to covered part 175 of that layer. Exposed part 174 may be modified by adding impurities to that part of high-k gate dielectric layer 170 after sacrificial layer 171 has been etched. A plasma enhanced chemical vapor deposition (“PECVD”) process may be used to add impurities to exposed part 174 of high-k gate dielectric layer 170. In such a PECVD process, a halogen or halide gas (or a combination of such gases) may be fed into a reactor prior to striking a plasma. The reactor should be operated under the appropriate conditions (e.g., temperature, pressure, radio frequency, and power) for a sufficient time to modify exposed part 174 to ensure that it may be removed selectively to other materials. In one embodiment, a low power PECVD process, e.g., one taking place at less than about 200 Watts, is used.
  • In one embodiment, hydrogen bromide (“HBr”) and chlorine (“Cl2”) gases are fed into the reactor at appropriate flow rates to ensure that a plasma generated from those gases will modify exposed part 174 in the desired manner. Between about 50 and about 100 Watts wafer bias (for example, about 100 Watts) may be applied for a sufficient time to complete the desired transformation of exposed part 174. Plasma exposure lasting less than about one minute, and perhaps as short as 5 seconds, may be adequate to cause that conversion.
  • After exposed part 174 has been modified, it may be removed. The presence of the added impurities enables that exposed part to be etched selectively to covered part 175 to generate the FIG. 1D structure. In one embodiment, exposed part 174 is removed by exposing it to a relatively strong acid, e.g., a halide based acid (such as hydrobromic or hydrochloric acid) or phosphoric acid. When a halide based acid is used, the acid preferably contains between about 0.5% and about 10% HBr or HCl by volume—and more preferably about 5% by volume. An etch process that uses such an acid may take place at or near room temperature, and last for between about 5 and about 30 minutes—although a longer exposure may be used if desired. When phosphoric acid is used, the acid may contain between about 75% and about 95% H3PO4 by volume. An etch process that uses such an acid may, for example, take place at between about 140° C. and about 180° C., and, in one embodiment, at about 160° C. When such an acid is used, the exposure step may last between about 30 seconds and about 5 minutes—and for about one minute for a 20 Angstrom thick film.
  • FIG. 1D represents an intermediate structure that may be formed when making a complementary metal oxide semiconductor (“CMOS”). That structure includes first part 101 and second part 102 of substrate 100 shown in FIG. 1E. Isolation region 103 separates first part 101 from second part 102. Isolation region 103 may comprise silicon dioxide, or other materials that may separate the transistor's active regions. First sacrificial layer 104 is formed on first high-k gate dielectric layer 105, and second sacrificial layer 106 is formed on second high-k gate dielectric layer 107. Hard masks 130, 131 are formed on sacrificial layers 104, 106.
  • After forming the FIG. 1D structure, spacers may be formed on opposite sides of sacrificial layers 104, 106. When those spacers comprise silicon nitride, they may be formed in the following way. First, a silicon nitride layer of substantially uniform thickness, for example, less than about 1000 Angstroms thick—is deposited over the entire structure, producing the structure shown in FIG. 1E. Conventional deposition processes may be used to generate that structure.
  • In one embodiment, silicon nitride layer 134 is deposited directly on substrate 100 and opposite sides of sacrificial layers 104, 106—without first forming a buffer oxide layer on substrate 100 and layers 104, 106. In alternative embodiments, however, such a buffer oxide layer may be formed prior to forming layer 134. Similarly, although not shown in FIG. 1E, a second oxide may be formed on layer 134 prior to etching that layer. If used, such an oxide may enable the subsequent silicon nitride etch step to generate an L-shaped spacer.
  • Silicon nitride layer 134 may be etched using a conventional process for anisotropically etching silicon nitride to create the FIG. 1F structure. As a result of that etch step, sacrificial layer 104 is bracketed by a pair of sidewall spacers 108, 109, and sacrificial layer 106 is bracketed by a pair of sidewall spacers 110, 111.
  • As is typically done, it may be desirable to perform multiple masking and ion implantation steps (FIG. 1G) to create lightly implanted regions 135 a-138 a near layers 104, 106 (that will ultimately serve as tip regions for the device's source and drain regions), prior to forming spacers 108, 109, 110, 111 on sacrificial layers 104, 106. Also as is typically done, the source and drain regions 135-138 may be formed, after forming spacers 108, 109, 110, 111, by implanting ions into parts 101 and 102 of substrate 100, followed by applying an appropriate anneal step.
  • An ion implantation and anneal sequence used to form n-type source and drain regions within part 101 of substrate 100 may dope sacrificial layer 104 n-type at the same time. Similarly, an ion implantation and anneal sequence used to form p-type source and drain regions within part 102 of substrate 100 may dope sacrificial layer 106 p-type. When doping sacrificial layer 106 with boron, that layer should include that element at a sufficient concentration to ensure that a subsequent wet etch process, for removing n-type germanium containing layer 104, will not remove a significant amount of p-type sacrificial layer 106.
  • The anneal will activate the dopants that were previously introduced into the source and drain regions and tip regions and into sacrificial layers 104, 106. In a preferred embodiment, a rapid thermal anneal is applied that takes place at a temperature that exceeds about 1,000° C. and, optimally, that takes place at 1,080° C. In addition to activating the dopants, such an anneal may modify the molecular structure of high-k gate dielectric layers 105, 107 to create gate dielectric layers that may demonstrate improved performance.
  • Because of the imposition of the sacrificial metal layer 169, better performing dielectric layers 170 may result from these high temperature steps without significant reaction between the high dielectric constant dielectric layer 170 and the sacrificial layer 171.
  • After forming spacers 108, 109, 110, 111, dielectric layer 112 may be deposited over the device, generating the FIG. 1G structure. Dielectric layer 112 may comprise silicon dioxide, or a low-k material. Dielectric layer 112 may be doped with phosphorus, boron, or other elements, and may be formed using a high density plasma deposition process. By this stage of the process, source and drain regions 135, 136, 137, 138, which are capped by silicided regions 139, 140, 141, 142, have already been formed. Those source and drain regions may be formed by implanting ions into the substrate, then activating them. Alternatively, an epitaxial growth process may be used to form the source and drain regions, as will be apparent to those skilled in the art.
  • Commonly used nitride spacer, source/drain, and silicide formation techniques to make the FIG. 1G structure. That structure may include other features—not shown, so as not to obscure the method of the present invention—that may be formed using conventional process steps.
  • Dielectric layer 112 is removed from hard masks 130, 131, which are, in turn, removed from patterned sacrificial layers 104, 106, producing the FIG. 1H structure. A conventional chemical mechanical polishing (“CMP”) operation may be applied to remove that part of dielectric layer 112 and hard masks 130, 131. Hard masks 130, 131 may be removed to expose patterned sacrificial layers 104, 106. Hard masks 130, 131 may be polished from the surface of layers 104, 106, when dielectric layer 112 is polished—as they will have served their purpose by that stage in the process.
  • After forming the FIG. 1H structure, sacrificial layers 104 or 106 are removed to generate trenches 113, producing the structure shown in FIG. 1I. A 1% solution of HF may be used for 15 to 30 seconds to remove the chemical oxide formed over the remaining polysilicon.
  • In a second embodiment, a wet etch process that is selective for layers 104 over sacrificial layer 106 is applied to remove layers 104 and 169 without removing significant portions of layer 106. When sacrificial layer 104 is doped n-type, and sacrificial layer 106 is doped p-type (e.g., with boron), such a wet etch process may comprise exposing sacrificial layer 104 to an aqueous solution that comprises a source of hydroxide for a sufficient time at a sufficient temperature to remove substantially all of layer 104. That source of hydroxide may comprise between about 2 and about 30 percent ammonium hydroxide or a tetraalkyl ammonium hydroxide, e.g., tetramethyl ammonium hydroxide (“TMAH”), by volume in deionized water. Any remaining sacrificial layer 104 may be selectively removed by exposing it to absolution, which is maintained at a temperature between about 15° C. and about 90° C. (for example, below about 40° C.), that comprises between about 2 and about 30 percent ammonium hydroxide by volume in deionized water. During that exposure step, which preferably lasts at least one minute, it may be desirable to apply sonic energy at a frequency of between about 10 kHz and about 2,000 kHz, while dissipating at between about 1 and about 10 Watts/cm2.
  • In the second embodiment, sacrificial layer 104, with a thickness of about 1,350 Angstroms, may be selectively removed by exposing it at about 25° C. for about 30 minutes to a solution that comprises about 15 percent ammonium hydroxide by volume in deionized water, while applying sonic energy at about 1,000 kHz—dissipating at about 5 Watts/cm2. Such an etch process should remove substantially all of an n-type sacrificial layer without removing a meaningful amount of a p-type sacrificial layer.
  • As a third embodiment, sacrificial layer 104 may be selectively removed by exposing it for at least one minute to a solution, which is maintained at a temperature between about 60° C. and about 90° C., that comprises between about 20 and about 30 percent TMAH by volume in deionized water, while applying sonic energy. Removing sacrificial layer 104, with a thickness of about 1,350 Angstroms, by exposing it at about 80° C. for about 2 minutes to a solution that comprises about 25 percent TMAH by volume in deionized water, while applying sonic energy at about 1,000 kHz—dissipating at about 5 Watts/cm2—may remove substantially all of layer 104 without removing a significant amount of layer 106. First high-k gate dielectric layer 105 should be sufficiently thick to prevent the etchant that is applied to remove sacrificial layer 104 from reaching the channel region that is located beneath first high-k gate dielectric layer 105.
  • The sacrificial metal layer 169 may also be removed by selective etching. In some embodiments, the layer 169 may not be removed. In some embodiments, the dielectric layer 105 may be removed before forming the replacement metal gate. In such case, a metal oxide gate dielectric may be formed before forming the replacement gate.
  • In the illustrated embodiment, n-type metal layer 180 is formed directly on layers 105 and in the trenches 113 to generate the FIG. 1J structure. N-type metal layer 180 may comprise any n-type conductive material. N-type metal layer 180 preferably has thermal stability characteristics that render it suitable for making a metal NMOS gate electrode for a semiconductor device. In one embodiment, the layer 180 may be between 30 and 1000 Angstroms thick and may be deposited by physical vapor deposition or chemical vapor deposition.
  • Materials that may be used to form n-type metal layer 180 include: hafnium, zirconium, titanium, tantalum, aluminum, and their alloys, e.g., metal carbides that include these elements, i.e., hafnium carbide, zirconium carbide, titanium carbide, tantalum carbide, and aluminum carbide. N-type metal layer 180 may be formed on first high-k gate dielectric layer 105 using well known PVD or CVD processes, e.g., conventional sputter or atomic layer CVD processes.
  • The p-type side 200 may be masked and an n-type layer 115 may be deposited on the n-type side 202 to form the FIG. 1K structure. The layer 115 may be the same as the layer 180, in one embodiment.
  • N- type metal layers 115 and 180 may serve as a metal NMOS gate electrode that has a workfunction that is between about 3.9 eV and about 4.2 eV, and that is between about 100 Angstroms and about 2,000 Angstroms thick and, in one embodiment, may particularly be between about 500 Angstroms and about 1,600 Angstroms thick. Although FIG. 1K represents structures in which n- type metal layers 115, 180 fill all of trench 113, in alternative embodiments, n-type metal layer 115 may fill only part of trench 113, with the remainder of the trench being filled with a material that may be easily polished, e.g., tungsten, aluminum, titanium, or titanium nitride. Using a higher conductivity fill metal in place of the workfunction metal may improve the overall conductivity of the gate stack. In such an alternative embodiment, n-type metal layer 115, which serves as the workfunction metal, may be between about 50 and about 1,000 Angstroms thick and, for example, at least about 100 Angstroms thick.
  • In embodiments in which trench 113 includes both a workfunction metal and a trench fill metal, the resulting metal NMOS gate electrode may be considered to comprise the combination of both the workfunction metal and the trench fill metal. If a trench fill metal is deposited on a workfunction metal, the trench fill metal may cover the entire device when deposited, forming a structure like the FIG. 1J structure. That trench fill metal must then be polished back so that it fills only the trench, generating a structure like the FIG. 1K structure.
  • In the illustrated embodiment, after forming n-type metal layer 115 within trench 113, the masking of p-type side 200 may be removed and the horizontal portions of the layer 180, as well as the horizontal portions of the 115, may be polishing off, and n-type side 202 may be masked. Then a workfunction adjusting implant I is performed on the p-type side 200 as shown in FIG. 1L. The implant species may be nitrogen, oxygen, chlorine, fluorine, or bromine, for example, to increase the workfunction of the n-type layer 180 to make it more suitable for use in p-type transistors. Alternatively, the workfunction increasing species may be aided by plasma enhanced ion implantation, furnace diffusion, or plasma deposition, to mention a few examples. The species may be added until the species makes up from about 3 to about 50 atomic percent of the exposed layer 180. In many cases, between about 5 and about 10 atomic percent may be sufficient doping. If the trenches 113 have a reentrant profile, an angled implant may be used.
  • In this embodiment, p-type metal layer 116 is formed directly on layer 180 to fill trench 115 on the p-type side 200 and to generate the FIG. 1M structure. P-type metal layer 116 may comprise any p-type conductive material from which a metal PMOS gate electrode may be derived. P-type metal layer 116 preferably has thermal stability characteristics that render it suitable for making a metal PMOS gate electrode for a semiconductor device.
  • Materials that may be used to form p-type metal layer 116 include: ruthenium, palladium, platinum, cobalt, nickel, and conductive metal oxides, e.g., ruthenium oxide. P-type metal layer 116 may be formed on second high-k gate dielectric layer 107 using well known PVD or CVD processes, e.g., conventional sputter or atomic layer CVD processes. As shown in FIG. 1N, p-type metal layer 116 is removed except where it fills trench 113. Layer 116 may be removed from other portions of the device via a wet or dry etch process, or an appropriate CMP operation, with dielectric 112 serving as an etch or polish stop.
  • P-type metal layer 116 may serve as a metal PMOS gate electrode with a workfunction that is between about 4.9 eV and about 5.2 eV, and that is between about 100 Angstroms and about 2,000 Angstroms thick, and more preferably is between about 500 Angstroms and about 1,600 Angstroms thick. Although FIGS. 1M and 1N represent structures in which p-type metal layer 116 fills all of trench 150, in alternative embodiments, p-type metal layer 116 may fill only part of trench 150. As with the metal NMOS gate electrode, the remainder of the trench may be filled with a material that may be easily polished, e.g., tungsten, aluminum, titanium, or titanium nitride. In such an alternative embodiment, p-type metal layer 116, which serves as the workfunction metal, may be between about 50 and about 1,000 Angstroms thick. Like the metal NMOS gate electrode, in embodiments in which trench 150 includes a workfunction metal and a trench fill metal, the resulting metal PMOS gate electrode may be considered to comprise the combination of both the workfunction metal and the trench fill metal.
  • After removing metal layer 116, except where it fills trench 113, a capping dielectric layer may be deposited onto dielectric layer 112, metal NMOS gate electrode 115, and metal PMOS gate electrode 116, using any conventional deposition process. Process steps for completing the device that follow the deposition of such a capping dielectric layer, e.g., forming the device's contacts, metal interconnect, and passivation layer, are well known to those skilled in the art and will not be described here.
  • While the present invention has been described with respect to a limited number of embodiments, those skilled in the art will appreciate numerous modifications and variations therefrom. It is intended that the appended claims cover all such modifications and variations as fall within the true spirit and scope of this present invention.

Claims (5)

1. A semiconductor structure comprising:
a transistor of a first type including a gate electrode;
a transistor of a second type including a gate electrode, said transistor of a second type including a gate dielectric, a first metal over said gate dielectric, and a second metal over said first metal, said first metal having an altered workfunction.
2. The structure of claim 1 wherein the workfunction of said first metal has been increased.
3. The structure of claim 2 wherein said transistor of a first type has a metal layer of the same material as the first metal layer of said transistor of a second type but said first metal layer has a different workfunction than said metal layer of said transistor of a first type.
4. The structure of claim 3 wherein said transistor of said first type is an n-type transistor and said transistor of a second type is a p-type transistor.
5. The structure of claim 4 wherein said transistors include a gate dielectric layer having a dielectric constant greater than 10.
US12/283,217 2004-07-12 2008-09-10 Forming dual metal complementary metal oxide semiconductor integrated circuits Abandoned US20090020825A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US12/283,217 US20090020825A1 (en) 2004-07-12 2008-09-10 Forming dual metal complementary metal oxide semiconductor integrated circuits

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/889,535 US7439113B2 (en) 2004-07-12 2004-07-12 Forming dual metal complementary metal oxide semiconductor integrated circuits
US12/283,217 US20090020825A1 (en) 2004-07-12 2008-09-10 Forming dual metal complementary metal oxide semiconductor integrated circuits

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/889,535 Division US7439113B2 (en) 2004-07-12 2004-07-12 Forming dual metal complementary metal oxide semiconductor integrated circuits

Publications (1)

Publication Number Publication Date
US20090020825A1 true US20090020825A1 (en) 2009-01-22

Family

ID=35241210

Family Applications (2)

Application Number Title Priority Date Filing Date
US10/889,535 Active 2025-06-19 US7439113B2 (en) 2004-07-12 2004-07-12 Forming dual metal complementary metal oxide semiconductor integrated circuits
US12/283,217 Abandoned US20090020825A1 (en) 2004-07-12 2008-09-10 Forming dual metal complementary metal oxide semiconductor integrated circuits

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US10/889,535 Active 2025-06-19 US7439113B2 (en) 2004-07-12 2004-07-12 Forming dual metal complementary metal oxide semiconductor integrated circuits

Country Status (4)

Country Link
US (2) US7439113B2 (en)
CN (1) CN101076888B (en)
TW (1) TWI297926B (en)
WO (1) WO2006017190A1 (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090166749A1 (en) * 2007-12-28 2009-07-02 Reika Ichihara Semiconductor device and method for manufacturing the same
US20110215412A1 (en) * 2010-03-04 2011-09-08 International Business Machines Corporation STRUCTURE AND METHOD TO FABRICATE pFETS WITH SUPERIOR GIDL BY LOCALIZING WORKFUNCTION
US20160086813A1 (en) * 2014-09-24 2016-03-24 Samsung Electronics Co., Ltd. Method of fabricating semiconductor device

Families Citing this family (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7256349B2 (en) * 2004-08-11 2007-08-14 3M Innovative Properties Company Telecommunications cable enclosure
US7390709B2 (en) * 2004-09-08 2008-06-24 Intel Corporation Method for making a semiconductor device having a high-k gate dielectric layer and a metal gate electrode
US7361608B2 (en) * 2004-09-30 2008-04-22 Tokyo Electron Limited Method and system for forming a feature in a high-k layer
JP4626411B2 (en) * 2005-06-13 2011-02-09 ソニー株式会社 Semiconductor device and manufacturing method of semiconductor device
GB0625004D0 (en) * 2006-12-15 2007-01-24 Nxp Bv Semiconductor device and method of manufacture
US20090085082A1 (en) * 2007-09-27 2009-04-02 Gilbert Dewey Controlled intermixing of hfo2 and zro2 dielectrics enabling higher dielectric constant and reduced gate leakage
US7871943B2 (en) * 2008-02-12 2011-01-18 Qimonda Ag Method of making transistor gates with controlled work function
US8264048B2 (en) * 2008-02-15 2012-09-11 Intel Corporation Multi-gate device having a T-shaped gate structure
US8138076B2 (en) * 2008-05-12 2012-03-20 Taiwan Semiconductor Manufacturing Co., Ltd. MOSFETs having stacked metal gate electrodes and method
US7800166B2 (en) * 2008-05-30 2010-09-21 Intel Corporation Recessed channel array transistor (RCAT) structures and method of formation
JP4548521B2 (en) * 2008-07-09 2010-09-22 ソニー株式会社 Semiconductor device manufacturing method and semiconductor device
US8084824B2 (en) 2008-09-11 2011-12-27 United Microelectronics Corp. Metal gate transistor and method for fabricating the same
KR101634748B1 (en) 2009-12-08 2016-07-11 삼성전자주식회사 method for manufacturing MOS transistor and forming method of integrated circuit using the sime
CN102097376B (en) * 2009-12-10 2013-05-01 中芯国际集成电路制造(上海)有限公司 Method for manufacturing semiconductor device
US8574990B2 (en) 2011-02-24 2013-11-05 United Microelectronics Corp. Method of manufacturing semiconductor device having metal gate
US8802524B2 (en) 2011-03-22 2014-08-12 United Microelectronics Corp. Method of manufacturing semiconductor device having metal gates
US8530980B2 (en) 2011-04-27 2013-09-10 United Microelectronics Corp. Gate stack structure with etch stop layer and manufacturing process thereof
US8536038B2 (en) * 2011-06-21 2013-09-17 United Microelectronics Corp. Manufacturing method for metal gate using ion implantation
CN102969237B (en) * 2011-08-31 2016-05-25 中芯国际集成电路制造(上海)有限公司 Form the method for grid, the method for planarization interlayer dielectric layer
TWI567801B (en) * 2011-11-03 2017-01-21 聯華電子股份有限公司 Semiconductor structure and process theoeof
CN103117297B (en) * 2011-11-17 2017-12-12 联华电子股份有限公司 Semiconductor structure and its manufacture craft
US20130288465A1 (en) * 2012-04-26 2013-10-31 Applied Materials, Inc. Methods for filling high aspect ratio features on substrates
CN103378008B (en) 2012-04-27 2015-10-14 中国科学院微电子研究所 Bimetallic grid CMOS device and manufacture method thereof
US9059208B2 (en) 2013-04-10 2015-06-16 International Business Machines Corporation Replacement gate integration scheme employing multiple types of disposable gate structures
KR102178827B1 (en) 2014-02-13 2020-11-13 삼성전자 주식회사 MOSFET(Metal-Oxide Semiconductor Field Effect Transistor), method for fabricating the same and semiconductor apparatus comprising the same
CN104916589A (en) * 2014-03-12 2015-09-16 中芯国际集成电路制造(上海)有限公司 Method for producing semiconductor devices
CN105244318B (en) * 2014-07-09 2018-07-20 中芯国际集成电路制造(上海)有限公司 A kind of semiconductor devices and its manufacturing method and electronic device
KR20160021564A (en) * 2014-08-18 2016-02-26 삼성전자주식회사 Semiconductor device and method for the same
TWI663656B (en) 2015-07-23 2019-06-21 聯華電子股份有限公司 Semiconductor device having metal gate and fabrication method thereof
US10546785B2 (en) 2017-03-09 2020-01-28 International Business Machines Corporation Method to recess cobalt for gate metal application
US9997519B1 (en) 2017-05-03 2018-06-12 International Business Machines Corporation Dual channel structures with multiple threshold voltages

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6458695B1 (en) * 2001-10-18 2002-10-01 Chartered Semiconductor Manufacturing Ltd. Methods to form dual metal gates by incorporating metals and their conductive oxides
US6586288B2 (en) * 2000-11-16 2003-07-01 Hynix Semiconductor Inc. Method of forming dual-metal gates in semiconductor device
US20050110098A1 (en) * 2002-03-15 2005-05-26 Takuya Yoshihara Semiconductor device and its manufacturing method
US7105891B2 (en) * 2002-07-15 2006-09-12 Texas Instruments Incorporated Gate structure and method

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6130123A (en) * 1998-06-30 2000-10-10 Intel Corporation Method for making a complementary metal gate electrode technology
US6027961A (en) 1998-06-30 2000-02-22 Motorola, Inc. CMOS semiconductor devices and method of formation
US20020008257A1 (en) 1998-09-30 2002-01-24 John P. Barnak Mosfet gate electrodes having performance tuned work functions and methods of making same
US6291282B1 (en) 1999-02-26 2001-09-18 Texas Instruments Incorporated Method of forming dual metal gate structures or CMOS devices
JP2002217313A (en) * 2000-11-30 2002-08-02 Texas Instruments Inc Complementary transistor having respective gates formed of metal and corresponding metallic silicide
JP2002237589A (en) 2001-02-08 2002-08-23 Sony Corp Method for manufacturing semiconductor device
US6583012B1 (en) * 2001-02-13 2003-06-24 Advanced Micro Devices, Inc. Semiconductor devices utilizing differently composed metal-based in-laid gate electrodes
US6770521B2 (en) * 2001-11-30 2004-08-03 Texas Instruments Incorporated Method of making multiple work function gates by implanting metals with metallic alloying additives
US6653698B2 (en) * 2001-12-20 2003-11-25 International Business Machines Corporation Integration of dual workfunction metal gate CMOS devices
KR100476926B1 (en) 2002-07-02 2005-03-17 삼성전자주식회사 Method for forming dual gate of semiconductor device
JP2004111549A (en) 2002-09-17 2004-04-08 Seiko Epson Corp Manufacturing method of semiconductor device

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6586288B2 (en) * 2000-11-16 2003-07-01 Hynix Semiconductor Inc. Method of forming dual-metal gates in semiconductor device
US6458695B1 (en) * 2001-10-18 2002-10-01 Chartered Semiconductor Manufacturing Ltd. Methods to form dual metal gates by incorporating metals and their conductive oxides
US20050110098A1 (en) * 2002-03-15 2005-05-26 Takuya Yoshihara Semiconductor device and its manufacturing method
US7105891B2 (en) * 2002-07-15 2006-09-12 Texas Instruments Incorporated Gate structure and method

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090166749A1 (en) * 2007-12-28 2009-07-02 Reika Ichihara Semiconductor device and method for manufacturing the same
US8129792B2 (en) * 2007-12-28 2012-03-06 Kabushiki Kaisha Toshiba Semiconductor device and method for manufacturing the same
US20110215412A1 (en) * 2010-03-04 2011-09-08 International Business Machines Corporation STRUCTURE AND METHOD TO FABRICATE pFETS WITH SUPERIOR GIDL BY LOCALIZING WORKFUNCTION
US8299530B2 (en) * 2010-03-04 2012-10-30 International Business Machines Corporation Structure and method to fabricate pFETS with superior GIDL by localizing workfunction
US20160086813A1 (en) * 2014-09-24 2016-03-24 Samsung Electronics Co., Ltd. Method of fabricating semiconductor device

Also Published As

Publication number Publication date
TW200616154A (en) 2006-05-16
WO2006017190A1 (en) 2006-02-16
CN101076888A (en) 2007-11-21
TWI297926B (en) 2008-06-11
US20060006522A1 (en) 2006-01-12
CN101076888B (en) 2010-12-08
US7439113B2 (en) 2008-10-21

Similar Documents

Publication Publication Date Title
US7439113B2 (en) Forming dual metal complementary metal oxide semiconductor integrated circuits
US7138323B2 (en) Planarizing a semiconductor structure to form replacement metal gates
US7422936B2 (en) Facilitating removal of sacrificial layers via implantation to form replacement metal gates
US7126199B2 (en) Multilayer metal gate electrode
US7220635B2 (en) Method for making a semiconductor device with a metal gate electrode that is formed on an annealed high-k gate dielectric layer
US7144783B2 (en) Reducing gate dielectric material to form a metal gate electrode extension
US7183184B2 (en) Method for making a semiconductor device that includes a metal gate electrode
US7160767B2 (en) Method for making a semiconductor device that includes a metal gate electrode
US7208361B2 (en) Replacement gate process for making a semiconductor device that includes a metal gate electrode
US7883951B2 (en) CMOS device with metal and silicide gate electrodes and a method for making it
US7902058B2 (en) Inducing strain in the channels of metal gate transistors
US20050272191A1 (en) Replacement gate process for making a semiconductor device that includes a metal gate electrode
US7192856B2 (en) Forming dual metal complementary metal oxide semiconductor integrated circuits
US20060046523A1 (en) Facilitating removal of sacrificial layers to form replacement metal gates
US7425490B2 (en) Reducing reactions between polysilicon gate electrodes and high dielectric constant gate dielectrics
US20050287746A1 (en) Facilitating removal of sacrificial layers to form replacement metal gates
US20060148150A1 (en) Tailoring channel dopant profiles

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION