US20080245974A1 - Method of introducing material into a substrate by gas-cluster ion beam irradiation - Google Patents

Method of introducing material into a substrate by gas-cluster ion beam irradiation Download PDF

Info

Publication number
US20080245974A1
US20080245974A1 US12/142,453 US14245308A US2008245974A1 US 20080245974 A1 US20080245974 A1 US 20080245974A1 US 14245308 A US14245308 A US 14245308A US 2008245974 A1 US2008245974 A1 US 2008245974A1
Authority
US
United States
Prior art keywords
gas
substrate
cluster ion
ion beam
cluster
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US12/142,453
Inventor
Allen R. Kirkpatrick
Sean Kirkpatrick
Martin D. Tabat
Thomas G. Tetreault
John O. Borland
John J. Hautala
Wesley J. Skinner
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
TEL Epion Inc
Original Assignee
TEL Epion Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from PCT/US2003/039754 external-priority patent/WO2004053945A2/en
Priority claimed from PCT/US2005/008246 external-priority patent/WO2006062536A2/en
Application filed by TEL Epion Inc filed Critical TEL Epion Inc
Priority to US12/142,453 priority Critical patent/US20080245974A1/en
Publication of US20080245974A1 publication Critical patent/US20080245974A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/04Arrangements of electrodes and associated parts for generating or controlling the discharge, e.g. electron-optical arrangement, ion-optical arrangement
    • H01J37/08Ion sources; Ion guns
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/317Electron-beam or ion-beam tubes for localised treatment of objects for changing properties of the objects or for applying thin layers thereon, e.g. for ion implantation
    • H01J37/3171Electron-beam or ion-beam tubes for localised treatment of objects for changing properties of the objects or for applying thin layers thereon, e.g. for ion implantation for ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/223Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a gaseous phase
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • H01L21/26513Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors of electrically active species
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/2654Bombardment with radiation with high-energy radiation producing ion implantation in AIIIBV compounds
    • H01L21/2656Bombardment with radiation with high-energy radiation producing ion implantation in AIIIBV compounds characterised by the implantation of both electrically active and inactive species in the same semiconductor region to be doped
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26566Bombardment with radiation with high-energy radiation producing ion implantation of a cluster, e.g. using a gas cluster ion beam
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/2658Bombardment with radiation with high-energy radiation producing ion implantation of a molecular ion, e.g. decaborane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/06Sources
    • H01J2237/08Ion sources
    • H01J2237/0812Ionized cluster beam [ICB] sources

Definitions

  • This invention relates generally to the infusion or introduction of atomic and/or molecular species into a surface portion of a substrate. More particularly, it relates to the formation of an infused region or gas-cluster ion-impact region by energetic gas-cluster ion beam irradiation.
  • a pre-amorphizing implant is an ion implantation step done prior to a doping step for the purpose of damaging the region to be doped so as to reduce or eliminate the crystallinity of the region to reduce the degree of channeling of the dopant, which would otherwise result in a dopant depth distribution with an undesirably deep tail due to channeled dopant atoms.
  • Such pre-amorphizing damage implants are often done with inert gases like Ar or Xe or with non-electrically active ion species like Si or Ge.
  • the semiconductor material For some semiconductor devices, it is desirable to dope the semiconductor material with, for example, boron at very high doping concentrations.
  • conventional ion beams including even molecular ion beams (decaborane, for example) the development of high doping levels using the low beam currents available at the very low ion energies required for shallow junction doping is a low productivity process.
  • the solid solubility limit of the dopant in silicon has been an upper limit for effective doping.
  • Prior art indicates that the solid solubility limit of boron in silicon can be increased by introducing germanium atoms to the silicon.
  • gas-cluster ion beam for etching, cleaning, and smoothing surfaces
  • GCIB gas-cluster ion beam
  • U.S. Pat. No. 5,814,194, Deguchi, et al. GCIBs
  • GCIBs have also been employed for assisting the deposition of films from vaporized carbonaceous materials
  • gas-clusters are nano-sized aggregates of materials that are gaseous under conditions of standard temperature and pressure. Such clusters may consist of aggregates of from a few to several thousand (or even tens of thousands) molecules or more, loosely bound to form the cluster.
  • the clusters can be ionized by electron bombardment or other means, permitting them to be formed into directed beams of controllable energy.
  • Such ions each typically carry positive charges of q ⁇ e (where e is the magnitude of the electronic charge and q is an integer of from one to several representing the charge state of the cluster ion).
  • the larger sized clusters are often the most useful because of their ability to carry substantial energy per cluster ion, while yet having only modest energy per molecule.
  • the clusters disintegrate on impact, with each individual molecule carrying only a small fraction of the total cluster energy. Consequently, the impact effects of large clusters are substantial, but are limited to a very shallow surface region. This makes ion clusters effective for a variety of surface modification processes, without the tendency to produce deeper subsurface damage and/or dopant channeling that is characteristic of conventional ion beam processing.
  • a still further object of this invention is to provide a method of improving a distribution of a dopant in a semiconductor substrate by irradiation with an energetic gas-cluster ion beam.
  • a still further object of this invention is to provide for the production of an ultra-shallow junction by the introduction of dopant atoms in the ultra-shallow sub-surface regions of a semiconductor material and for the activation of the dopant and for re-crystallizing or for improving the crystallinity of the semiconductor surface by irradiation of energetic gas-cluster ions comprising dopant atoms or comprising dopant and inert atoms.
  • the dimensions of a target impact zone are dependent on approximately the cube root of the cluster energy (as opposed to the linear dependence on energy in conventional ion implantation) and range from a few tens of angstroms to a few hundreds of angstroms for cluster acceleration potentials of 40 kV and below for an ionic cluster comprised of 1000 atoms. Because of the deposition of most of the total energy carried by each cluster ion into a small impact zone on the target, an intense thermal transient occurs within the target material at the cluster ion impact site. The thermal transient dissipates quickly as energy is lost from the impact zone by conduction deeper into the target. Duration of the thermal transient is determined by the conductivity of the target material but will typically be less than 10 ⁇ 6 second.
  • a volume of the target surface can momentarily reach temperatures of many hundreds to several thousands of degrees Kelvin.
  • impact of a cluster carrying 10 keV total energy is estimated to be capable of producing a momentary temperature increase of about 2000 degrees Kelvin throughout a highly agitated, approximately hemispherical zone extending to about 100 Angstroms below a silicon surface.
  • the affected zone cools rapidly. Some of the cluster constituents escape during this process, while others remain behind and become incorporated in the surface. A portion of the original surface material may also be removed by sputtering or like effects. In general, the more volatile and inert constituents of the cluster are more likely to escape, while the less volatile and/or more chemically reactive constituents are more likely to become incorporated into the surface and a shallow sub-surface region.
  • the cluster impact site and the surrounded affected zone as a “melt zone” wherein the cluster atoms may briefly interact and mix with the substrate surface and wherein the cluster materials either escape the surface or become infused into the surface to the depth of the affected zone.
  • the term “infusion” or “infusing” is used by the inventors to refer to this process to distinguish it from ion “implantation” or “implanting”, a very different process that produces very different results.
  • GCIB infusion does not introduce significant amounts of energy into the bulk of the processed substrate and thus is an essentially room temperature process that does not result in any significant heating of the substrate (other than the highly localized effects at the cluster impact sites).
  • the transient temperature conditions produced by energetic cluster impact can be employed to cause recovery of the damaged lattice. For this to occur, a sufficient thermal transient must be created in a volume extending through the damaged region to the undamaged silicon crystal below. During dissipation of the transient temperature conditions, cooling must proceed from the undamaged crystal lattice below the damaged layer back through the damage layer to the surface. Upon restoration or partial restoration of the crystal lattice within the damaged region, dopant atoms will become incorporated into lattice sites and electrical activation will occur.
  • Noble gases in the energetic cluster ion such as argon and xenon, for example, being volatile and non-reactive have a high probability of escape from the affected zone, while materials such as boron, germanium, and silicon, for example, being less volatile and more likely to form chemical bonds, are more likely to remain in the affected zone, becoming incorporated in the surface of the substrate.
  • a gas containing an appropriate semiconductor dopant atom such as boron is added to, or used as, the gas to form the energetic gas-clusters, the energetic gas-cluster impact can deposit dopant atoms into a semiconductor lattice and simultaneously produce recovery or partial recovery of any damage to the lattice.
  • Inert gases such as, for example, noble inert gases argon and xenon, can be mixed with gases containing elements that form semiconductors, germanium or silicon, for example, and/or with gases that contain elements that act as dopants (dopants are elements that, when introduced into a pure semiconductor material, act as electron donors or acceptors for modifying the electrical characteristics of the semiconductor material) for semiconductor materials, boron, phosphorous and arsenic, for example, to form compound gas-clusters containing different selected elements.
  • dopants are elements that, when introduced into a pure semiconductor material, act as electron donors or acceptors for modifying the electrical characteristics of the semiconductor material
  • semiconductor materials boron, phosphorous and arsenic, for example, to form compound gas-clusters containing different selected elements.
  • Such clusters can be formed with existing gas-cluster ion beam processing equipment as will be described hereinafter, by using suitable source gas mixtures as the source gas for gas-cluster ion beam generation, or by feeding two or more gases (or gas mixtures) into the gas-cluster ion generating source and allowing them to mix in the source.
  • Germanium-containing gases such as germane (GeH 4 ) or germanium tetrafluoride (GeF 4 ), for example, may be employed for incorporating germanium into gas-clusters.
  • Silicon-containing gases such as silane (SiH 4 ) and silicon tetrafluoride (SiF 4 ), for example, may be employed for incorporating silicon into gas-clusters.
  • Dopant-containing gases such as diborane (B 2 H 6 ), boron trifluoride (BF 3 ), phosphine (PH 3 ), phosphorous pentafluoride (PF 5 ), arsine (AsH 3 ), arsenic pentafluoride (AsF 5 ), as examples, may be employed for incorporating dopant atoms into gas-clusters.
  • argon and germane can be mixed to make a source gas for forming clusters for infusing germanium.
  • argon and diborane can be mixed to form a source gas for forming clusters containing boron for infusing boron.
  • argon, diborane, and germane can be mixed to form a source gas for forming clusters containing both boron and germanium atoms for infusing both boron and germanium.
  • an important requirement for the introduction of dopants into the semiconductor surface or for the formations of films is that the maximum depth to which the dopant has been introduced be rather shallow, on the order of several tens of angstroms to a few hundred angstroms.
  • Gas-cluster ion beams are particularly suited for shallow doping because, while the gas-cluster ions may be accelerated to several kilo-electron volts (or even several tens of kilo-electron volts) of energy, because the clusters typically consist of thousands of atoms, individual atoms have little energy and do not ballistically penetrate the irradiated surface to great depths as occurs in conventional ion implantation and other monomer ion processes.
  • gas-cluster ion beams are very efficient at infusing cluster constituents into the surfaces they irradiate.
  • Conventional ion beams typically implant one or at most a few atoms per ion. In the case of a GCIB, the efficiency is much higher.
  • a gas-cluster ion beam formed of clusters formed from a mixture of 5% germane in argon will typically incorporate from 100 to 2000 germanium atoms per gas-cluster ion into the irradiated surface, the exact number being controllably and repeatably dependent on beam parameters.
  • the infused films tend to be amorphous or polycrystalline, but they can be converted to crystalline films by applying a thermal annealing step (e.g., at a temperature at or below 600 C), either a rapid anneal or a furnace anneal, preferably a non-diffusing or low-diffusing anneal.
  • a post-infusion-amorphization step can be done by conventional ion implantation, or by GCIB infusion of, for example, germanium by employing germanium-containing energetic gas-cluster ions.
  • suitable clusters containing both a dopant (boron for example) and an amorphizing agent (germanium for example) a single GCIB infusion step can produce a very shallow doped and amorphized layer that can be annealed and activated without a separate amorphizing step.
  • the presence of the germanium can also serve to increase the solid solubility of the boron in the silicon, permitting higher boron doping levels.
  • Inert gas gas-cluster ion beam processing of a GCIB infusion doped semiconductor can be used to improve the depth-distribution profile of the dopant when it is desired to flatten the profile peak while yet retaining a shallow, abrupt depth-distribution tail.
  • FIG. 1 is a schematic showing the basic elements of a prior art GCIB processing apparatus that uses an electrostatically scanned beam;
  • FIG. 2 is a schematic showing the basic elements of a prior art GCIB processing apparatus that uses a stationary beam with mechanical scanning of the workpiece and that includes provision for mixing source gases;
  • FIG. 3 is a schematic of a portion of a semiconductor wafer receiving gas-cluster ion irradiation
  • FIG. 4 is a schematic enlarging a portion of the semiconductor wafer from FIG. 3 , showing additional detail including a mixed-gas-cluster ion;
  • FIG. 5 is a schematic of a portion of a semiconductor wafer, showing modification of the surface in the affected zone of a cluster impact, according to the invention
  • FIG. 6 is a schematic of a portion of a semiconductor wafer, showing modification of surface regions impacted by many gas-cluster ions forming a surface film according to the invention
  • FIG. 7 is a schematic of a portion of a semiconductor wafer, showing mask controlled localization of processing during irradiation by gas-cluster ions;
  • FIG. 8 is a schematic of a portion of a semiconductor wafer after mask controlled localization of processing during gas-cluster ion irradiation to form an infused film by gas-cluster ion beam processing;
  • FIG. 9 is a graph showing results of Secondary Ion Mass Spectrometry (SIMS) depth profile measurements comparing conventional ion implantation and GCIB infusion, both in a silicon substrate;
  • SIMS Secondary Ion Mass Spectrometry
  • FIG. 10 is a graph showing results of SIMS measurement of a series of boron infused films formed by application of the invention.
  • FIG. 11 is a graph showing results of SIMS measurement of an infusion doped film formed by a specific application of the invention and showing effects of subsequent processing by argon GCIB;
  • FIG. 12 is a graph showing results of SIMS measurements boron GCIB infusion doping following furnace anneal for three specific example applications of the invention.
  • FIG. 13 is a graph showing results of SIMS and spreading resistance probe (SRP) measurement on boron infused silicon processed according to specific applications of the invention.
  • FIG. 14 is a graph showing results of SIMS measurement of an infused film formed by a specific application of the invention.
  • FIG. 15(A , B, C) is cross-sectional transmission electron micrographs showing amorphous layer formation in silicon by germanium GCIB Ge infusion;
  • FIG. 16 is a graph showing results of SIMS measurements of an infused film formed by a specific application of the invention.
  • FIG. 17 is a graph showing results of SIMS measurements of the infused film of FIG. 16 after annealing for activation.
  • FIG. 1 shows a schematic of the basic elements of a prior art configuration for a processing apparatus 100 for generating a GCIB in accordance with the present invention.
  • Apparatus 100 may be described as follows: a vacuum (reduced-pressure) vessel 102 is divided into three communicating chambers, a source chamber 104 , an ionization/acceleration chamber 106 , and a processing chamber 108 .
  • the three chambers are evacuated to suitable operating pressures by vacuum pumping systems 146 a, 146 b, and 146 c, respectively.
  • a condensable source gas 112 (for example argon or N 2 ) stored in a gas storage cylinder 111 is admitted under pressure through gas metering valve 113 and gas feed tube 114 into stagnation chamber 116 and is ejected into the substantially lower pressure vacuum through a properly shaped nozzle 110 .
  • a supersonic gas jet 118 results. Cooling, which results from the expansion in the jet, causes a portion of the gas jet 118 to condense into clusters, each consisting of from several to several thousand weakly bound atoms or molecules.
  • a gas skimmer aperture 120 partially separates the gas molecules that have not condensed into a cluster jet from the cluster jet so as to minimize pressure in the downstream regions where such higher pressures would be detrimental (e.g., ionizer 122 , high voltage electrodes 126 , and processing chamber 108 ).
  • Suitable condensable source gases 112 include, but are not necessarily limited to argon, nitrogen, carbon dioxide, oxygen, and other gases.
  • the ionizer 122 is typically an electron impact ionizer that produces thermoelectrons from one or more incandescent filaments 124 and accelerates and directs the electrons causing them to collide with the gas-clusters in the gas jet 118 , where the jet passes through the ionizer 122 .
  • the electron impact ejects electrons from the clusters, causing a portion the clusters to become positively ionized.
  • a set of suitably biased high voltage electrodes 126 extracts the cluster ions from the ionizer, forming a beam, then accelerates them to a desired mean energy (typically from 1 keV to several tens of keV) and focuses them to form a GCIB 128 .
  • Filament power supply 136 provides filament voltage V f to heat the ionizer filament 124 .
  • Anode power supply 134 provides anode voltage V A to accelerate thermoelectrons emitted from filament 124 to cause them to irradiate the cluster containing gas jet 118 to produce ions.
  • Extraction power supply 138 provides extraction voltage V E to bias a high voltage electrode to extract ions from the ionizing region of ionizer 122 and to form a GCIB 128 .
  • Accelerator power supply 140 provides acceleration voltage V Acc to bias a high voltage electrode with respect to the ionizer 122 so as to result in a total GCIB acceleration potential equal to V Acc .
  • V Acc is typically adjustable and controllable, having a typical range of from a few hundred volts to as much as several tens of kV or even as much as 100 kV.
  • One or more lens power supplies may be provided to bias high voltage electrodes with focusing voltages (V L1 and V L2 for example) to focus the GCIB 128 .
  • a workpiece 152 which may be a semiconductor wafer or other workpiece to be processed by GCIB processing, is held on a workpiece holder 150 , disposed in the path of the GCIB 128 . Since most applications contemplate the processing of large workpieces with spatially uniform results, a scanning system is desirable to uniformly scan the GCIB 128 across large areas to produce spatially homogeneous results. Two pairs of orthogonally oriented electrostatic scan plates 130 and 132 can be utilized to produce a raster or other scanning pattern across the desired processing area. When beam scanning is performed, the GCIB 128 is converted into a scanned GCIB 148 , which scans the entire surface of workpiece 152 .
  • FIG. 2 shows a schematic of the basic elements of a prior art mechanically scanning GCIB processing apparatus 200 for generating a GCIB in accordance with the present invention.
  • Apparatus 200 may be described as having a stationary beam with a mechanically scanned workpiece 152 , and having a conventional faraday cup for beam measurement and a conventional thermionic neutralizer.
  • GCIB formation is similar to as shown in FIG. 1 , except there is additional provision for an optional second source gas 222 (typically different from the source gas 112 ) stored in a gas storage cylinder 221 with a gas metering valve 223 and connecting through gas feed tube 114 into stagnation chamber 116 .
  • an optional second source gas 222 typically different from the source gas 112
  • three or more source gases can easily be arranged for by adding additional gas storage cylinders, plumbing, and valves.
  • This multiple gas arrangement allows for controllably selecting between two differing source gasses 112 and 222 or for controllably forming a mixture of two (or more) source gasses for use in forming gas-clusters.
  • the source gases, 112 , and 222 may themselves be mixtures of gases, for examples argon plus 1% diborane, or argon plus 5% germane.
  • the GCIB 128 is stationary (not electrostatically scanned as in the GCIB processing apparatus 100 ) and the workpiece 152 is mechanically scanned through the GCIB 128 to distribute the effects of the GCIB 128 over a surface of the workpiece 152 .
  • An X-scan actuator 202 provides linear motion of the workpiece holder 150 in the direction of X-scan motion 208 (into and out of the plane of the paper).
  • a Y-scan actuator 204 provides linear motion of the workpiece holder 150 in the direction of Y-scan motion 210 , which is typically orthogonal to the X-scan motion 208 .
  • the combination of X-scanning and Y-scanning motions moves the workpiece 152 , held by the workpiece holder 150 in a raster-like scanning motion through GCIB 128 to cause a uniform irradiation of a surface of the workpiece 152 by the GCIB 128 for uniform processing of the workpiece 152 .
  • the workpiece holder 150 disposes the workpiece 152 at an angle with respect to the axis of the GCIB 128 so that the GCIB 128 has an angle of beam incidence 206 with respect to the workpiece 152 surface.
  • the angle of beam incidence 206 may be 90 degrees or some other angle, but is typically 90 degrees or near 90 degrees.
  • the workpiece 152 held by workpiece holder 150 moves from the position shown to the alternate position “A”, indicated by the designators 152 A and 150 A respectively. Notice that in moving between the two positions, the workpiece 152 is scanned through the GCIB 128 and in both extreme positions, is moved completely out of the path of the GCIB 128 (over-scanned). Though not shown explicitly in FIG. 2 , similar scanning and over-scan is performed in the (typically) orthogonal X-scan motion 208 direction (in and out of the plane of the paper).
  • a beam current sensor 218 is disposed beyond the workpiece holder 150 in the path of the GCIB 128 so as to intercept a sample of the GCIB 128 when the workpiece holder 150 is scanned out of the path of the GCIB 128 .
  • the beam current sensor 218 is typically a faraday cup or the like, closed except for a beam-entry opening, and is affixed to the wall of the vacuum vessel 102 with an electrically insulating mount 212 .
  • a controller 220 which may be a microcomputer based controller connects to the X-scan actuator 202 and the Y-scan actuator 204 through electrical cable 216 and controls the X-scan actuator 202 and the Y-scan actuator 204 so as to place the workpiece 152 into or out of the GCIB 128 and to scan the workpiece 152 uniformly relative to the GCIB 128 to achieve uniform processing of the workpiece 152 by the GCIB 128 .
  • Controller 220 receives the sampled beam current collected by the beam current sensor 218 by way of lead 214 and thereby monitors the GCIB and controls the GCIB dose received by the workpiece 152 by removing the workpiece 152 from the GCIB 128 when a predetermined desired dose has been delivered.
  • FIG. 3 is an illustration of a surface region 300 of a portion of a semiconductor wafer 302 being impacted by a gas-cluster ion 306 comprising a mixture of noble gas and other gas molecules.
  • the figure is not drawn to scale.
  • the semiconductor wafer 302 has a surface 304 and is, for example, a single crystal material and may be at any of several stages of processing for fabricating an integrated circuit or semiconductor device.
  • a gas-cluster ion 306 having a trajectory 308 is shown impacting surface 304 of semiconductor wafer 302 , where it forms a gas-cluster ion-impact region 310 .
  • gas-cluster ion 306 has been formed so that it is a cluster that includes dopant atom species and/or a species to promote increased amorphization or to improve dopant solubility (germanium for example).
  • the cluster might include diborane, germane, and/or other species in addition to a noble gas such as argon.
  • FIG. 4 is a schematic 320 enlarging a portion of the semiconductor wafer 302 from FIG. 3 , showing additional detail.
  • Gas-cluster ion 306 comprises multiple molecules of at least two gases that include at least a noble gas and a gas comprising either a dopant atom or a species to promote increased amorphization or to improve dopant solubility (germanium or silicon, for example).
  • the gas-cluster ion 306 contains noble gas atoms 322 and gas molecules 324 comprising either a dopant atom species or a species to promote increased amorphization or to improve dopant solubility such as, for example, germanium or silicon.
  • the gas-cluster ion 306 may contain additional dopant atom species or amorphization-promoting or dopant solubility improving molecules 332 of a type different than that of gas molecules 324 .
  • the cluster 306 may be comprised of at least a noble gas portion and multiple, fractional portions of distinct molecule species of dopant atoms or amorphization-promoting or dopant solubility improving atom species.
  • Such a gas-cluster ion 306 may be formed in a GCIB processing apparatus 200 as shown in or similar to those shown in FIG. 2 , for example.
  • a premixed gas mixture with the desired mix can be provided in a single gas storage cylinder 111 ( FIG. 2 ) or alternatively, separate, differing source gases or source gas mixtures 112 and 222 can be provided in gas storage cylinders 111 and 221 ( FIG. 2 ) and then mixed in desired proportions as they flow to the stagnation chamber 116 ( FIG. 2 ) by suitable adjustment of gas metering valves 113 and 223 ( FIG. 2 ), which are preferably mass flow controller valves.
  • gas metering valves 113 and 223 FIG. 2
  • the gas-cluster ion 306 is shown (for example and not for limitation) to comprise noble gas atoms 322 and multiple types of dopant or amorphization promoting gas molecules 324 , 332 . It is recognized that a wide range of mixtures of noble gas, dopant and amorphization promoting gas molecules are useful in the present invention and that the clusters used for the process of the invention can be formed from noble gas mixed with very high concentrations of dopant or amorphization promoting gas molecules, or at the other extreme, the ratio of dopant or amorphization promoting gas molecules to noble gas molecules may be so low that some or many gas-cluster ions do not contain even a single non-noble gas molecule, but wherein at least a portion of the gas-cluster ions in a gas-cluster ion beam comprise one or more molecules of dopant or amorphization promoting gas molecules.
  • the concentration of amorphization promoting gas molecules if present, will comprise on the order a few to several tens of molecular percent of the gas-cluster ions, while any dopant-containing gas molecules will be of lower molecular concentration (for example, not for limitation, from about 0.01 to about 20 molecular percent) in the gas-cluster ions.
  • the gas-cluster ion-impact region 310 has a boundary 326 . The volume of the gas-cluster ion-impact region 310 and hence it's depth of penetration of the surface of the semiconductor is dependent on the preselected and controlled energy of the gas-cluster ion 306 .
  • gas-cluster ions having energies in the range of from about 1 keV to about 40 keV per ionic charge (acceleration potentials of from about 1 kV to about 40 kV).
  • the gas-cluster ion 306 Upon impact of an energetic gas-cluster ion 306 on the surface 304 , the gas-cluster ion 306 dissociates and the individual dopant or amorphization promoting gas molecules from the dissociated cluster become free. Inert gas molecules typically recoil and escape from the surface 304 of the semiconductor wafer 302 . Some molecules including some of the dopant or amorphization promoting gas molecules become infused into the surface.
  • the total energy of the energetic gas-cluster ion 306 becomes deposited into the gas-cluster ion-impact region 310 .
  • the dimensions of the gas-cluster ion-impact region 310 are dependent on the energy of the cluster but are small—on the order of tens or hundreds of angstroms in diameter—depending on the preselected gas-cluster ion energy. Because of the deposition of most of the total energy carried by the gas-cluster ion 306 into the small gas-cluster ion-impact region 310 , an intense thermal transient occurs within the material in the gas-cluster ion-impact region 310 .
  • the heat deposited in the gas-cluster ion-impact region 310 dissipates by conduction in the directions 328 deeper into the surrounding semiconductor material. Duration of the thermal transient is determined by the thermal conductivity of the surrounding material but will typically be less than 10 ⁇ 6 second.
  • material can momentarily reach temperatures of many hundreds to several thousands of degrees Kelvin.
  • impact of a gas-cluster ion 306 when carrying 10 keV total energy is estimated to be capable of producing a momentary temperature increase of about 2000 degrees Kelvin throughout an gas-cluster ion-impact region 310 extending to almost 100 Angstroms below the surface 304 .
  • thermal agitation is high enough to possibly melt the material in the gas-cluster ion-impact region 310 .
  • the gas-cluster ion-impact region 310 cools by thermal conduction in the directions 328 , part of the dopant or amorphization promoting material in the impacted cluster becomes infused into the cluster ion impact region 310 and is incorporated into the cooled surface.
  • Another potential effect of the thermal transient is the restoration or partial restoration of crystallinity for damaged material within much of the gas-cluster ion-impact region.
  • FIG. 5 is an illustration of a surface region 340 of a portion of a semiconductor wafer 302 , showing infusion of dopant or amorphization promoting atoms into a region impacted by a gas-cluster ion, according to the present invention.
  • an infused region 342 replaces the gas-cluster ion-impact region 310 of FIG. 4 .
  • Infused region 342 extends to a depth 344 below the surface 304 of semiconductor wafer 302 .
  • FIG. 6 is an illustration of a surface region 360 of a portion of a semiconductor wafer 302 , showing an infused film 362 formed by completion of gas-cluster ion beam processing according to the present invention.
  • infused regions similar to the infused region 342 form, overlap, and eventually develop the infused film 362 , extending to a depth 364 below the surface 304 of the semiconductor wafer 302 .
  • FIG. 7 is an illustration of a surface region 400 of a portion of a semiconductor wafer 302 being impacted by a gas-cluster ion 306 comprising a mixture of noble gas and other gas molecules.
  • the figure is not drawn to scale.
  • the semiconductor wafer 302 has a surface 304 and is typically a single crystal material and may be at any of several stages of processing for fabricating an integrated circuit or semiconductor device.
  • a portion of the surface 304 of the semiconductor wafer 302 is covered by a mask 402 that masks part of the surface 304 from irradiation by energetic clusters.
  • a gas-cluster ion 306 having a trajectory 308 is shown impacting surface 304 of semiconductor wafer 302 in an unmasked region, where it forms a gas-cluster ion-impact region 310 . Any clusters that strike the mask 402 are prevented by the mask from affecting the surface 304 of the semiconductor wafer 302 .
  • the mask 402 can be either a hard mask like silicon dioxide, or a soft mask such as photoresist material.
  • FIG. 8 is an illustration of a surface region 420 of a portion of a semiconductor wafer 302 , showing an infused film 422 formed by completion of gas-cluster ion beam processing of the masked wafer shown in FIG. 7 , according to the present invention.
  • infused regions similar to the infused region form, overlap, and eventually develop the infused film 422 only at the exposed surface regions of the mask 402 .
  • FIGS. 6 and 8 show the formation of infused films ( 362 and 422 ) on semiconductor substrates.
  • Doped films and/or amorphized films can be can be formed.
  • the amount of processing that occurs is a function of both cluster ion energy and cluster ion dose.
  • the process herein referred to as “infusion” occurs (the dopant and/or amorphization promoting atoms in the gas-cluster ions become mixed into the shallow subsurface regions of the irradiated surface ( 362 and 422 in FIGS. 6 and 8 respectively). Specific examples of some of the useful processes will be given in additional detail hereinafter.
  • Some re-crystallization and electrical activation can also be achieved in originally damaged material in the impact region at the surface by the gas-cluster ion beam processing.
  • FIG. 9 is a graph showing results of Secondary Ion Mass Spectrometry (SIMS) depth profile measurements comparing conventional ion implantation and GCIB infusion, both in a silicon substrate.
  • SIMS Secondary Ion Mass Spectrometry
  • the GCIB as-infused profile shows a 1 ⁇ 10 18 at/cc concentration at approximately 120 angstroms and exhibits a very abrupt concentration gradient of approximately 25 angstroms/decade.
  • the GCIB infusion was performed using 5 kV acceleration potential resulting in 5 keV energy for singly charged clusters and higher energies for multiply charged clusters.
  • the cluster infusion dose of 3 ⁇ 10 14 clusters/cm 2 resulted in a boron dose of approximately 1.9 ⁇ 10 15 at/cm 2 .
  • a gas-cluster ion beam processing system similar to that shown in FIG. 2 was used to perform the GCIB infusion process.
  • FIG. 10 is a graph showing results of SIMS measurement of a series of boron infused films formed by a method in accordance with the invention.
  • GCIB boron infusion (according to the invention) was performed using a mixture of 1% B 2 H 6 in argon at five different gas-cluster acceleration potentials (2.5, 5, 10, 20, and 30 kV). All were accomplished without a pre-amorphization step. All were performed with 3 ⁇ 10 14 gas clusters/cm 2 infusion doses, which resulted in corresponding boron atom doses shown on the face of the FIG. 10 graph.
  • the boron 1 ⁇ 10 18 at/cc concentration depths were approximately (75, 120, 180, 240, and 280 angstroms, respectively).
  • the GCIB infusions performed using acceleration potentials (2.5, 5, 10, 20, and 30 kV) resulted in cluster energies for singly charged clusters of (2.5, 5, 10, 20, and 30 keV respectively) and higher energies for multiply charged clusters.
  • a gas-cluster ion beam processing system similar to that shown in FIG. 2 was used to perform the GCIB infusion process.
  • FIG. 11 is a graph showing results of SIMS measurement of an infusion doped film formed by a specific application of the invention and showing effects of subsequent processing by argon GCIB.
  • a gas-cluster ion beam processing system similar to that shown in FIG. 2 was used to perform a boron infusion doping of a silicon semiconductor wafer substrate.
  • a mixture of 1% diborane (B 2 H 6 ) in argon was used as a source gas for gas-cluster formation and a GCIB infusion dose of 3 ⁇ 10 14 gas-cluster ions/cm 2 was irradiated to the substrate.
  • One region of the substrate was subsequently additionally irradiated with an argon GCIB gas-cluster ion dose of 3 ⁇ 10 14 gas-cluster ions/cm 2 accelerated by a 5 kV acceleration potential.
  • a second region of the substrate was subsequently additionally irradiated with an argon GCIB gas-cluster ion dose of 1 ⁇ 10 15 gas-cluster ions/cm 2 , accelerated by a 5 kV acceleration potential.
  • 11 graph shows the original as-infused boron distribution and also shows that the two post-infusion operations of additional argon GCIB irradiation were effective in flattening the peak of the as-infused distribution and that for a given argon GCIB acceleration potential (5 kV) the depth of the tail of the distribution is substantially independent of the argon GCIB gas-cluster ion dose, both cases resulting in improving the initial as-infused distribution by flattening the peak while retaining a very abrupt concentration gradient in the tail.
  • the 1 ⁇ 10 18 at/cc concentration of the argon processed samples both being approximately 150 angstroms deep.
  • the tail of the redistributed boron distribution appears to depend primarily on the argon GCIB acceleration potential and not on the GCIB gas-cluster ion dose. In many semiconductor applications, the flattened profile peak obtained by the argon GCIB post-infusion doping processing is preferred over the original as-infused boron distribution profile.
  • FIG. 12 is a graph showing results of SIMS measurements boron GCIB infusion doping following furnace anneal for three specific example applications of the invention.
  • a gas-cluster ion beam processing system similar to that shown in FIG. 2 was used to perform a boron infusion doping of a silicon semiconductor wafer substrate.
  • a mixture of 1% diborane (B 2 H 6 ) in argon was used as a source gas for gas-cluster ion formation, a 5 kV acceleration potential was used to accelerate the GCIB and a GCIB infusion dose selected to result in a 5 ⁇ 10 15 boron atom/cm 2 doping of the silicon was irradiated to each of three substrates.
  • One of the boron infused substrates was subsequently additionally post-infusion amorphized with a 30 keV conventional ion implantation of silicon ions to an implant dose of 1 ⁇ 10 15 Si ions/cm 2 .
  • a second of the boron infused substrates was subsequently additionally post-infusion irradiated with a 5 keV conventional ion implantation of germanium ions to an implant dose of 5 ⁇ 10 14 Ge ions/cm 2 . All three infused substrates were subsequently annealed in a furnace at 550 degrees C. for 60 minutes. In the case of boron infusion without subsequent post-infusion amorphization, the annealed boron profile was essentially the same as the as-infused profile (not shown).
  • FIG. 13 is a graph showing results of SIMS and spreading resistance probe (SRP) measurement on boron infused silicon processed according to specific applications of the invention.
  • SRP spreading resistance probe
  • a mixture of 1% diborane (B 2 H 6 ) in argon was used as a source gas for gas-cluster ion formation, a 5 kV acceleration potential was used to accelerate the GCIB and a GCIB infusion dose selected to result in a 5 ⁇ 10 15 boron atom/cm 2 doping of each silicon substrate (same boron infusion conditions as for the cases shown in FIG. 12 ).
  • the boron infused substrate was furnace annealed at 550 degrees C. for 60 minutes. The boron distribution in this case (b) was substantially unchanged from the as-infused case (not shown).
  • the boron infused substrate was furnace annealed at 950 degrees C. for 60 minutes.
  • case (a) the high temperature anneal resulted in considerable diffusion of the dopant.
  • SRP measurements for case (a) are indicated by the dash-dot curve (e) and show an activated dopant level of 3 ⁇ 10 19 boron atoms/cc, but with a deep junction of 3000-4000 angstroms.
  • the infused boron was subsequently post-infusion amorphized with 30 keV, 1 ⁇ 10 15 Si ions/cm 2 conventional ion implantation amorphization. After amorphization with silicon, the case (d) was furnace annealed for 60 minutes at 550 degrees C.
  • SRP measurements for case (d) are indicated by the dashed curve (f) and show an electrical junction depth of approximately 50 angstroms.
  • FIG. 14 is a graph showing results of SIMS measurement of an infused film formed by a specific application of the invention.
  • a gas-cluster ion beam processing system similar to that shown in FIG. 2 was used to process the surface of a silicon semiconductor wafer.
  • a mixture of 5% germane (GeH 4 ) in argon was used as one source gas for gas-cluster formation, while a mixture of 1% diborane (B 2 H 6 ) in argon was used as a second source gas for gas-cluster formation.
  • the two source gases were mixed as they flowed into the stagnation chamber—the germane mixture was fed at a rate of 30 sccm and the diborane mixture was fed at a rate of 300 sccm.
  • the ionized gas-cluster ion beam was accelerated by 30 kV acceleration voltage and a dose of 1 ⁇ 10 15 gas-cluster ions/cm 2 was irradiated onto the silicon wafer.
  • the SIMS analysis confirms that a surface infused with boron ions and simultaneously infused with germanium ions for amorphizing the layer (or for increasing the solid solubility limit of a dopant) has been formed.
  • FIGS. 15A-15C are cross-sectional transmission electron micrographs showing amorphous layer formation in silicon by germanium GCIB Ge infusion.
  • the sample shown in FIG. 15A is as-infused (approximately 1 ⁇ 10 15 germanium atoms/cm 2 infused by GCIB infusion using 5% GeH 4 in argon as gas-cluster ion source gas) and clearly shows the amorphous layer 502 formation.
  • FIG. 15B shows the germanium infused layer of FIG. 15 A after a 60 minute, 550 degree C. furnace anneal and shows the conversion of the amorphous layer 502 to a single-crystalline layer 504 .
  • FIG. 15C shows the germanium infused layer of FIG. 15A after a 60 minute, 950 degree C. furnace anneal and also shows conversion of the amorphous layer to a single crystalline layer.
  • FIG. 16 is a graph showing results of SIMS measurements of an infused film formed by a specific application of the invention.
  • a gas-cluster ion beam processing system similar to that shown in FIG. 2 was used to process the surface of a silicon semiconductor wafer.
  • a mixture of 5% germane (GeH 4 ) in argon was used as one source gas for gas-cluster formation, while a mixture of 1% diborane (B 2 H 6 ) in argon was used as a second source gas for gas-cluster formation.
  • the two source gases were mixed as they flowed into the stagnation chamber—the germane mixture was fed at a rate of 300 sccm and the diborane mixture was fed at a rate of 75 sccm.
  • the ionized gas-cluster ion beam was accelerated by 5 kV acceleration voltage and a dose of 1 ⁇ 10 15 gas-cluster ions/cm 2 was irradiated onto the silicon wafer.
  • the SIMS analysis confirms that a surface infused with boron ions and simultaneously infused with germanium ions for amorphizing the layer (as shown in FIG. 15A ) or for increasing the solid solubility limit of the boron dopant has been formed.
  • FIG. 17 is a graph showing results of SIMS measurements of the infused film of FIG. 16 after annealing for activation.
  • the boron-germanium infused film was furnace annealed for 60 minutes at 550 degrees C.
  • FIG. 16 it is seen that no significant movement of the dopant occurred as a result of the anneal. Evaluation of the film after anneal showed that the doped junction was electrically activated and remained very shallow and abrupt

Abstract

Method of infusing or introducing material into a substrate using a gas cluster ion beam. The method includes maintaining a reduced-pressure environment around a substrate holder and holding a substrate securely within that reduced-pressure environment. A gas-cluster ion beam formed from a pressurized gas mixture including an inert gas and at least one other atomic or molecular specie is provided to the reduced-pressure environment and accelerated. In one embodiment, the method includes irradiating the accelerated gas-cluster ion beam onto one or more surface portions of the substrate to form an infused region or gas-cluster ion-impact region therein by introducing part or all of the atomic or molecular specie into the surface. In another embodiment, the method includes modifying at least one electrical property of the surface of the substrate by irradiating the accelerated gas-cluster ion beam onto one or more surface portions of the substrate.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • This application is a continuation of U.S. patent application Ser. No. 11/150,698, now U.S. Pat. No. ______, which is a continuation-in-part of PCT Application No. PCT/US03/39754 filed 12 Dec. 2003, which claims the benefit of priority to U.S. Provisional Patent Application No. 60/433,866, and which is a continuation-in-part of U.S. patent application Ser. No. 11/080,800, now U.S. Pat. No. ______, and PCT Application No. PCT/US05/08246, each filed 11 Mar. 2005 and which claim the benefit of priority to U.S. Provisional Patent Application No. 60/552,505. The entire content of each of these applications is hereby incorporated by reference.
  • FIELD OF THE INVENTION
  • This invention relates generally to the infusion or introduction of atomic and/or molecular species into a surface portion of a substrate. More particularly, it relates to the formation of an infused region or gas-cluster ion-impact region by energetic gas-cluster ion beam irradiation.
  • BACKGROUND OF THE INVENTION
  • The characteristics of semiconductor materials such as silicon, germanium and gallium arsenide and other semiconductors have been exploited to form a large variety of useful devices in the fields of electronics, communications, electro-optics, and nano-technology. Ultra shallow junctions are required for future semiconductor devices. The formation of a shallowly doped semiconductor having an abrupt interface is difficult. Prior art methods have employed ion implantation techniques using very low energy conventional ions. Typical ion implanters suffer from greatly reduced ion beam currents at very low energies and therefore result in a low processing throughput. In efforts to increase the throughput of shallow doping processes, alternative techniques have been developed. These include plasma ion doping and decaborane ion implantation (or similar molecular implants). All these methods require a pre-amorphizing implant to prevent ion channeling of the doping implant species, which would otherwise produce undesirably deep junctions. A pre- amorphizing implant is an ion implantation step done prior to a doping step for the purpose of damaging the region to be doped so as to reduce or eliminate the crystallinity of the region to reduce the degree of channeling of the dopant, which would otherwise result in a dopant depth distribution with an undesirably deep tail due to channeled dopant atoms. Such pre-amorphizing damage implants are often done with inert gases like Ar or Xe or with non-electrically active ion species like Si or Ge. For some semiconductor devices, it is desirable to dope the semiconductor material with, for example, boron at very high doping concentrations. With conventional ion beams, including even molecular ion beams (decaborane, for example) the development of high doping levels using the low beam currents available at the very low ion energies required for shallow junction doping is a low productivity process. Additionally, the solid solubility limit of the dopant in silicon has been an upper limit for effective doping. Prior art indicates that the solid solubility limit of boron in silicon can be increased by introducing germanium atoms to the silicon.
  • The use of a gas-cluster ion beam (GCIB) for etching, cleaning, and smoothing surfaces is known (see for example, U.S. Pat. No. 5,814,194, Deguchi, et al.) in the art. GCIBs have also been employed for assisting the deposition of films from vaporized carbonaceous materials (see for example, U.S. Pat. No. 6,416,820, Yamada, et al.) For purposes of this discussion, gas-clusters are nano-sized aggregates of materials that are gaseous under conditions of standard temperature and pressure. Such clusters may consist of aggregates of from a few to several thousand (or even tens of thousands) molecules or more, loosely bound to form the cluster. The clusters can be ionized by electron bombardment or other means, permitting them to be formed into directed beams of controllable energy. Such ions each typically carry positive charges of q·e (where e is the magnitude of the electronic charge and q is an integer of from one to several representing the charge state of the cluster ion). The larger sized clusters are often the most useful because of their ability to carry substantial energy per cluster ion, while yet having only modest energy per molecule. The clusters disintegrate on impact, with each individual molecule carrying only a small fraction of the total cluster energy. Consequently, the impact effects of large clusters are substantial, but are limited to a very shallow surface region. This makes ion clusters effective for a variety of surface modification processes, without the tendency to produce deeper subsurface damage and/or dopant channeling that is characteristic of conventional ion beam processing.
  • Means for creation of and acceleration of such GCIBs are described in the reference (U.S. Pat. No. 5,814,194) previously cited and which is incorporated herein by reference. Presently available ion cluster sources produce clusters ions having a wide distribution of sizes, N, up to N of several thousand, or even tens of thousands, the distribution typically having a mean cluster size N at greater than 200, and commonly greater than several thousand (where N=the number of molecules in each cluster—in the case of monatomic gases like argon, an atom of the monatomic gas will be referred to as either an atom or a molecule and an ionized atom of such a monatomic gas will be referred to as either an ionized atom, or a molecular ion, or simply a monomer ion—throughout this discussion).
  • SUMMARY OF THE INVENTION
  • It is therefore an object of this invention to provide shallow doping of semiconductor materials by energetic gas-cluster ion irradiation.
  • It is another object of this invention to provide shallow, abrupt junction, doping of semiconductor materials wherein a pre-amorphizing step is not required.
  • It is a further object of this invention to provide doping of semiconductors at high dopant concentrations by increasing the solid solubility limit of the dopant species in the semiconductor by incorporating germanium in the semiconductor.
  • A still further object of this invention is to provide a method of improving a distribution of a dopant in a semiconductor substrate by irradiation with an energetic gas-cluster ion beam.
  • It is an additional object of this invention to provide a channeling free method of doping a semiconductor that can be electrically activated without the requirement of performing a separate amorphizing step.
  • It is therefore an object of this invention to provide for re-crystallizing or for improving the crystallinity of a semiconductor surface by energetic gas cluster ion irradiation.
  • It is another object of this invention to provide for the activation of shallowly implanted dopant atoms in a semiconductor material with reduced redistribution of the dopant atoms by diffusion induced by the activation by utilizing energetic gas-cluster ions for the activation.
  • A still further object of this invention is to provide for the production of an ultra-shallow junction by the introduction of dopant atoms in the ultra-shallow sub-surface regions of a semiconductor material and for the activation of the dopant and for re-crystallizing or for improving the crystallinity of the semiconductor surface by irradiation of energetic gas-cluster ions comprising dopant atoms or comprising dopant and inert atoms.
  • The objects set forth above as well as further and other objects and advantages of the present invention are achieved by the embodiments of the invention described hereinbelow.
  • Upon impact of an energetic gas-cluster on the surface of a solid target, penetration of the atoms of the cluster into the target surface is typically very shallow because the penetration depth is limited by the low energy of each individual constituent atom and depends principally on a transient thermal effect that occurs during the gas-cluster ion impact. Gas-clusters dissociate upon impact and the individual gas atoms then become free to recoil and possibly escape from the surface of the target. Other than energy carried away by the escaping individual gas atoms, the total energy of the energetic cluster prior to impact becomes deposited into the impact zone on the target surface. The dimensions of a target impact zone are dependent on approximately the cube root of the cluster energy (as opposed to the linear dependence on energy in conventional ion implantation) and range from a few tens of angstroms to a few hundreds of angstroms for cluster acceleration potentials of 40 kV and below for an ionic cluster comprised of 1000 atoms. Because of the deposition of most of the total energy carried by each cluster ion into a small impact zone on the target, an intense thermal transient occurs within the target material at the cluster ion impact site. The thermal transient dissipates quickly as energy is lost from the impact zone by conduction deeper into the target. Duration of the thermal transient is determined by the conductivity of the target material but will typically be less than 10−6 second.
  • Near a cluster impact site, a volume of the target surface can momentarily reach temperatures of many hundreds to several thousands of degrees Kelvin. As an example, impact of a cluster carrying 10 keV total energy is estimated to be capable of producing a momentary temperature increase of about 2000 degrees Kelvin throughout a highly agitated, approximately hemispherical zone extending to about 100 Angstroms below a silicon surface.
  • Following initiation of an elevated temperature transient within the target volume below an energetic cluster impact site, the affected zone cools rapidly. Some of the cluster constituents escape during this process, while others remain behind and become incorporated in the surface. A portion of the original surface material may also be removed by sputtering or like effects. In general, the more volatile and inert constituents of the cluster are more likely to escape, while the less volatile and/or more chemically reactive constituents are more likely to become incorporated into the surface and a shallow sub-surface region. Although the actual process is likely much more complex, it is convenient to think of the cluster impact site and the surrounded affected zone as a “melt zone” wherein the cluster atoms may briefly interact and mix with the substrate surface and wherein the cluster materials either escape the surface or become infused into the surface to the depth of the affected zone. The term “infusion” or “infusing” is used by the inventors to refer to this process to distinguish it from ion “implantation” or “implanting”, a very different process that produces very different results. Unlike conventional ion implantation, GCIB infusion does not introduce significant amounts of energy into the bulk of the processed substrate and thus is an essentially room temperature process that does not result in any significant heating of the substrate (other than the highly localized effects at the cluster impact sites).
  • If a damaged crystal lattice condition, such as that caused by ion implantation of dopant atoms, exists within a layer near the target surface, the transient temperature conditions produced by energetic cluster impact can be employed to cause recovery of the damaged lattice. For this to occur, a sufficient thermal transient must be created in a volume extending through the damaged region to the undamaged silicon crystal below. During dissipation of the transient temperature conditions, cooling must proceed from the undamaged crystal lattice below the damaged layer back through the damage layer to the surface. Upon restoration or partial restoration of the crystal lattice within the damaged region, dopant atoms will become incorporated into lattice sites and electrical activation will occur.
  • Noble gases in the energetic cluster ion, such as argon and xenon, for example, being volatile and non-reactive have a high probability of escape from the affected zone, while materials such as boron, germanium, and silicon, for example, being less volatile and more likely to form chemical bonds, are more likely to remain in the affected zone, becoming incorporated in the surface of the substrate. If a gas containing an appropriate semiconductor dopant atom such as boron is added to, or used as, the gas to form the energetic gas-clusters, the energetic gas-cluster impact can deposit dopant atoms into a semiconductor lattice and simultaneously produce recovery or partial recovery of any damage to the lattice.
  • Inert gases such as, for example, noble inert gases argon and xenon, can be mixed with gases containing elements that form semiconductors, germanium or silicon, for example, and/or with gases that contain elements that act as dopants (dopants are elements that, when introduced into a pure semiconductor material, act as electron donors or acceptors for modifying the electrical characteristics of the semiconductor material) for semiconductor materials, boron, phosphorous and arsenic, for example, to form compound gas-clusters containing different selected elements. Such clusters can be formed with existing gas-cluster ion beam processing equipment as will be described hereinafter, by using suitable source gas mixtures as the source gas for gas-cluster ion beam generation, or by feeding two or more gases (or gas mixtures) into the gas-cluster ion generating source and allowing them to mix in the source. Germanium-containing gases such as germane (GeH4) or germanium tetrafluoride (GeF4), for example, may be employed for incorporating germanium into gas-clusters. Silicon-containing gases such as silane (SiH4) and silicon tetrafluoride (SiF4), for example, may be employed for incorporating silicon into gas-clusters. Dopant-containing gases such as diborane (B2H6), boron trifluoride (BF3), phosphine (PH3), phosphorous pentafluoride (PF5), arsine (AsH3), arsenic pentafluoride (AsF5), as examples, may be employed for incorporating dopant atoms into gas-clusters. For example, argon and germane can be mixed to make a source gas for forming clusters for infusing germanium. As another example, argon and diborane can be mixed to form a source gas for forming clusters containing boron for infusing boron. As still another example, argon, diborane, and germane can be mixed to form a source gas for forming clusters containing both boron and germanium atoms for infusing both boron and germanium.
  • For some semiconductor products, an important requirement for the introduction of dopants into the semiconductor surface or for the formations of films is that the maximum depth to which the dopant has been introduced be rather shallow, on the order of several tens of angstroms to a few hundred angstroms. Gas-cluster ion beams are particularly suited for shallow doping because, while the gas-cluster ions may be accelerated to several kilo-electron volts (or even several tens of kilo-electron volts) of energy, because the clusters typically consist of thousands of atoms, individual atoms have little energy and do not ballistically penetrate the irradiated surface to great depths as occurs in conventional ion implantation and other monomer ion processes. The depth of the effects produced by energetic gas-cluster impact can be controlled by controlling the energy of the gas-cluster and, through such control, films of 100 angstroms or even less can be formed and/or processed. In addition, it is noted that gas-cluster ion beams are very efficient at infusing cluster constituents into the surfaces they irradiate. Conventional ion beams typically implant one or at most a few atoms per ion. In the case of a GCIB, the efficiency is much higher. As an example, a gas-cluster ion beam formed of clusters formed from a mixture of 5% germane in argon will typically incorporate from 100 to 2000 germanium atoms per gas-cluster ion into the irradiated surface, the exact number being controllably and repeatably dependent on beam parameters. The infused films tend to be amorphous or polycrystalline, but they can be converted to crystalline films by applying a thermal annealing step (e.g., at a temperature at or below 600 C), either a rapid anneal or a furnace anneal, preferably a non-diffusing or low-diffusing anneal. If needed to optimize anneal conditions a post-infusion-amorphization step can be done by conventional ion implantation, or by GCIB infusion of, for example, germanium by employing germanium-containing energetic gas-cluster ions. With suitable clusters containing both a dopant (boron for example) and an amorphizing agent (germanium for example) a single GCIB infusion step can produce a very shallow doped and amorphized layer that can be annealed and activated without a separate amorphizing step. When GCIB infusion of both boron and germanium is performed in a silicon substrate, the presence of the germanium can also serve to increase the solid solubility of the boron in the silicon, permitting higher boron doping levels.
  • Inert gas gas-cluster ion beam processing of a GCIB infusion doped semiconductor can be used to improve the depth-distribution profile of the dopant when it is desired to flatten the profile peak while yet retaining a shallow, abrupt depth-distribution tail.
  • BRIEF DESCRIPTION OF THE FIGURES
  • For a better understanding of the present invention, together with other and further objects thereof, reference is made to the accompanying drawing and detailed description, wherein:
  • FIG. 1 is a schematic showing the basic elements of a prior art GCIB processing apparatus that uses an electrostatically scanned beam;
  • FIG. 2 is a schematic showing the basic elements of a prior art GCIB processing apparatus that uses a stationary beam with mechanical scanning of the workpiece and that includes provision for mixing source gases;
  • FIG. 3 is a schematic of a portion of a semiconductor wafer receiving gas-cluster ion irradiation;
  • FIG. 4 is a schematic enlarging a portion of the semiconductor wafer from FIG. 3, showing additional detail including a mixed-gas-cluster ion;
  • FIG. 5 is a schematic of a portion of a semiconductor wafer, showing modification of the surface in the affected zone of a cluster impact, according to the invention;
  • FIG. 6 is a schematic of a portion of a semiconductor wafer, showing modification of surface regions impacted by many gas-cluster ions forming a surface film according to the invention;
  • FIG. 7 is a schematic of a portion of a semiconductor wafer, showing mask controlled localization of processing during irradiation by gas-cluster ions;
  • FIG. 8 is a schematic of a portion of a semiconductor wafer after mask controlled localization of processing during gas-cluster ion irradiation to form an infused film by gas-cluster ion beam processing;
  • FIG. 9 is a graph showing results of Secondary Ion Mass Spectrometry (SIMS) depth profile measurements comparing conventional ion implantation and GCIB infusion, both in a silicon substrate;
  • FIG. 10 is a graph showing results of SIMS measurement of a series of boron infused films formed by application of the invention;
  • FIG. 11 is a graph showing results of SIMS measurement of an infusion doped film formed by a specific application of the invention and showing effects of subsequent processing by argon GCIB;
  • FIG. 12 is a graph showing results of SIMS measurements boron GCIB infusion doping following furnace anneal for three specific example applications of the invention;
  • FIG. 13 is a graph showing results of SIMS and spreading resistance probe (SRP) measurement on boron infused silicon processed according to specific applications of the invention;
  • FIG. 14 is a graph showing results of SIMS measurement of an infused film formed by a specific application of the invention;
  • FIG. 15(A, B, C) is cross-sectional transmission electron micrographs showing amorphous layer formation in silicon by germanium GCIB Ge infusion;
  • FIG. 16 is a graph showing results of SIMS measurements of an infused film formed by a specific application of the invention; and
  • FIG. 17 is a graph showing results of SIMS measurements of the infused film of FIG. 16 after annealing for activation.
  • DETAILED DESCRIPTION OF THE INVENTION
  • FIG. 1 shows a schematic of the basic elements of a prior art configuration for a processing apparatus 100 for generating a GCIB in accordance with the present invention. Apparatus 100 may be described as follows: a vacuum (reduced-pressure) vessel 102 is divided into three communicating chambers, a source chamber 104, an ionization/acceleration chamber 106, and a processing chamber 108. The three chambers are evacuated to suitable operating pressures by vacuum pumping systems 146 a, 146 b, and 146 c, respectively. A condensable source gas 112 (for example argon or N2) stored in a gas storage cylinder 111 is admitted under pressure through gas metering valve 113 and gas feed tube 114 into stagnation chamber 116 and is ejected into the substantially lower pressure vacuum through a properly shaped nozzle 110. A supersonic gas jet 118 results. Cooling, which results from the expansion in the jet, causes a portion of the gas jet 118 to condense into clusters, each consisting of from several to several thousand weakly bound atoms or molecules. A gas skimmer aperture 120 partially separates the gas molecules that have not condensed into a cluster jet from the cluster jet so as to minimize pressure in the downstream regions where such higher pressures would be detrimental (e.g., ionizer 122, high voltage electrodes 126, and processing chamber 108). Suitable condensable source gases 112 include, but are not necessarily limited to argon, nitrogen, carbon dioxide, oxygen, and other gases.
  • After the supersonic gas jet 118 containing gas-clusters has been formed, the clusters are ionized in an ionizer 122. The ionizer 122 is typically an electron impact ionizer that produces thermoelectrons from one or more incandescent filaments 124 and accelerates and directs the electrons causing them to collide with the gas-clusters in the gas jet 118, where the jet passes through the ionizer 122. The electron impact ejects electrons from the clusters, causing a portion the clusters to become positively ionized. A set of suitably biased high voltage electrodes 126 extracts the cluster ions from the ionizer, forming a beam, then accelerates them to a desired mean energy (typically from 1 keV to several tens of keV) and focuses them to form a GCIB 128. Filament power supply 136 provides filament voltage Vf to heat the ionizer filament 124. Anode power supply 134 provides anode voltage VA to accelerate thermoelectrons emitted from filament 124 to cause them to irradiate the cluster containing gas jet 118 to produce ions. Extraction power supply 138 provides extraction voltage VE to bias a high voltage electrode to extract ions from the ionizing region of ionizer 122 and to form a GCIB 128. Accelerator power supply 140 provides acceleration voltage VAcc to bias a high voltage electrode with respect to the ionizer 122 so as to result in a total GCIB acceleration potential equal to VAcc. VAcc is typically adjustable and controllable, having a typical range of from a few hundred volts to as much as several tens of kV or even as much as 100 kV. One or more lens power supplies (142 and 144 shown for example) may be provided to bias high voltage electrodes with focusing voltages (VL1 and VL2 for example) to focus the GCIB 128.
  • A workpiece 152, which may be a semiconductor wafer or other workpiece to be processed by GCIB processing, is held on a workpiece holder 150, disposed in the path of the GCIB 128. Since most applications contemplate the processing of large workpieces with spatially uniform results, a scanning system is desirable to uniformly scan the GCIB 128 across large areas to produce spatially homogeneous results. Two pairs of orthogonally oriented electrostatic scan plates 130 and 132 can be utilized to produce a raster or other scanning pattern across the desired processing area. When beam scanning is performed, the GCIB 128 is converted into a scanned GCIB 148, which scans the entire surface of workpiece 152.
  • FIG. 2 shows a schematic of the basic elements of a prior art mechanically scanning GCIB processing apparatus 200 for generating a GCIB in accordance with the present invention. Apparatus 200 may be described as having a stationary beam with a mechanically scanned workpiece 152, and having a conventional faraday cup for beam measurement and a conventional thermionic neutralizer. GCIB formation is similar to as shown in FIG. 1, except there is additional provision for an optional second source gas 222 (typically different from the source gas 112) stored in a gas storage cylinder 221 with a gas metering valve 223 and connecting through gas feed tube 114 into stagnation chamber 116. Although not shown, it will be readily appreciated by those of skill in the art that three or more source gases can easily be arranged for by adding additional gas storage cylinders, plumbing, and valves. This multiple gas arrangement allows for controllably selecting between two differing source gasses 112 and 222 or for controllably forming a mixture of two (or more) source gasses for use in forming gas-clusters. It is further understood that the source gases, 112, and 222, may themselves be mixtures of gases, for examples argon plus 1% diborane, or argon plus 5% germane. In addition, in the mechanically scanning GCIB processing apparatus 200 of FIG. 2, the GCIB 128 is stationary (not electrostatically scanned as in the GCIB processing apparatus 100) and the workpiece 152 is mechanically scanned through the GCIB 128 to distribute the effects of the GCIB 128 over a surface of the workpiece 152.
  • An X-scan actuator 202 provides linear motion of the workpiece holder 150 in the direction of X-scan motion 208 (into and out of the plane of the paper). A Y-scan actuator 204 provides linear motion of the workpiece holder 150 in the direction of Y-scan motion 210, which is typically orthogonal to the X-scan motion 208. The combination of X-scanning and Y-scanning motions moves the workpiece 152, held by the workpiece holder 150 in a raster-like scanning motion through GCIB 128 to cause a uniform irradiation of a surface of the workpiece 152 by the GCIB 128 for uniform processing of the workpiece 152. The workpiece holder 150 disposes the workpiece 152 at an angle with respect to the axis of the GCIB 128 so that the GCIB 128 has an angle of beam incidence 206 with respect to the workpiece 152 surface. The angle of beam incidence 206 may be 90 degrees or some other angle, but is typically 90 degrees or near 90 degrees. During Y-scanning, the workpiece 152 held by workpiece holder 150 moves from the position shown to the alternate position “A”, indicated by the designators 152A and 150A respectively. Notice that in moving between the two positions, the workpiece 152 is scanned through the GCIB 128 and in both extreme positions, is moved completely out of the path of the GCIB 128 (over-scanned). Though not shown explicitly in FIG. 2, similar scanning and over-scan is performed in the (typically) orthogonal X-scan motion 208 direction (in and out of the plane of the paper).
  • A beam current sensor 218 is disposed beyond the workpiece holder 150 in the path of the GCIB 128 so as to intercept a sample of the GCIB 128 when the workpiece holder 150 is scanned out of the path of the GCIB 128. The beam current sensor 218 is typically a faraday cup or the like, closed except for a beam-entry opening, and is affixed to the wall of the vacuum vessel 102 with an electrically insulating mount 212.
  • A controller 220, which may be a microcomputer based controller connects to the X-scan actuator 202 and the Y-scan actuator 204 through electrical cable 216 and controls the X-scan actuator 202 and the Y-scan actuator 204 so as to place the workpiece 152 into or out of the GCIB 128 and to scan the workpiece 152 uniformly relative to the GCIB 128 to achieve uniform processing of the workpiece 152 by the GCIB 128. Controller 220 receives the sampled beam current collected by the beam current sensor 218 by way of lead 214 and thereby monitors the GCIB and controls the GCIB dose received by the workpiece 152 by removing the workpiece 152 from the GCIB 128 when a predetermined desired dose has been delivered.
  • FIG. 3 is an illustration of a surface region 300 of a portion of a semiconductor wafer 302 being impacted by a gas-cluster ion 306 comprising a mixture of noble gas and other gas molecules. The figure is not drawn to scale. The semiconductor wafer 302 has a surface 304 and is, for example, a single crystal material and may be at any of several stages of processing for fabricating an integrated circuit or semiconductor device. A gas-cluster ion 306 having a trajectory 308 is shown impacting surface 304 of semiconductor wafer 302, where it forms a gas-cluster ion-impact region 310. According to an embodiment of the invention, gas-cluster ion 306 has been formed so that it is a cluster that includes dopant atom species and/or a species to promote increased amorphization or to improve dopant solubility (germanium for example). For example, the cluster might include diborane, germane, and/or other species in addition to a noble gas such as argon.
  • FIG. 4 is a schematic 320 enlarging a portion of the semiconductor wafer 302 from FIG. 3, showing additional detail. Gas-cluster ion 306 comprises multiple molecules of at least two gases that include at least a noble gas and a gas comprising either a dopant atom or a species to promote increased amorphization or to improve dopant solubility (germanium or silicon, for example). The gas-cluster ion 306 contains noble gas atoms 322 and gas molecules 324 comprising either a dopant atom species or a species to promote increased amorphization or to improve dopant solubility such as, for example, germanium or silicon. Optionally, the gas-cluster ion 306 may contain additional dopant atom species or amorphization-promoting or dopant solubility improving molecules 332 of a type different than that of gas molecules 324. Thus, the cluster 306 may be comprised of at least a noble gas portion and multiple, fractional portions of distinct molecule species of dopant atoms or amorphization-promoting or dopant solubility improving atom species. Such a gas-cluster ion 306 may be formed in a GCIB processing apparatus 200 as shown in or similar to those shown in FIG. 2, for example. When it is desired to have a mixture of gasses in the gas-clusters, a premixed gas mixture with the desired mix can be provided in a single gas storage cylinder 111 (FIG. 2) or alternatively, separate, differing source gases or source gas mixtures 112 and 222 can be provided in gas storage cylinders 111 and 221 (FIG. 2) and then mixed in desired proportions as they flow to the stagnation chamber 116 (FIG. 2) by suitable adjustment of gas metering valves 113 and 223 (FIG. 2), which are preferably mass flow controller valves. Thus, it is possible to generate gas-cluster ion beams with a controllable mixture of two or more gasses. Referring again to FIG. 4, the gas-cluster ion 306 is shown (for example and not for limitation) to comprise noble gas atoms 322 and multiple types of dopant or amorphization promoting gas molecules 324, 332. It is recognized that a wide range of mixtures of noble gas, dopant and amorphization promoting gas molecules are useful in the present invention and that the clusters used for the process of the invention can be formed from noble gas mixed with very high concentrations of dopant or amorphization promoting gas molecules, or at the other extreme, the ratio of dopant or amorphization promoting gas molecules to noble gas molecules may be so low that some or many gas-cluster ions do not contain even a single non-noble gas molecule, but wherein at least a portion of the gas-cluster ions in a gas-cluster ion beam comprise one or more molecules of dopant or amorphization promoting gas molecules. Typically, the concentration of amorphization promoting gas molecules, if present, will comprise on the order a few to several tens of molecular percent of the gas-cluster ions, while any dopant-containing gas molecules will be of lower molecular concentration (for example, not for limitation, from about 0.01 to about 20 molecular percent) in the gas-cluster ions. The gas-cluster ion-impact region 310 has a boundary 326. The volume of the gas-cluster ion-impact region 310 and hence it's depth of penetration of the surface of the semiconductor is dependent on the preselected and controlled energy of the gas-cluster ion 306. It is preferable to use gas-cluster ions having energies in the range of from about 1 keV to about 40 keV per ionic charge (acceleration potentials of from about 1 kV to about 40 kV). Upon impact of an energetic gas-cluster ion 306 on the surface 304, the gas-cluster ion 306 dissociates and the individual dopant or amorphization promoting gas molecules from the dissociated cluster become free. Inert gas molecules typically recoil and escape from the surface 304 of the semiconductor wafer 302. Some molecules including some of the dopant or amorphization promoting gas molecules become infused into the surface. Other than a small energy carried away by the escaping individual gas atoms, the total energy of the energetic gas-cluster ion 306 becomes deposited into the gas-cluster ion-impact region 310. The dimensions of the gas-cluster ion-impact region 310 are dependent on the energy of the cluster but are small—on the order of tens or hundreds of angstroms in diameter—depending on the preselected gas-cluster ion energy. Because of the deposition of most of the total energy carried by the gas-cluster ion 306 into the small gas-cluster ion-impact region 310, an intense thermal transient occurs within the material in the gas-cluster ion-impact region 310. The heat deposited in the gas-cluster ion-impact region 310 dissipates by conduction in the directions 328 deeper into the surrounding semiconductor material. Duration of the thermal transient is determined by the thermal conductivity of the surrounding material but will typically be less than 10−6 second.
  • In the gas-cluster ion-impact region 310, material can momentarily reach temperatures of many hundreds to several thousands of degrees Kelvin. As an example, impact of a gas-cluster ion 306 when carrying 10 keV total energy is estimated to be capable of producing a momentary temperature increase of about 2000 degrees Kelvin throughout an gas-cluster ion-impact region 310 extending to almost 100 Angstroms below the surface 304. Without being bound to a particular theory, it is believed that during the thermal transient, thermal agitation is high enough to possibly melt the material in the gas-cluster ion-impact region 310. As the gas-cluster ion-impact region 310 cools by thermal conduction in the directions 328, part of the dopant or amorphization promoting material in the impacted cluster becomes infused into the cluster ion impact region 310 and is incorporated into the cooled surface. Another potential effect of the thermal transient is the restoration or partial restoration of crystallinity for damaged material within much of the gas-cluster ion-impact region.
  • FIG. 5 is an illustration of a surface region 340 of a portion of a semiconductor wafer 302, showing infusion of dopant or amorphization promoting atoms into a region impacted by a gas-cluster ion, according to the present invention. After the gas-cluster ion-impact event described in FIG. 4, upon dissipation of the thermal transient, an infused region 342 replaces the gas-cluster ion-impact region 310 of FIG. 4. Infused region 342 extends to a depth 344 below the surface 304 of semiconductor wafer 302.
  • FIG. 6 is an illustration of a surface region 360 of a portion of a semiconductor wafer 302, showing an infused film 362 formed by completion of gas-cluster ion beam processing according to the present invention. With continued gas-cluster ion irradiation, infused regions similar to the infused region 342 (FIG. 5) form, overlap, and eventually develop the infused film 362, extending to a depth 364 below the surface 304 of the semiconductor wafer 302.
  • FIG. 7 is an illustration of a surface region 400 of a portion of a semiconductor wafer 302 being impacted by a gas-cluster ion 306 comprising a mixture of noble gas and other gas molecules. The figure is not drawn to scale. The semiconductor wafer 302 has a surface 304 and is typically a single crystal material and may be at any of several stages of processing for fabricating an integrated circuit or semiconductor device. A portion of the surface 304 of the semiconductor wafer 302 is covered by a mask 402 that masks part of the surface 304 from irradiation by energetic clusters. A gas-cluster ion 306 having a trajectory 308 is shown impacting surface 304 of semiconductor wafer 302 in an unmasked region, where it forms a gas-cluster ion-impact region 310. Any clusters that strike the mask 402 are prevented by the mask from affecting the surface 304 of the semiconductor wafer 302. The mask 402 can be either a hard mask like silicon dioxide, or a soft mask such as photoresist material.
  • FIG. 8 is an illustration of a surface region 420 of a portion of a semiconductor wafer 302, showing an infused film 422 formed by completion of gas-cluster ion beam processing of the masked wafer shown in FIG. 7, according to the present invention. With continued gas-cluster ion irradiation, infused regions similar to the infused region (342 FIG. 5) form, overlap, and eventually develop the infused film 422 only at the exposed surface regions of the mask 402.
  • FIGS. 6 and 8 show the formation of infused films (362 and 422) on semiconductor substrates. Doped films and/or amorphized films can be can be formed. The amount of processing that occurs is a function of both cluster ion energy and cluster ion dose. The process herein referred to as “infusion” occurs (the dopant and/or amorphization promoting atoms in the gas-cluster ions become mixed into the shallow subsurface regions of the irradiated surface (362 and 422 in FIGS. 6 and 8 respectively). Specific examples of some of the useful processes will be given in additional detail hereinafter. Some re-crystallization and electrical activation can also be achieved in originally damaged material in the impact region at the surface by the gas-cluster ion beam processing.
  • Experimental Results
  • FIG. 9 is a graph showing results of Secondary Ion Mass Spectrometry (SIMS) depth profile measurements comparing conventional ion implantation and GCIB infusion, both in a silicon substrate. A conventional 500 eV BF3 implant and a GCIB boron infusion (according to the invention) performed using a mixture of 1% B2H6 in argon are compared. Both doping processes were accomplished without a pre-amorphization step. While the as-implanted 500 eV boron profile shows a prominent channeling tail that results in a 1×1018 at/cc concentration at approximately 400 angstroms, the GCIB as-infused profile shows a 1×1018 at/cc concentration at approximately 120 angstroms and exhibits a very abrupt concentration gradient of approximately 25 angstroms/decade. The GCIB infusion was performed using 5 kV acceleration potential resulting in 5 keV energy for singly charged clusters and higher energies for multiply charged clusters. The cluster infusion dose of 3×1014 clusters/cm2 resulted in a boron dose of approximately 1.9×1015 at/cm2. A gas-cluster ion beam processing system similar to that shown in FIG. 2 was used to perform the GCIB infusion process.
  • FIG. 10 is a graph showing results of SIMS measurement of a series of boron infused films formed by a method in accordance with the invention. GCIB boron infusion (according to the invention) was performed using a mixture of 1% B2H6 in argon at five different gas-cluster acceleration potentials (2.5, 5, 10, 20, and 30 kV). All were accomplished without a pre-amorphization step. All were performed with 3×1014 gas clusters/cm2 infusion doses, which resulted in corresponding boron atom doses shown on the face of the FIG. 10 graph. The boron 1×1018 at/cc concentration depths were approximately (75, 120, 180, 240, and 280 angstroms, respectively). The GCIB infusions performed using acceleration potentials (2.5, 5, 10, 20, and 30 kV) resulted in cluster energies for singly charged clusters of (2.5, 5, 10, 20, and 30 keV respectively) and higher energies for multiply charged clusters. A gas-cluster ion beam processing system similar to that shown in FIG. 2 was used to perform the GCIB infusion process.
  • FIG. 11 is a graph showing results of SIMS measurement of an infusion doped film formed by a specific application of the invention and showing effects of subsequent processing by argon GCIB. In this example, a gas-cluster ion beam processing system similar to that shown in FIG. 2 was used to perform a boron infusion doping of a silicon semiconductor wafer substrate. A mixture of 1% diborane (B2H6) in argon was used as a source gas for gas-cluster formation and a GCIB infusion dose of 3×1014 gas-cluster ions/cm2 was irradiated to the substrate. One region of the substrate was subsequently additionally irradiated with an argon GCIB gas-cluster ion dose of 3×1014 gas-cluster ions/cm2 accelerated by a 5 kV acceleration potential. A second region of the substrate was subsequently additionally irradiated with an argon GCIB gas-cluster ion dose of 1×1015 gas-cluster ions/cm2, accelerated by a 5 kV acceleration potential. The FIG. 11 graph shows the original as-infused boron distribution and also shows that the two post-infusion operations of additional argon GCIB irradiation were effective in flattening the peak of the as-infused distribution and that for a given argon GCIB acceleration potential (5 kV) the depth of the tail of the distribution is substantially independent of the argon GCIB gas-cluster ion dose, both cases resulting in improving the initial as-infused distribution by flattening the peak while retaining a very abrupt concentration gradient in the tail. The 1×1018 at/cc concentration of the argon processed samples both being approximately 150 angstroms deep. The tail of the redistributed boron distribution appears to depend primarily on the argon GCIB acceleration potential and not on the GCIB gas-cluster ion dose. In many semiconductor applications, the flattened profile peak obtained by the argon GCIB post-infusion doping processing is preferred over the original as-infused boron distribution profile.
  • FIG. 12 is a graph showing results of SIMS measurements boron GCIB infusion doping following furnace anneal for three specific example applications of the invention. In all three examples, a gas-cluster ion beam processing system similar to that shown in FIG. 2 was used to perform a boron infusion doping of a silicon semiconductor wafer substrate. A mixture of 1% diborane (B2H6) in argon was used as a source gas for gas-cluster ion formation, a 5 kV acceleration potential was used to accelerate the GCIB and a GCIB infusion dose selected to result in a 5×1015 boron atom/cm2 doping of the silicon was irradiated to each of three substrates. One of the boron infused substrates was subsequently additionally post-infusion amorphized with a 30 keV conventional ion implantation of silicon ions to an implant dose of 1×1015 Si ions/cm2. A second of the boron infused substrates was subsequently additionally post-infusion irradiated with a 5 keV conventional ion implantation of germanium ions to an implant dose of 5×1014 Ge ions/cm2. All three infused substrates were subsequently annealed in a furnace at 550 degrees C. for 60 minutes. In the case of boron infusion without subsequent post-infusion amorphization, the annealed boron profile was essentially the same as the as-infused profile (not shown). In the case of the germanium ion implant post-infusion amorphization, there was seen a modest amount of boron redistribution, with the 1×1018 boron atom/cc concentration depth increasing from approximately 140 angstroms to approximately 220 angstroms. In the case of the silicon ion implant post-infusion amorphization, there was seen a larger amount of boron redistribution, with the 1×1018 boron atom/cc concentration depth increasing from approximately 140 angstroms to approximately 450 angstroms. These examples show that although conventional ion implantation can be used to facilitate dopant activation with annealing, it results some redistribution of the dopant after low temperature anneal.
  • FIG. 13 is a graph showing results of SIMS and spreading resistance probe (SRP) measurement on boron infused silicon processed according to specific applications of the invention. In all the examples shown in FIG. 13, a gas-cluster ion beam processing system similar to that shown in FIG. 2 was used to perform a boron infusion doping of a silicon semiconductor wafer substrate. A mixture of 1% diborane (B2H6) in argon was used as a source gas for gas-cluster ion formation, a 5 kV acceleration potential was used to accelerate the GCIB and a GCIB infusion dose selected to result in a 5×1015 boron atom/cm2 doping of each silicon substrate (same boron infusion conditions as for the cases shown in FIG. 12). In case (b) the boron infused substrate was furnace annealed at 550 degrees C. for 60 minutes. The boron distribution in this case (b) was substantially unchanged from the as-infused case (not shown). In case (a) the boron infused substrate was furnace annealed at 950 degrees C. for 60 minutes. In case (a) the high temperature anneal resulted in considerable diffusion of the dopant. SRP measurements for case (a) are indicated by the dash-dot curve (e) and show an activated dopant level of 3×1019 boron atoms/cc, but with a deep junction of 3000-4000 angstroms. In case (d) the infused boron was subsequently post-infusion amorphized with 30 keV, 1×1015 Si ions/cm2 conventional ion implantation amorphization. After amorphization with silicon, the case (d) was furnace annealed for 60 minutes at 550 degrees C. SRP measurements for case (d) are indicated by the dashed curve (f) and show an electrical junction depth of approximately 50 angstroms.
  • FIG. 14 is a graph showing results of SIMS measurement of an infused film formed by a specific application of the invention. In this example (identified as sample 144-3), a gas-cluster ion beam processing system similar to that shown in FIG. 2 was used to process the surface of a silicon semiconductor wafer. A mixture of 5% germane (GeH4) in argon was used as one source gas for gas-cluster formation, while a mixture of 1% diborane (B2H6) in argon was used as a second source gas for gas-cluster formation. The two source gases were mixed as they flowed into the stagnation chamber—the germane mixture was fed at a rate of 30 sccm and the diborane mixture was fed at a rate of 300 sccm. The ionized gas-cluster ion beam was accelerated by 30 kV acceleration voltage and a dose of 1×1015 gas-cluster ions/cm2 was irradiated onto the silicon wafer. The SIMS analysis confirms that a surface infused with boron ions and simultaneously infused with germanium ions for amorphizing the layer (or for increasing the solid solubility limit of a dopant) has been formed.
  • FIGS. 15A-15C are cross-sectional transmission electron micrographs showing amorphous layer formation in silicon by germanium GCIB Ge infusion. The sample shown in FIG. 15A is as-infused (approximately 1×1015 germanium atoms/cm2 infused by GCIB infusion using 5% GeH4 in argon as gas-cluster ion source gas) and clearly shows the amorphous layer 502 formation. FIG. 15B shows the germanium infused layer of FIG. 15A after a 60 minute, 550 degree C. furnace anneal and shows the conversion of the amorphous layer 502 to a single-crystalline layer 504. FIG. 15C shows the germanium infused layer of FIG. 15A after a 60 minute, 950 degree C. furnace anneal and also shows conversion of the amorphous layer to a single crystalline layer.
  • FIG. 16 is a graph showing results of SIMS measurements of an infused film formed by a specific application of the invention. In this example, a gas-cluster ion beam processing system similar to that shown in FIG. 2 was used to process the surface of a silicon semiconductor wafer. A mixture of 5% germane (GeH4) in argon was used as one source gas for gas-cluster formation, while a mixture of 1% diborane (B2H6) in argon was used as a second source gas for gas-cluster formation. The two source gases were mixed as they flowed into the stagnation chamber—the germane mixture was fed at a rate of 300 sccm and the diborane mixture was fed at a rate of 75 sccm. The ionized gas-cluster ion beam was accelerated by 5 kV acceleration voltage and a dose of 1×1015 gas-cluster ions/cm2 was irradiated onto the silicon wafer. The SIMS analysis confirms that a surface infused with boron ions and simultaneously infused with germanium ions for amorphizing the layer (as shown in FIG. 15A) or for increasing the solid solubility limit of the boron dopant has been formed.
  • FIG. 17 is a graph showing results of SIMS measurements of the infused film of FIG. 16 after annealing for activation. In this example the boron-germanium infused film was furnace annealed for 60 minutes at 550 degrees C. When compared to the SIMS plots of FIG. 16, it is seen that no significant movement of the dopant occurred as a result of the anneal. Evaluation of the film after anneal showed that the doped junction was electrically activated and remained very shallow and abrupt
  • Although the invention has been described with respect to various embodiments, it should be realized this invention is also capable of a wide variety of further and other embodiments within the spirit of the invention.

Claims (21)

1. A method of infusing material into a substrate, comprising:
maintaining a reduced-pressure environment around a substrate holder for holding a substrate having a surface;
holding said substrate securely within said reduced-pressure environment;
providing to said reduced-pressure environment a gas-cluster ion beam formed from a pressurized gas mixture including an inert gas and at least one other atomic or molecular specie;
accelerating said gas-cluster ion beam; and
irradiating said accelerated gas-cluster ion beam onto one or more portions of said surface of said substrate to form an infused region in said substrate by introducing part or all of said at least one other atomic or molecular specie into said surface.
2. The method of claim 1, further comprising:
controlling a penetration depth of said infused region by controlling a beam energy of said accelerated gas-cluster ion beam.
3. The method of claim 1, wherein said providing step further comprises:
delivering said pressurized gas mixture to an expansion nozzle;
flowing said pressurized gas mixture through said expansion nozzle into said reduced-pressure environment to form a jet containing gas-clusters; and
ionizing at least a portion of said gas-clusters to form said gas-cluster ion beam.
4. The method of claim 1, wherein said inert gas comprises a noble gas.
5. The method of claim 1, wherein said at least one other atomic or molecular specie comprises O, N, C, Si, Ge, B, P, or As, or any combination of two or more thereof.
6. The method of claim 1, wherein said pressurized gas mixture comprises O2, N2, CO2, SiH4, SiF4, GeH4, GeF4, B2H6, BF3, PH3, PF5, AsH3, or AsF5, or any combination of two or more thereof.
7. The method of claim 1, wherein said irradiating step comprises scanning said gas-cluster ion beam across at least a portion of said surface of said substrate.
8. The method of claim 1, wherein said irradiating step comprises scanning said at least a portion of said surface of said substrate through said gas-cluster ion beam.
9. The method of claim 1, further comprising:
crystallizing or partially crystallizing said infused region of said surface of said substrate.
10. The method of claim 1, further comprising:
annealing said infused region of said surface of said substrate.
11. The method of claim 10, wherein said annealing comprises elevating a temperature of said substrate to 600 degrees C. or less.
12. The method of claim 1, wherein said substrate comprises a semiconductor substrate.
13. The method of claim 1, wherein said substrate is essentially silicon.
14. A method of infusing material into a substrate, comprising:
maintaining a reduced-pressure environment around a substrate holder for holding a substrate having a surface;
holding said substrate securely within said reduced-pressure environment;
providing to said reduced-pressure environment a gas-cluster ion beam formed from a pressurized gas mixture including an inert gas and at least one other atomic or molecular specie;
accelerating said gas-cluster ion beam; and
modifying at least one electrical property of said surface of said substrate by irradiating said accelerated gas-cluster ion beam onto one or more portions of said surface of said substrate.
15. The method of claim 14, wherein said providing step further comprises:
delivering said pressurized gas mixture to an expansion nozzle;
flowing said pressurized gas mixture through said expansion nozzle into said reduced- pressure environment to form a jet containing gas-clusters; and
ionizing at least a portion of said gas-clusters to form said gas-cluster ion beam.
16. The method of claim 14, wherein said inert gas comprises a noble gas.
17. The method of claim 14, wherein said at least one other atomic or molecular specie comprises O, N, C, Si, Ge, B, P, or As, or any combination of two or more thereof.
18. The method of claim 14, wherein said pressurized gas mixture comprises O2, N2, CO2, SiH4, SiF4, GeH4, GeF4, B2H6, BF3, PH3, PF5, AsH3, or AsF5, or any combination of two or more thereof.
19. The method of claim 14, further comprising:
annealing said infused region of said surface of said substrate.
20. The method of claim 19, wherein said annealing comprises elevating a temperature of said substrate to 600 degrees C. or less.
21. A method of introducing material into a substrate, comprising:
maintaining a reduced-pressure environment around a substrate holder for holding a substrate having a surface;
holding said substrate securely within said reduced-pressure environment;
providing to said reduced-pressure environment a gas-cluster ion beam formed from a pressurized gas mixture including an inert gas and at least one other atomic or molecular specie;
accelerating said gas-cluster ion beam; and
irradiating said accelerated gas-cluster ion beam onto one or more portions of said surface of said substrate to form a gas-cluster ion-impact region in said substrate by introducing part or all of said at least one other atomic or molecular specie into said surface.
US12/142,453 2002-12-12 2008-06-19 Method of introducing material into a substrate by gas-cluster ion beam irradiation Abandoned US20080245974A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US12/142,453 US20080245974A1 (en) 2002-12-12 2008-06-19 Method of introducing material into a substrate by gas-cluster ion beam irradiation

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US43386602P 2002-12-12 2002-12-12
PCT/US2003/039754 WO2004053945A2 (en) 2002-12-12 2003-12-12 Re-crystallization of semiconductor surface film and doping of semiconductor by energetic cluster irradiation
US55250504P 2004-12-03 2004-12-03
PCT/US2005/008246 WO2006062536A2 (en) 2004-12-03 2005-03-11 Formation of ultra-shallow junctions by gas-cluster ion irridation
US11/080,800 US7396745B2 (en) 2004-12-03 2005-03-11 Formation of ultra-shallow junctions by gas-cluster ion irradiation
US11/150,698 US7410890B2 (en) 2002-12-12 2005-06-11 Formation of doped regions and/or ultra-shallow junctions in semiconductor materials by gas-cluster ion irradiation
US12/142,453 US20080245974A1 (en) 2002-12-12 2008-06-19 Method of introducing material into a substrate by gas-cluster ion beam irradiation

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US11/150,698 Continuation US7410890B2 (en) 2002-12-12 2005-06-11 Formation of doped regions and/or ultra-shallow junctions in semiconductor materials by gas-cluster ion irradiation

Publications (1)

Publication Number Publication Date
US20080245974A1 true US20080245974A1 (en) 2008-10-09

Family

ID=46304711

Family Applications (2)

Application Number Title Priority Date Filing Date
US11/150,698 Active 2024-09-01 US7410890B2 (en) 2002-12-12 2005-06-11 Formation of doped regions and/or ultra-shallow junctions in semiconductor materials by gas-cluster ion irradiation
US12/142,453 Abandoned US20080245974A1 (en) 2002-12-12 2008-06-19 Method of introducing material into a substrate by gas-cluster ion beam irradiation

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US11/150,698 Active 2024-09-01 US7410890B2 (en) 2002-12-12 2005-06-11 Formation of doped regions and/or ultra-shallow junctions in semiconductor materials by gas-cluster ion irradiation

Country Status (1)

Country Link
US (2) US7410890B2 (en)

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080297982A1 (en) * 2007-05-30 2008-12-04 Sanyo Electric Co., Ltd. Solid electrolytic capacitor and method of manufacturing the same
US20090061107A1 (en) * 2007-08-31 2009-03-05 Sandhu Gurtej S Formation of Carbon-Containing Material
US20120045615A1 (en) * 2010-08-23 2012-02-23 Exogenesis Corporation Method and apparatus for neutral beam processing based on gas cluster ion beam technology
WO2013028663A1 (en) * 2011-08-22 2013-02-28 Exogenesis Corporation Methods and apparatus for employing an accelerated neutral beam for improved surface analysis
US20140363678A1 (en) * 2010-08-23 2014-12-11 Sean R. Kirkpatrick Method for neutral beam processing based on gas cluster ion beam technology and articles produced thereby
US20150294838A1 (en) * 2010-08-23 2015-10-15 Exogenesis Corporation Method and apparatus for neutral beam processing based on gas cluster ion beam technology
US20160004152A1 (en) * 2013-02-25 2016-01-07 Sean R. Kirkpatrick Defect reduction in a substrate treatment method
US9236221B2 (en) * 2013-11-22 2016-01-12 Tel Epion Inc. Molecular beam enhanced GCIB treatment
US20170303383A1 (en) * 2010-08-23 2017-10-19 Exogenesis Corporation Method for neutral beam processing based on gas cluster ion beam technology and articles produced thereby
US20180292745A1 (en) * 2010-08-23 2018-10-11 Exogenesis Corporation Enhanced high aspect ratio etch performance using accelerated neutral beams derived from gas-cluster ion beams
US10825685B2 (en) 2010-08-23 2020-11-03 Exogenesis Corporation Method for neutral beam processing based on gas cluster ion beam technology and articles produced thereby
US11199769B2 (en) 2010-08-23 2021-12-14 Exogenesis Corporation Method and apparatus for neutral beam processing based on gas cluster ion beam technology

Families Citing this family (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100788472B1 (en) * 2002-06-26 2007-12-24 세미이큅, 인코포레이티드 Vapor source for an ion source
US6686595B2 (en) * 2002-06-26 2004-02-03 Semequip Inc. Electron impact ion source
US7410890B2 (en) * 2002-12-12 2008-08-12 Tel Epion Inc. Formation of doped regions and/or ultra-shallow junctions in semiconductor materials by gas-cluster ion irradiation
KR100883148B1 (en) 2003-12-12 2009-02-10 세미이큅, 인코포레이티드 Method and apparatus for extending equipment uptime in ion implantation
JP2008522429A (en) * 2004-12-03 2008-06-26 エピオン コーポレーション Formation of ultra-shallow junction by gas cluster ion irradiation
US20070178679A1 (en) * 2006-01-28 2007-08-02 Varian Semiconductor Equipment Associates, Inc. Methods of implanting ions and ion sources used for same
US20070178678A1 (en) * 2006-01-28 2007-08-02 Varian Semiconductor Equipment Associates, Inc. Methods of implanting ions and ion sources used for same
KR100732630B1 (en) * 2006-02-01 2007-06-27 삼성전자주식회사 Semiconductor devices including impurity doped region and methods of forming the same
US7588984B2 (en) * 2006-05-11 2009-09-15 Nanya Technology Corporation Method to define a transistor gate of a DRAM and the transistor gate using same
US7622722B2 (en) * 2006-11-08 2009-11-24 Varian Semiconductor Equipment Associates, Inc. Ion implantation device with a dual pumping mode and method thereof
US20080105828A1 (en) * 2006-11-08 2008-05-08 Varian Semiconductor Equipment Associates, Inc. Techniques for removing molecular fragments from an ion implanter
US7642150B2 (en) * 2006-11-08 2010-01-05 Varian Semiconductor Equipment Associates, Inc. Techniques for forming shallow junctions
JP4788676B2 (en) * 2007-07-12 2011-10-05 トヨタ自動車株式会社 Gas introduction apparatus and method for analyzer
US20090032725A1 (en) * 2007-07-30 2009-02-05 Tokyo Electron Limited Apparatus and methods for treating a workpiece using a gas cluster ion beam
WO2009039382A1 (en) 2007-09-21 2009-03-26 Semequip. Inc. Method for extending equipment uptime in ion implantation
US7981483B2 (en) * 2007-09-27 2011-07-19 Tel Epion Inc. Method to improve electrical leakage performance and to minimize electromigration in semiconductor devices
US8192805B2 (en) * 2007-09-27 2012-06-05 Tel Epion Inc. Method to improve electrical leakage performance and to minimize electromigration in semiconductor devices
US7754588B2 (en) * 2007-09-28 2010-07-13 Tel Epion Inc. Method to improve a copper/dielectric interface in semiconductor devices
US7883999B2 (en) * 2008-01-25 2011-02-08 Tel Epion Inc. Method for increasing the penetration depth of material infusion in a substrate using a gas cluster ion beam
US8372735B2 (en) * 2008-08-14 2013-02-12 Varian Semiconductor Equipment Associates, Inc. USJ techniques with helium-treated substrates
US8124506B2 (en) * 2008-08-14 2012-02-28 Varian Semiconductor Equipment Associates, Inc. USJ techniques with helium-treated substrates
KR101223945B1 (en) * 2008-08-18 2013-01-21 고쿠리츠 다이가쿠 호진 교토 다이가쿠 Cluster jet processing method, semiconductor element, microelectromechanical element, and optical component
KR20110082007A (en) * 2008-09-25 2011-07-15 어플라이드 머티어리얼스, 인코포레이티드 Defect-free junction formation using octadecaborane self-amorphizing implants
US20110070724A1 (en) 2009-09-21 2011-03-24 Applied Materials, Inc. Defect-free junction formation using octadecaborane self-amorphizing implants
US8187971B2 (en) * 2009-11-16 2012-05-29 Tel Epion Inc. Method to alter silicide properties using GCIB treatment
US8779383B2 (en) 2010-02-26 2014-07-15 Advanced Technology Materials, Inc. Enriched silicon precursor compositions and apparatus and processes for utilizing same
TWI585042B (en) 2010-02-26 2017-06-01 恩特葛瑞斯股份有限公司 Method and apparatus for enhanced lifetime and performance of ion source in an ion implantation system
KR102306410B1 (en) 2013-08-16 2021-09-28 엔테그리스, 아이엔씨. Silicon implantation in substrates and provision of silicon precursor compositions therefor
CN115689533B (en) * 2022-12-26 2023-03-07 安徽皖欣环境科技有限公司 Data management method of intelligent operation and maintenance system for waste gas monitoring and processing

Citations (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4361762A (en) * 1980-07-30 1982-11-30 Rca Corporation Apparatus and method for neutralizing the beam in an ion implanter
US4886971A (en) * 1987-03-13 1989-12-12 Mitsubishi Denki Kabushiki Kaisha Ion beam irradiating apparatus including ion neutralizer
US4916311A (en) * 1987-03-12 1990-04-10 Mitsubishi Denki Kabushiki Kaisha Ion beaming irradiating apparatus including ion neutralizer
US5814194A (en) * 1994-10-20 1998-09-29 Matsushita Electric Industrial Co., Ltd Substrate surface treatment method
US5907780A (en) * 1998-06-17 1999-05-25 Advanced Micro Devices, Inc. Incorporating silicon atoms into a metal oxide gate dielectric using gas cluster ion beam implantation
US6013332A (en) * 1996-12-03 2000-01-11 Fujitsu Limited Boron doping by decaborane
US6124620A (en) * 1998-05-14 2000-09-26 Advanced Micro Devices, Inc. Incorporating barrier atoms into a gate dielectric using gas cluster ion beam implantation
US6251835B1 (en) * 1997-05-08 2001-06-26 Epion Corporation Surface planarization of high temperature superconductors
US20020036261A1 (en) * 2000-07-14 2002-03-28 Epion Corporation Gas cluster ion beam size diagnostics and workpiece processing
US20020070361A1 (en) * 2000-07-14 2002-06-13 Epion Corporation Gas cluster ion beam size diagnostics and workpiece processing
US6416820B1 (en) * 1999-11-19 2002-07-09 Epion Corporation Method for forming carbonaceous hard film
US20020130275A1 (en) * 2000-12-26 2002-09-19 Epion Corporation Charging control and dosimetry system for gas cluster ion beam
US6548341B2 (en) * 2000-08-09 2003-04-15 Infineon Technologies, Ag Process for producing a first electrode and a second electrode, electronic component and electronic memory element
US20030109092A1 (en) * 2001-10-25 2003-06-12 Won-Kook Choi Surface smoothing device and method thereof
US20040002202A1 (en) * 2002-06-26 2004-01-01 Horsky Thomas Neil Method of manufacturing CMOS devices by the implantation of N- and P-type cluster ions
US20040157456A1 (en) * 2003-02-10 2004-08-12 Hall Lindsey H. Surface defect elimination using directed beam method
US20040188631A1 (en) * 1999-12-13 2004-09-30 Semequip, Inc. Ion implantation ion source, system and method
US6805807B2 (en) * 1999-07-19 2004-10-19 Epion Corporation Adaptive GCIB for smoothing surfaces
US20050205802A1 (en) * 2004-03-19 2005-09-22 Epion Corporation Method and apparatus for improved processing with a gas-cluster ion beam
US20060043590A1 (en) * 2004-08-27 2006-03-02 International Business Machines Corporation Maintaining uniform cmp hard mask thickness
US20060105570A1 (en) * 2004-11-08 2006-05-18 Epion Corporation Copper interconnect wiring and method of forming thereof
US7259036B2 (en) * 2004-02-14 2007-08-21 Tel Epion Inc. Methods of forming doped and un-doped strained semiconductor materials and semiconductor films by gas-cluster-ion-beam irradiation and materials and film products
US7396745B2 (en) * 2004-12-03 2008-07-08 Tel Epion Inc. Formation of ultra-shallow junctions by gas-cluster ion irradiation
US7410890B2 (en) * 2002-12-12 2008-08-12 Tel Epion Inc. Formation of doped regions and/or ultra-shallow junctions in semiconductor materials by gas-cluster ion irradiation
US20090087577A1 (en) * 2007-09-27 2009-04-02 Tel Epion Inc. Method to improve electrical leakage performance and to minimize electromigration in semiconductor devices
US20090104754A1 (en) * 2007-09-27 2009-04-23 Tel Epion Inc. Method to improve electrical leakage performance and to minimize electromigration in semiconductor devices
US20090191696A1 (en) * 2008-01-25 2009-07-30 Tel Epion Inc. Method for increasing the penetration depth of material infusion in a substrate using a gas cluster ion beam
US20090314963A1 (en) * 2008-06-24 2009-12-24 Tel Epion Inc. Method for forming trench isolation
US7666462B2 (en) * 2001-05-11 2010-02-23 Exogenesis Corporation Method of controlling a drug release rate
US7749849B2 (en) * 2007-12-18 2010-07-06 Micron Technology, Inc. Methods of selectively oxidizing semiconductor structures, and structures resulting therefrom
US7754588B2 (en) * 2007-09-28 2010-07-13 Tel Epion Inc. Method to improve a copper/dielectric interface in semiconductor devices

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1584104A4 (en) 2002-12-12 2010-05-26 Tel Epion Inc Re-crystallization of semiconductor surface film and doping of semiconductor by energetic cluster irradiation

Patent Citations (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4361762A (en) * 1980-07-30 1982-11-30 Rca Corporation Apparatus and method for neutralizing the beam in an ion implanter
US4916311A (en) * 1987-03-12 1990-04-10 Mitsubishi Denki Kabushiki Kaisha Ion beaming irradiating apparatus including ion neutralizer
US4886971A (en) * 1987-03-13 1989-12-12 Mitsubishi Denki Kabushiki Kaisha Ion beam irradiating apparatus including ion neutralizer
US5814194A (en) * 1994-10-20 1998-09-29 Matsushita Electric Industrial Co., Ltd Substrate surface treatment method
US6013332A (en) * 1996-12-03 2000-01-11 Fujitsu Limited Boron doping by decaborane
US6251835B1 (en) * 1997-05-08 2001-06-26 Epion Corporation Surface planarization of high temperature superconductors
US6124620A (en) * 1998-05-14 2000-09-26 Advanced Micro Devices, Inc. Incorporating barrier atoms into a gate dielectric using gas cluster ion beam implantation
US5907780A (en) * 1998-06-17 1999-05-25 Advanced Micro Devices, Inc. Incorporating silicon atoms into a metal oxide gate dielectric using gas cluster ion beam implantation
US6805807B2 (en) * 1999-07-19 2004-10-19 Epion Corporation Adaptive GCIB for smoothing surfaces
US6416820B1 (en) * 1999-11-19 2002-07-09 Epion Corporation Method for forming carbonaceous hard film
US20040188631A1 (en) * 1999-12-13 2004-09-30 Semequip, Inc. Ion implantation ion source, system and method
US20020036261A1 (en) * 2000-07-14 2002-03-28 Epion Corporation Gas cluster ion beam size diagnostics and workpiece processing
US20020070361A1 (en) * 2000-07-14 2002-06-13 Epion Corporation Gas cluster ion beam size diagnostics and workpiece processing
US6548341B2 (en) * 2000-08-09 2003-04-15 Infineon Technologies, Ag Process for producing a first electrode and a second electrode, electronic component and electronic memory element
US20020130275A1 (en) * 2000-12-26 2002-09-19 Epion Corporation Charging control and dosimetry system for gas cluster ion beam
US7666462B2 (en) * 2001-05-11 2010-02-23 Exogenesis Corporation Method of controlling a drug release rate
US20030109092A1 (en) * 2001-10-25 2003-06-12 Won-Kook Choi Surface smoothing device and method thereof
US20040002202A1 (en) * 2002-06-26 2004-01-01 Horsky Thomas Neil Method of manufacturing CMOS devices by the implantation of N- and P-type cluster ions
US7410890B2 (en) * 2002-12-12 2008-08-12 Tel Epion Inc. Formation of doped regions and/or ultra-shallow junctions in semiconductor materials by gas-cluster ion irradiation
US20040157456A1 (en) * 2003-02-10 2004-08-12 Hall Lindsey H. Surface defect elimination using directed beam method
US7259036B2 (en) * 2004-02-14 2007-08-21 Tel Epion Inc. Methods of forming doped and un-doped strained semiconductor materials and semiconductor films by gas-cluster-ion-beam irradiation and materials and film products
US7060989B2 (en) * 2004-03-19 2006-06-13 Epion Corporation Method and apparatus for improved processing with a gas-cluster ion beam
US20050205802A1 (en) * 2004-03-19 2005-09-22 Epion Corporation Method and apparatus for improved processing with a gas-cluster ion beam
US20060043590A1 (en) * 2004-08-27 2006-03-02 International Business Machines Corporation Maintaining uniform cmp hard mask thickness
US20060105570A1 (en) * 2004-11-08 2006-05-18 Epion Corporation Copper interconnect wiring and method of forming thereof
US7396745B2 (en) * 2004-12-03 2008-07-08 Tel Epion Inc. Formation of ultra-shallow junctions by gas-cluster ion irradiation
US20090087577A1 (en) * 2007-09-27 2009-04-02 Tel Epion Inc. Method to improve electrical leakage performance and to minimize electromigration in semiconductor devices
US20090104754A1 (en) * 2007-09-27 2009-04-23 Tel Epion Inc. Method to improve electrical leakage performance and to minimize electromigration in semiconductor devices
US7754588B2 (en) * 2007-09-28 2010-07-13 Tel Epion Inc. Method to improve a copper/dielectric interface in semiconductor devices
US7749849B2 (en) * 2007-12-18 2010-07-06 Micron Technology, Inc. Methods of selectively oxidizing semiconductor structures, and structures resulting therefrom
US20090191696A1 (en) * 2008-01-25 2009-07-30 Tel Epion Inc. Method for increasing the penetration depth of material infusion in a substrate using a gas cluster ion beam
US20090314963A1 (en) * 2008-06-24 2009-12-24 Tel Epion Inc. Method for forming trench isolation

Cited By (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080297982A1 (en) * 2007-05-30 2008-12-04 Sanyo Electric Co., Ltd. Solid electrolytic capacitor and method of manufacturing the same
US8163355B2 (en) 2007-08-31 2012-04-24 Micron Technology, Inc. Formation of carbon-containing material
US20090061107A1 (en) * 2007-08-31 2009-03-05 Sandhu Gurtej S Formation of Carbon-Containing Material
US7824741B2 (en) * 2007-08-31 2010-11-02 Micron Technology, Inc. Method of forming a carbon-containing material
US20110045202A1 (en) * 2007-08-31 2011-02-24 Micron Technology, Inc. Formation of Carbon-Containing Material
US7964242B2 (en) 2007-08-31 2011-06-21 Micron Technology, Inc. Formation of carbon-containing material
US20110230059A1 (en) * 2007-08-31 2011-09-22 Micron Technology, Inc. Formation of Carbon-Containing Material
US10209617B2 (en) * 2010-08-23 2019-02-19 Exogenesis Corporation Treatment method for defect reduction in a substrate and substrates treated thereby
US9799488B2 (en) * 2010-08-23 2017-10-24 Exogenesis Corporation Method and apparatus for neutral beam processing based on gas cluster ion beam technology
US8629393B1 (en) * 2010-08-23 2014-01-14 Exogenesis Corporation Method and apparatus for neutral beam processing based on gas cluster ion beam technology
US8847148B2 (en) * 2010-08-23 2014-09-30 Exogenesis Corporation Method and apparatus for neutral beam processing based on gas cluster ion beam technology
US20140363678A1 (en) * 2010-08-23 2014-12-11 Sean R. Kirkpatrick Method for neutral beam processing based on gas cluster ion beam technology and articles produced thereby
US20150213996A1 (en) * 2010-08-23 2015-07-30 Exogenesis Corporation Method and apparatus for neutral beam processing based on gas cluster ion beam technology
US20150294838A1 (en) * 2010-08-23 2015-10-15 Exogenesis Corporation Method and apparatus for neutral beam processing based on gas cluster ion beam technology
US11199769B2 (en) 2010-08-23 2021-12-14 Exogenesis Corporation Method and apparatus for neutral beam processing based on gas cluster ion beam technology
US11048162B2 (en) * 2010-08-23 2021-06-29 Exogenesis Corporation Method and apparatus for neutral beam processing based on gas cluster ion beam technology
US20170123309A1 (en) * 2010-08-23 2017-05-04 Exogenesis Corporation Treatment method for defect reduction in a substrate and substrates treated thereby
US20170303383A1 (en) * 2010-08-23 2017-10-19 Exogenesis Corporation Method for neutral beam processing based on gas cluster ion beam technology and articles produced thereby
US10858732B2 (en) * 2010-08-23 2020-12-08 Exogenesis Corporation Method for neutral beam processing based on gas cluster ion beam technology and articles produced thereby
US20180292745A1 (en) * 2010-08-23 2018-10-11 Exogenesis Corporation Enhanced high aspect ratio etch performance using accelerated neutral beams derived from gas-cluster ion beams
US10202684B2 (en) * 2010-08-23 2019-02-12 Exogenesis Corporation Method for neutral beam processing based on gas cluster ion beam technology and articles produced thereby
US20120045615A1 (en) * 2010-08-23 2012-02-23 Exogenesis Corporation Method and apparatus for neutral beam processing based on gas cluster ion beam technology
US10409155B2 (en) * 2010-08-23 2019-09-10 Exogensis Corporation Method and apparatus for neutral beam processing based on gas cluster ion beam technology
US10825685B2 (en) 2010-08-23 2020-11-03 Exogenesis Corporation Method for neutral beam processing based on gas cluster ion beam technology and articles produced thereby
US10670960B2 (en) * 2010-08-23 2020-06-02 Exogenesis Corporation Enhanced high aspect ratio etch performance using accelerated neutral beams derived from gas-cluster ion beams
US10627352B2 (en) 2011-08-22 2020-04-21 Exogenesis Corporation Methods and apparatus for employing an accelerated neutral beam for improved surface analysis
WO2013028663A1 (en) * 2011-08-22 2013-02-28 Exogenesis Corporation Methods and apparatus for employing an accelerated neutral beam for improved surface analysis
US20160004152A1 (en) * 2013-02-25 2016-01-07 Sean R. Kirkpatrick Defect reduction in a substrate treatment method
US9236221B2 (en) * 2013-11-22 2016-01-12 Tel Epion Inc. Molecular beam enhanced GCIB treatment

Also Published As

Publication number Publication date
US7410890B2 (en) 2008-08-12
US20050277246A1 (en) 2005-12-15

Similar Documents

Publication Publication Date Title
US7410890B2 (en) Formation of doped regions and/or ultra-shallow junctions in semiconductor materials by gas-cluster ion irradiation
US7396745B2 (en) Formation of ultra-shallow junctions by gas-cluster ion irradiation
US7259036B2 (en) Methods of forming doped and un-doped strained semiconductor materials and semiconductor films by gas-cluster-ion-beam irradiation and materials and film products
US20060292762A1 (en) Replacement gate field effect transistor with germanium or SiGe channel and manufacturing method for same using gas-cluster ion irradiation
US6111260A (en) Method and apparatus for in situ anneal during ion implant
US7994031B2 (en) Method of manufacturing CMOS devices by the implantation of N- and P-type cluster ions
WO2004053945A2 (en) Re-crystallization of semiconductor surface film and doping of semiconductor by energetic cluster irradiation
US6013332A (en) Boron doping by decaborane
US7919402B2 (en) Cluster ion implantation for defect engineering
US8067302B2 (en) Defect-free junction formation using laser melt annealing of octadecaborane self-amorphizing implants
US8344337B2 (en) Silaborane implantation processes
TW201007821A (en) Control of particles on semiconductor wafers when implanting boron hydrides
WO2007087212A1 (en) Methods of implanting ions and ion sources used for same
JP5710272B2 (en) Ethane injection using dilution gas
Schreutelkamp et al. Channeling implantation of B and P in silicon
US8350236B2 (en) Aromatic molecular carbon implantation processes
Yamada et al. Range and damage distribution in cluster ion implantation
JP3655491B2 (en) Ion generation method and ion irradiation method
US6982215B1 (en) N type impurity doping using implantation of P2+ ions or As2+ Ions
Miyamoto et al. Hydrocarbon Gettering Effect for Contaminants from Factory Environment
Current et al. MOLECULAR AND CLUSTER ION BEAMS: DOPING AND DEPOSITION WITH “MASSIVE” IONS
Sosnowski The prospects for low energy implantation with large molecular ions—the case of decaborane
Collart et al. Low energy ion implantation of As during Si-MBE

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION