US20080001101A1 - Lithographic apparatus comprising a cleaning arrangement, cleaning arrangement and method for cleaning a surface to be cleaned - Google Patents

Lithographic apparatus comprising a cleaning arrangement, cleaning arrangement and method for cleaning a surface to be cleaned Download PDF

Info

Publication number
US20080001101A1
US20080001101A1 US11/478,303 US47830306A US2008001101A1 US 20080001101 A1 US20080001101 A1 US 20080001101A1 US 47830306 A US47830306 A US 47830306A US 2008001101 A1 US2008001101 A1 US 2008001101A1
Authority
US
United States
Prior art keywords
source
radiation
hydrogen
containing gas
lithographic apparatus
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US11/478,303
Other versions
US7518128B2 (en
Inventor
Maarten Marinus Johannes Wilhelmus Van Herpen
Derk Jan Wilfred Klunder
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML Netherlands BV
Original Assignee
ASML Netherlands BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASML Netherlands BV filed Critical ASML Netherlands BV
Priority to US11/478,303 priority Critical patent/US7518128B2/en
Assigned to ASML NETHERLANDS B.V. reassignment ASML NETHERLANDS B.V. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: VAN HERPEN, MAARTEN MARINUS JOHANNES WILHELMUS, KLUNDER, DERK JAN WILFRED
Priority to TW096122591A priority patent/TWI374341B/en
Priority to KR1020087032014A priority patent/KR101043733B1/en
Priority to PCT/NL2007/050308 priority patent/WO2008002134A2/en
Priority to JP2009518016A priority patent/JP5063691B2/en
Publication of US20080001101A1 publication Critical patent/US20080001101A1/en
Application granted granted Critical
Publication of US7518128B2 publication Critical patent/US7518128B2/en
Expired - Fee Related legal-status Critical Current
Adjusted expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70908Hygiene, e.g. preventing apparatus pollution, mitigating effect of pollution or removing pollutants from apparatus
    • G03F7/70925Cleaning, i.e. actively freeing apparatus from pollutants, e.g. using plasma cleaning
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70908Hygiene, e.g. preventing apparatus pollution, mitigating effect of pollution or removing pollutants from apparatus
    • G03F7/70933Purge, e.g. exchanging fluid or gas to remove pollutants

Definitions

  • the present invention relates to a lithographic apparatus comprising a cleaning arrangement.
  • the present invention also relates to a cleaning arrangement.
  • the present invention further relates to a method for cleaning a surface to be cleaned, for instance a surface of an optical element within the lithographic apparatus.
  • a lithographic apparatus is a machine that applies a desired pattern onto a substrate, usually onto a target portion of the substrate.
  • a lithographic apparatus can be used, for example, in the manufacture of integrated circuits (ICs).
  • a patterning device which is alternatively referred to as a mask or a reticle, may be used to generate a circuit pattern to be formed on an individual layer of the IC.
  • This pattern can be transferred onto a target portion (e.g. comprising part of, one, or several dies) on a substrate (e.g. a silicon wafer). Transfer of the pattern is typically via imaging onto a layer of radiation-sensitive material (resist) provided on the substrate.
  • resist radiation-sensitive material
  • a single substrate will contain a network of adjacent target portions that are successively patterned.
  • lithographic apparatus comprise steppers, in which each target portion is irradiated by exposing an entire pattern onto the target portion at one time, and scanners, in which each target portion is irradiated by scanning the pattern through a radiation beam in a given direction (the “scanning” direction) while synchronously scanning the substrate parallel or anti-parallel to this direction. It is also possible to transfer the pattern from the patterning device to the substrate by imprinting the pattern onto the substrate.
  • the size of features that can be imaged onto the substrate is limited by the wavelength of the projection radiation.
  • UV light generated by mercury lamps or excimer lasers it has been proposed to use shorter wavelength radiation, e.g. of around 13 nm.
  • Such radiation is termed extreme ultraviolet (EUV) or soft x-ray, and possible sources comprise, for example, laser-produced plasma sources, discharge plasma sources, or synchrotron radiation from electron storage rings.
  • the source of EUV radiation is typically a plasma source, for example a laser-produced plasma or a discharge source.
  • a common feature of any plasma source is the production of fast ions and atoms, which are expelled from the plasma in all directions. These particles can be damaging to the collector and condenser mirrors which are generally multilayer mirrors or grazing incidence mirrors, with fragile surfaces. This surface is gradually degraded due to the impact, or sputtering, of the particles expelled from the plasma and the lifetime of the mirrors is thus decreased. The sputtering effect is particularly problematic for the radiation collector.
  • the purpose of this mirror is to collect radiation which is emitted in all directions by the plasma source and direct it towards other mirrors in the illumination system.
  • the radiation collector is positioned very close to, and in line-of-sight with, the plasma source and therefore receives a large flux of fast particles from the plasma.
  • Other mirrors in the system are generally damaged to a lesser degree by sputtering of particles expelled from the plasma since they may be shielded to some extent.
  • EUV sources will probably use tin (Sn) or another metal vapor to produce EUV radiation.
  • This tin may leak into the lithographic apparatus, and will be deposited on mirrors in the lithographic apparatus, e.g. the mirrors of the radiation collector (also called collector).
  • the mirrors of such a radiation collector may have a EUV reflecting top layer of, for example, ruthenium (Ru). Deposition of more than approximately 10 nm tin on the reflecting Ru layer will reflect EUV radiation in the same way as bulk Sn. It is envisaged that a layer of a few nm Sn is deposited very quickly near a Sn-based EUV source.
  • a lithographic apparatus comprising an EUV source, the lithographic apparatus further comprising a cleaning arrangement, the cleaning arrangement comprising a hydrogen containing gas source and a hydrogen radical source, wherein the hydrogen radical source is configured to convert at least part of the hydrogen containing gas into a hydrogen radical containing gas, wherein the cleaning arrangement is configured to provide the hydrogen radical containing gas to a surface of an optical element to be cleaned, and wherein the hydrogen radical source comprises a source of radiation which induces photo dissociation of the hydrogen.
  • a cleaning arrangement for instance for a lithographic apparatus, the cleaning arrangement comprising a hydrogen containing gas source and a hydrogen radical source, wherein the hydrogen radical source is configured to convert at least part of the hydrogen containing gas into a hydrogen radical containing gas, wherein the cleaning arrangement is configured to provide the hydrogen radical containing gas to a surface of an optical element to be cleaned, wherein the hydrogen radical source comprises a source of radiation which induces photo dissociation of the hydrogen.
  • a method for cleaning a surface to be cleaned of an optical element of a lithographic apparatus comprising leading a hydrogen containing gas to the surface to be cleaned and irradiating the gas or the surface to be cleaned or both with radiation which induces photo dissociation of the hydrogen.
  • FIG. 1 depicts a lithographic apparatus according to an embodiment of the invention
  • FIG. 2 depicts a side view of an EUV illumination system and projection optics of a lithographic apparatus according to FIG. 1 ;
  • FIGS. 3 a - 3 f depict embodiments of the cleaning arrangement according to the invention, especially the cleaning arrangement in combination with a lithographic apparatus.
  • FIG. 1 schematically depicts a lithographic apparatus 1 according to one embodiment of the invention.
  • the apparatus 1 comprises an illumination system (illuminator) IL configured to condition a radiation beam B (e.g. UV radiation or EUV radiation).
  • a support (e.g. a mask table) MT is configured to support a patterning device (e.g. a mask) MA and is connected to a first positioner PM configured to accurately position the patterning device in accordance with certain parameters.
  • a substrate table (e.g. a wafer table) WT is configured to hold a substrate (e.g. a resist-coated wafer) W and is connected to a second positioner PW configured to accurately position the substrate in accordance with certain parameters.
  • a projection system (e.g. a refractive projection lens system) PS is configured to project a pattern imparted to the radiation beam B by patterning device MA onto a target portion C (e.g. comprising one or more dies) of the substrate W.
  • the illumination system may comprise various types of optical components, such as refractive, reflective, magnetic, electromagnetic, electrostatic or other types of optical components, or any combination thereof, to direct, shape, or control radiation.
  • optical components such as refractive, reflective, magnetic, electromagnetic, electrostatic or other types of optical components, or any combination thereof, to direct, shape, or control radiation.
  • the support supports, e.g. bears the weight of, the patterning device. It holds the patterning device in a manner that depends on the orientation of the patterning device, the design of the lithographic apparatus, and other conditions, such as for example whether or not the patterning device is held in a vacuum environment.
  • the support can use mechanical, vacuum, electrostatic or other clamping techniques to hold the patterning device.
  • the support may be a frame or a table, for example, which may be fixed or movable as required. The support may ensure that the patterning device is at a desired position, for example with respect to the projection system. Any use of the terms “reticle” or “mask” herein may be considered synonymous with the more general term “patterning device.”
  • patterning device used herein should be broadly interpreted as re device that can be used to impart a radiation beam with a pattern in its cross-section such as to create a pattern in a target portion of the substrate. It should be noted that the pattern imparted to the radiation beam may not exactly correspond to the desired pattern in the target portion of the substrate, for example if the pattern comprises phase-shifting features or so called assist features. Generally, the pattern imparted to the radiation beam will correspond to a particular functional layer in a device being created in the target portion, such as an integrated circuit.
  • the patterning device may be transmissive or reflective.
  • Examples of patterning devices comprise masks, programmable mirror arrays, and programmable LCD panels.
  • Masks are well known in lithography, and comprise mask types such as binary, alternating phase-shift, and attenuated phase-shift, as well as various hybrid mask types.
  • An example of a programmable mirror array employs a matrix arrangement of small mirrors, each of which can be individually tilted so as to reflect an incoming radiation beam in different directions. The tilted mirrors impart a pattern in a radiation beam which is reflected by the mirror matrix.
  • projection system used herein should be broadly interpreted as encompassing any type of projection system, comprising refractive, reflective, catadioptric, magnetic, electromagnetic and electrostatic optical systems, or any combination thereof, as appropriate for the exposure radiation being used, or for other factors such as the use of an immersion liquid or the use of a vacuum. Any use of the term “projection lens” herein may be considered as synonymous with the more general term “projection system”.
  • the apparatus is of a reflective type (e.g. employing a reflective mask).
  • the apparatus may be of a transmissive type (e.g. employing a transmissive mask).
  • the lithographic apparatus may be of a type having two (dual stage) or more substrate tables (and/or two or more mask tables). In such “multiple stage” machines the additional tables may be used in parallel, or preparatory steps may be carried out on one or more tables while one or more other tables are being used for exposure.
  • the lithographic apparatus may also be of a type wherein at least a portion of the substrate may be covered by a liquid having a relatively high refractive index, e.g. water, so as to fill a space between the projection system and the substrate.
  • a liquid having a relatively high refractive index e.g. water
  • An immersion liquid may also be applied to other spaces in the lithographic apparatus, for example, between the mask and the projection system. Immersion techniques are well known in the art for increasing the numerical aperture of projection systems.
  • immersion as used herein does not mean that a structure, such as a substrate, must be submerged in liquid, but rather only means that liquid is located between the projection system and the substrate during exposure.
  • the illuminator IL receives radiation from a radiation source SO.
  • the source and the lithographic apparatus may be separate entities, for example when the source is an excimer laser. In such cases, the source is not considered to form part of the lithographic apparatus and the radiation is passed from the source SO to the illuminator IL with the aid of a beam delivery system comprising, for example, suitable directing mirrors and/or a beam expander. In other cases the source may be an integral part of the lithographic apparatus, for example when the source is a mercury lamp.
  • the source SO and the illuminator IL, together with the beam delivery system BD if required, may be referred to as a radiation system.
  • the illuminator IL may comprise an adjuster configured to adjust the angular intensity distribution of the radiation beam. Generally, at least the outer and/or inner radial extent (commonly referred to as ⁇ -outer and ⁇ -inner, respectively) of the intensity distribution in a pupil plane of the illuminator can be adjusted.
  • the illuminator IL may comprise various other components, such as an integrator and a condenser. The illuminator may be used to condition the radiation beam, to have a desired uniformity and intensity distribution in its cross-section.
  • the radiation beam B is incident on the patterning device (e.g., mask MA), which is held on the support (e.g., mask table MT), and is patterned by the patterning device. Having traversed the mask MA, the radiation beam B passes through the projection system PS, which focuses the beam onto a target portion C of the substrate W.
  • the substrate table WT can be moved accurately, e.g. so as to position different target portions C in the path of the radiation beam B.
  • the first positioner PM and another position sensor IF 1 e.g.
  • an interferometric device linear encoder or capacitive sensor
  • an interferometric device linear encoder or capacitive sensor
  • movement of the mask table MT may be realized with the aid of a long-stroke module (coarse positioning) and a short-stroke module (fine positioning), which form part of the first positioner PM.
  • movement of the substrate table WT may be realized using a long-stroke module and a short-stroke module, which form part of the second positioner PW.
  • the mask table MT may be connected to a short-stroke actuator only, or may be fixed.
  • Mask MA and substrate W may be aligned using mask alignment marks M 1 , M 2 and substrate alignment marks P 1 , P 2 .
  • the substrate alignment marks as illustrated occupy dedicated target portions, they may be located in spaces between target portions (these are known as scribe-lane alignment marks).
  • the mask alignment marks may be located between the dies.
  • the depicted apparatus could be used in at least one of the following modes:
  • step mode the mask table MT and the substrate table WT are kept essentially stationary, while an entire pattern imparted to the radiation beam is projected onto a target portion C at one time (i.e. a single static exposure).
  • the substrate table WT is then shifted in the X and/or Y direction so that a different target portion C can be exposed.
  • step mode the maximum size of the exposure field limits the size of the target portion C imaged in a single static exposure.
  • the mask table MT and the substrate table WT are scanned synchronously while a pattern imparted to the radiation beam is projected onto a target portion C (i.e. a single dynamic exposure).
  • the velocity and direction of the substrate table WT relative to the mask table MT may be determined by the (de-)magnification and image reversal characteristics of the projection system PS.
  • the maximum size of the exposure field limits the width (in the non-scanning direction) of the target portion in a single dynamic exposure, whereas the length of the scanning motion determines the height (in the scanning direction) of the target portion.
  • the mask table MT is kept essentially stationary holding a programmable patterning device, and the substrate table WT is moved or scanned while a pattern imparted to the radiation beam is projected onto a target portion C.
  • a pulsed radiation source is employed and the programmable patterning device is updated as required after each movement of the substrate table WT or in between successive radiation pulses during a scan.
  • This mode of operation can be readily applied to maskless lithography that utilizes programmable patterning device, such as a programmable mirror array of a type as referred to above.
  • a lithographic apparatus comprising an illumination system configured to condition a radiation beam; a support configured to support a patterning device, the patterning device being configured to impart the radiation beam with a pattern in its cross-section to form a patterned radiation beam; a substrate table configured to hold a substrate; a projection system configured to project the patterned radiation beam onto a target portion of the substrate, and a cleaning arrangement according to the invention.
  • the lithographic apparatus comprises an EUV source, which is configured to generate a beam of EUV radiation for EUV lithography.
  • the EUV source is configured in a radiation system (see below).
  • the illumination system is configured to condition the EUV radiation beam of the EUV source.
  • halogen containing gas or “hydrogen containing gas” refers to gasses or gas mixtures comprising at least a halogen gas or hydrogen gas, respectively.
  • halogen in the term “halogen containing gas” refers to at least one or more selected of F, Cl, Br and I, either as an atom (radical) or as compound, for example F 2 , Cl 2 , Br 2 , I 2 , HF, HCl, HBr, HI, interhalogen compounds, for example CIF 3 , or other compounds comprising one or more selected from F, Cl, Br and I which can be brought into the gas phase at a temperature between about 50-500°.
  • a halogen containing gas or a hydrogen containing gas may further comprise additional components like buffer gasses, such as Ar, etc.
  • a “flow of hydrogen radicals” refers to a gas flow, wherein in the gas also hydrogen radicals are present. Usually, such a gas will also contain hydrogen molecules (like one or more of H 2 , HD, D 2 , HT, DT, T 2 ), since not all hydrogen may be converted into radicals, and due to radical recombination.
  • the term “hydrogen radical containing gas” refers to a gas comprising hydrogen radicals or deuterium or tritium analogues thereof. Such gas may further comprise other components like H 2 , etc., which has not been dissociated or has recombined from hydrogen radicals.
  • lens may refer to any one or combination of various types of optical components, comprising refractive, reflective, magnetic, electromagnetic and electrostatic optical components.
  • cleaning arrangement and “method for cleaning” refer to an arrangement and a method that may be used in a cleaning process.
  • providing hydrogen radicals may be used for reduction of oxides, like Sn oxides and in a next process, halogens (like for example I 2 ) may remove the metal, like Sn, by the formation of halides.
  • halogens like for example I 2
  • cleaning in this context may imply a complete or partial removal of undesired deposition but may also imply a part of a cleaning process, like a reduction (without substantially removing deposition). Therefore, the term “cleaning” also comprises treating (treatment) with a gas in the course of a cleaning of a cleaning process.
  • hydrogen radicals may nevertheless be used to remove deposition (by formation of Sn-hydrides).
  • the cleaning process may also be used to remove at least partially C (carbon) deposition (by the formation of volatile hydrocarbons such as CH 4 ).
  • the phrase “to be cleaned” also refers to “to be partially cleaned”.
  • FIG. 2 shows the projection apparatus 1 in more detail, comprising a radiation system 42 , an illumination optics unit 44 , and the projection system PS.
  • the radiation system 42 comprises the radiation source SO which may be formed by a discharge plasma.
  • EUV radiation may be produced by a gas or vapor, for example Xe gas, Li vapor or Sn vapor in which a very hot plasma is created to emit radiation in the EUV range of the electromagnetic spectrum.
  • the very hot plasma is created by causing an at least partially ionized plasma by, for example, an electrical discharge. Partial pressures of, for example, 10 Pa of Xe, Li, Sn vapor or any other suitable gas or vapor may be required for efficient generation of the radiation.
  • the radiation emitted by radiation source SO is passed from a source chamber 47 into a collector chamber 48 via a gas barrier or contaminant trap 49 which is positioned in or behind an opening in source chamber 47 .
  • the gas barrier 49 may comprise a channel structure.
  • Grating spectral filter 51 may optionally be present, depending upon the type of lithographic apparatus. Further, there may be more mirrors present than those shown in the figures, for example there may be 1-4 more reflective elements present than 58 , 59 . Radiation collectors 50 are known from the prior art. Reference number 180 indicates a space between two reflectors, e.g. between reflectors 142 and 143 .
  • collector mirror 50 instead of a grazing incidence mirror as collector mirror 50 , also a normal incidence collector may be applied.
  • Collector mirror 50 as described herein in an embodiment in more detail as nested collector with reflectors 142 , 143 , and 146 , and as schematically depicted in FIGS. 3 c - 3 f , is herein further used as example of a collector.
  • collector mirror 50 as grazing incidence collector may also be interpreted as collector in general and in an embodiment also as normal incidence collector.
  • upstream and downstream with respect to optical elements indicate positions “optically upstream” and “optically downstream” respectively.
  • the beam of radiation B passes through lithographic apparatus 1 .
  • a first optical elements closer to source SO than a second optical element is configured upstream of the second optical element; the second optical element is configured downstream of the first optical element.
  • collector mirror 50 is configured upstream of spectral filter 51
  • optical element 53 is configured downstream of spectral filter 51 .
  • Radiation collector 50 may be a grazing incidence collector.
  • the collector 50 is aligned along an optical axis O.
  • the source SO or an image thereof is located on optical axis O.
  • the radiation collector 50 may comprise reflectors 142 , 143 , 146 (also known as a Wolter-type reflector comprising several Wolter-type reflectors). Sometimes they are also called a shell. These reflectors 142 , 143 , 146 may be nested and rotationally symmetric about optical axis 0 .
  • an inner reflector is indicated by reference number 142
  • an intermediate reflector is indicated by reference number 143
  • an outer reflector is indicated by reference number 146 .
  • the radiation collector 50 encloses a certain volume, i.e. the volume within the outer reflector(s) 146 .
  • this volume within outer reflector(s) 146 is circumferentially closed, although small openings may be present.
  • All the reflectors 142 , 143 and 146 comprise surfaces of which at least part comprises a reflective layer or a number of reflective layers.
  • reflectors 142 , 143 and 146 are at least partly designed for reflecting and collecting EUV radiation from source SO, and at least part of the reflector may not be designed to reflect and collect EUV radiation.
  • the back side of the reflectors may not be designed to reflect and collect EUV radiation.
  • the latter part may also be called back side.
  • the outer 146 and inner 142 / 143 reflector(s) deposition may be found, especially Sn when a Sn source SO is used.
  • Deposition of Sn for example due to a Sn source, may, after a few mono-layers, be detrimental to reflection of the radiation collector 50 or other optical elements, which may necessitate the cleaning of such optical elements.
  • Detrimental herein refers to reduction and loss of reflectivity of those reflecting surfaces of the reflector or mirror that is designed to reflect (and/or collect) radiation. Further, deposition of carbon may be found.
  • Deposition for example deposition comprising Sn
  • halogens as gasses
  • F 2 , Cl 2 , Br 2 and I 2 halogens
  • hydrogen radicals for example, F 2 , Cl 2 , Br 2 and I 2
  • combinations of hydrogen radicals and one or more halogens either applied simultaneously or subsequently.
  • halogens as gasses
  • the cleaning process of surface to be cleaned may comprise the process of providing hydrogen radical containing gas to surface to be cleaned.
  • the cleaning process comprises the process of providing hydrogen radical containing gas to surface to be cleaned wherein hydrogen radical containing gas further comprises one or more halogen gasses (such as mentioned above).
  • the cleaning process of surface to be cleaned may comprise the process of providing hydrogen radical containing gas to surface to be cleaned and subsequently providing hydrogen radical containing gas to surface to be cleaned wherein hydrogen radical containing gas further comprises one or more halogen gasses.
  • the cleaning process of surface to be cleaned may comprise the process of providing hydrogen radical containing gas to surface to be cleaned and subsequently providing a halogen containing gas to surface to be cleaned.
  • the processes of these embodiments may optionally further comprise subsequently providing hydrogen radical containing gas to surface to be cleaned. With these processes, Sn and/or C may be removed, and are especially applicable for the removal of Sn.
  • hydrogen radicals either for reduction or for removal have to be provided to at least part of a surface of collector 50 , or at least part of a surface to be cleaned of other optical elements.
  • Such surfaces are e.g. the EUV reflecting surfaces of reflectors 142 , 143 and 146 which are contaminated with deposition like Sn.
  • hydrogen radicals may be used to remove carbon deposition by formation of volatile hydrocarbons.
  • different optical elements may have to be at least partially cleaned from e.g. Sn and/or C deposition.
  • an optical element is selected from the group of collector mirror 50 , radiation system 42 (also known as source collector module), illumination system IL and projection system PS (also known as projection optics box POB).
  • the module to be cleaned may also be a spectral purity filter 51 .
  • the module to be cleaned may also be a mask, in particular a reflective multilayer mask.
  • the surface to be at (least partially) cleaned may comprise the surface of an optical element selected from the group of optical elements contained in radiation system 42 , like collector mirror 50 (be it a normal incidence collector or grazing incidence collector), spectral purity filter 51 (grating or transmissive filter), radiation system (optical) sensors (not depicted), optical elements contained in illumination system 44 , like mirrors 53 and 54 (or other mirrors, if presents) and illumination system (optical) sensors (not depicted), optical elements contained in the projection system PS, like mirrors 58 and 59 (or other mirrors, if presents) and projection system (optical) sensors (not depicted).
  • the term “optical element” also comprises contaminant barrier 49 .
  • optical element refers to one or more elements selected from the group of a grating spectral filter, a transmissive optical filter, multi-layer mirrors, coating filters on multi-layer mirrors, grazing incidence mirrors, normal incidence mirrors (such as a multi-layer collector), grazing incidence collectors, normal incidence collectors, and also (optical) sensors and contaminant barrier 49 .
  • the cleaning method of the invention may also be used to clean other surfaces than surfaces of optical elements, such as walls, supporting structures, gas locks, etc.
  • optical element surface to be cleaned
  • surface to be cleaned also related to a plurality of “optical elements” and “surfaces to be cleaned”, respectively.
  • collector 50 comprises at least a plurality of reflectors as described above.
  • the cleaning arrangement 250 as depicted in FIGS. 3 a - 3 f can also be used for cleaning of other optical elements (such as mentioned above).
  • a cleaning arrangement 250 (which may especially be designed for cleaning a surface 202 to be cleaned of an optical element 201 of lithographic apparatus 1 ), comprises a hydrogen gas source 700 and a hydrogen radical source 103 , which are configured to provide a hydrogen radical containing gas 96 to at least part of surface 202 to be cleaned.
  • Surface 202 may be the surface of optical element 201 .
  • optical element comprises collector mirror 50 .
  • Surface 202 of optical element 201 may be contaminated with carbon, with tin or with both carbon and tin. Also other contaminants may be present. The contaminants are schematically indicated with deposition 203 , although it should be appreciated that the contaminations do not necessarily form layer-like depositions.
  • Hydrogen containing gas source 700 may be configured to provide a hydrogen containing gas, a deuterium containing gas, etc, as mentioned above.
  • source 700 is configured to provide a hydrogen containing gas, which is indicated by reference number 100 .
  • This gas exits source 700 via outlet 106 , which may be the opening of a tube 104 .
  • Tube 104 is in gaseous contact with source 700 and may be configured to provide gas 100 at a predetermined position, for instance within a few centimeters of a surface to be cleaned, here indicated as surface 202 , for example within about 2-50 cm of at least part of surface 202 of optical element 201 to be cleaned.
  • outlet 106 is less then about 10 cm from at least part of surface 202 to be cleaned from contaminants by hydrogen radicals 96 and/or to be treated by these radicals 96 .
  • tube 104 may be moveable, for instance to be positioned at predetermined positions between two shells of collector mirror 50 (as indicated with reference number 180 in FIG. 2 ).
  • the terms “outlet 106 ” and “tube 104 ” may comprise embodiments with a plurality of outlets 106 and tubes 104 , respectively.
  • the hydrogen containing gas 100 at least partially flows in the direction of the surface 202 to be cleaned, for instance due to a pump configured to induce a gas flow in tube 104 (such pump, which may be independent from optional pump 400 , is not depicted in the figures), or due an overpressure in source 700 relative to the pressure of the volume wherein optical element 201 is configured, or due to an exhaust pump 400 .
  • a pump configured to provide a flow 100 through tube 104 and pump 400 , configured to exhaust gas from the volume wherein the optical element 201 to be cleaned is configured.
  • a flow will be provided to the surface 202 to be cleaned.
  • This flow initially comprises flow 100 , which is the hydrogen containing gas.
  • flow may also include diffusion of hydrogen gas 100 and where applicable also diffusion of hydrogen radicals 96 .
  • this flow may be but is not necessarily directed in the direction of surface 202 to be cleaned. Volatile reaction products may, due to diffusion, also diffuse away from surface 202 .
  • a hydrogen radical containing gas 96 is provided in the area where radiation source 103 irradiates light with a wavelength in the range of the dissociation energy (see below), at least part of the total number of hydrogen molecules will dissociate into hydrogen radicals: a hydrogen radical containing gas 96 is provided.
  • the volume irradiated by radiation source 103 is schematically indicated by area 219 enclosed by the dashed lines.
  • hydrogen radical source 103 irradiates both the hydrogen containing gas 100 and surface 202 to be cleaned (i.e. surface 202 and/or deposition 203 is irradiated by beam 209 ).
  • hydrogen radical source 103 refers to a source of optical radiation, especially radiation with an energy that leads to (i.e. induces) photo dissociation of hydrogen molecules which are irradiated by the radiation of the hydrogen radical source 103 .
  • Hydrogen radical source 103 produces by its radiation 209 hydrogen radicals 96 from the hydrogen molecules 100 .
  • hydrogen radical source 103 is not a radical source per se, in that it can generate hydrogen radicals as stand alone apparatus, but due to the combination of a source 700 of hydrogen containing gas 100 and radiation 209 (i.e. the irradiated volume indicated with reference number 219 ) of hydrogen radical source 103 , hydrogen radical source 103 is able to produce hydrogen radicals 96 .
  • Hydrogen radical source 103 irradiates at least part of the hydrogen containing gas flow 100 .
  • “hydrogen radical source 103 ” is herein also indicated as “source of radiation 103 ” or “radiation source 103 ”
  • source of radiation 103 is an additional source of radiation (i.e. additional to EUV source SO)
  • source or radiation 103 may be source SO, especially in combination with an UV transmissive filter that reduces EUV radiation of source SO.
  • the flow of the hydrogen radical containing gas is in an embodiment at least 1 m/s.
  • At least part of the contaminants 203 will be removed, for instance as volatile hydrides (like CH 4 , SnH 4 etc. or halides, like Sn-halides such as Sn chlorides or Sn iodides, etc.), but also H 2 O may be formed (in case Sn oxides are reduced to Sn) (see above) and be removed. Removal of the volatilized contaminations is indicated with reference number 204 .
  • the volatile contaminates may be removed via an exhaust.
  • the volatile contaminations are at least partly exhausted by pump 400 .
  • Pump 400 comprises an opening or inlet (exhaust opening) or plurality of openings 107 and an exhaust 550 .
  • opening 107 may be provided into tube 471 , which is in gaseous contact with pump 400 .
  • tube 471 may be movable to predetermined positions close to the surface to be cleaned, such that tube 471 may be positioned to exhaust the volatilized contaminants 204 due to the treatment with hydrogen radicals, and optionally halogens.
  • the radiation 209 emitted by source of radiation 103 may be expanded by an optional beam expander 210 .
  • a focusing lens may be used. More optics may be present to provide a beam of radiation 209 .
  • source of radiation 103 and optional other optics 210 such as a beam expander, may be configured to scan surface 202 of optical element 201 .
  • hydrogen radical source 103 which is also indicated as source of radiation 103 , is a source different from the EUV source SO (depicted in FIGS. 1 and 2 ). This implies that next to source SO, at least one or more sources 103 may be attached to lithographic apparatus 1 or may be integrated into lithographic apparatus 1 .
  • radiation system 42 may comprise at least one hydrogen radical source 103
  • illumination system IL ( 44 ) may comprise at least one hydrogen radical source 103
  • projection system PS may comprise at least one hydrogen radical source 103 .
  • a plurality of hydrogen containing gas sources 700 and/or a plurality of outlets 106 of hydrogen containing gas sources 700 may be used to serve the different modules (systems) with a hydrogen containing gas 100 .
  • a plurality of exhaust openings 107 and/or a plurality of pumps 400 may be used to exhaust gas.
  • source of radiation or hydrogen radical source 103 comprises a source designed to provide radiation 209 with an energy which is enough to dissociate hydrogen molecules.
  • the source of radiation which induces photo dissociation of the hydrogen may comprise an UV (i.e. a radiation wavelength in the range of about 100-400 nm) source.
  • source of radiation 103 which induces photo dissociation of the hydrogen comprises a high power UV source.
  • the wavelength or wavelength range of the radiation 209 of source 103 is within the energy range wherein photo dissociation of the hydrogen molecules is possible. For instance, for H 2 , a suitable wavelength of the radiation 209 of source of radiation 103 may be about 270 nm. At this wavelength hydrogen molecules in flow 100 are dissociated into radicals by radiation 209 , such that hydrogen radical containing gas 96 is generated.
  • Source of radiation 103 may be selected from broad band sources and narrow band sources, such as high pressure mercury lamps, deuterium lamps and lasers, etc. One may use also more than one source.
  • reference 103 comprises one or more sources of radiation 103 .
  • sources of radiation 103 may be different sources with different radiation wavelengths in case gas mixtures, such as H 2 and D 2 , etc., are used.
  • Source 103 may further comprise optics and optical devices to tune or change the wavelength, such as a Raman shifter, frequency changing crystals (frequency doublers, triplers, etc.), ethalons, dye cells, etc.
  • source of radiation 103 comprises a laser source, such as an excimer laser (optionally in combination with a frequency shifter, such as a dye laser, for selecting the wavelength for dissociation when not provided by the excimer laser directly).
  • radiation 209 from a source of radiation 103 is directed towards surface 202 that needs to be cleaned.
  • This surface 202 can for example be the multi-layer of a grazing incidence mirror, such as collector mirror 50 and spectral purity filter 51 , but may also be the surfaces of the channels in the channel structure of contaminant barrier 49 .
  • a flow of hydrogen (H 2 ) is directed over the surface 202 to be cleaned.
  • H-radicals 96 Upon entering the illuminated area 219 , at least part of the total amount of molecular hydrogen 100 will be transferred into H-radicals 96 , which will remove Sn and/or C deposits 203 from surface 202 .
  • An advantage of this embodiment is that when using a laser source, the wavelength of radiation 209 can be tuned to an optimized wavelength for breaking the H-H bond. Due to this, hydrogen radicals 96 can be generated with the highest efficiency, and thus it may require relatively low power to generate the hydrogen radicals 96 . This may advantageously imply that the temperature of the optical element 201 during cleaning and after cleaning is relatively low. For instance, when using hot filaments to generate radicals, the temperature may be substantially higher, which may imply that optics are damaged or loose their alignment.
  • FIG. 3 b schematically shows the same embodiment as described above, but now using a (high power) UV source as source of radiation 103 , such as a (high power) mercury lamp or a (high power) deuterium lamp, etc.
  • a (high power) UV source such as a (high power) mercury lamp or a (high power) deuterium lamp, etc.
  • a Hg lamp may be used.
  • An advantage of this embodiment is that compared to some laser sources, very high power sources are available.
  • a high power mercury lamp is used of 800 Watt or higher, for instance at least 1000 Watt. More hydrogen radicals 96 may be generated compared to the above described embodiment, and thus a faster cleaning rate may be achieved (comparing the same number of radiation sources 103 ).
  • a UV (broad band) light source is smaller and cheaper than an UV laser source.
  • optics 210 are comprised. These optics may comprise focusing or defocusing lenses (see above), but may also comprise optical filters.
  • optics 210 comprises a filter that is designed to diminish radiation that is not able to dissociate hydrogen molecules (“useless radiation”) relative to useful radiation (i.e. radiation that does dissociate hydrogen).
  • a band pass filter may be used to that is transmissive for at least part of the radiation within the photo dissociation range and is not transmissive or is less transmissive for at least part of the radiation that does not dissociate hydrogen molecules, i.e. a filter that passes frequencies in a desired range (wavelength with the energy of photo dissociation) and attenuates frequencies below and above.
  • a band pass filter for about 270 nm may be used for the dissociation of H 2 .
  • An advantage of using such optical filters 210 is that in this way the surface to be cleaned 202 of optical element 201 is not unnecessarily heated by radiation that is not useful. Thereby, damage an/or misalignments are minimized.
  • the optics 210 may be of a reflective or transmissive character. Further, optics 210 may comprise a number of optics.
  • source of radiation 103 is not an additional source, but comprises source SO, i.e. source SO is source of radiation 103 .
  • source SO is an EUV source, such as a Sn source, which is known in the art.
  • EUV sources however also emit some radiation in the DUV/UV range. This radiation may be used to dissociate hydrogen molecules 100 from hydrogen source 700 into a hydrogen radical containing gas 96 which cleans surface 202 (not indicated) of shells 142 , 143 and 146 or surfaces 202 of other optical elements within lithographic apparatus 1 .
  • filter 211 is configured.
  • This filter may be reflective or transmissive.
  • filter 211 is transmissive.
  • This filter is configured and designed to be transmissive for at least part of the radiation within the photo dissociation range and is not transmissive or is less transmissive for at least part of the radiation that does not dissociate hydrogen molecules, i.e. a filter that passes frequencies in a desired range (wavelength with the energy of photo dissociation) and attenuates frequencies below and/or above.
  • a band pass filter is applied, which attenuates frequencies below and above the energy of the dissociation energy.
  • Optical filter 211 is positionable. This implies that during cleaning filter 211 may be present and during the lithographic process, filter 211 is removed.
  • EUV source SO does not only generate EUV radiation, but also other light frequencies, such as UV.
  • the UV can be used to generate hydrogen molecules, but a problem may be that the EUV radiation from source SO (i.e. here also source of radiation 103 ) will generate ionized hydrogen, which can damage the optics, such as collector mirror 50 .
  • filter 211 is used.
  • This embodiment does not require substantially (additional) space, since the naturally present source SO in combination with optical filter 211 is used as hydrogen radical source 103 .
  • source of radiation 103 comprises source SO, which emits EUV and UV radiation, and optical filter 211 which is designed to decrease the EUV radiation relative to the UV radiation.
  • FIG. 3 d shows a variant of this embodiment in more detail.
  • filter 211 may configured optically downstream (i.e. between contaminant barrier 49 and collector mirror 50 ) of contaminant barrier 49 or optically upstream of contaminant barrier 49 (i.e. between source SO and contaminant barrier 49 ).
  • the latter embodiment may be suitable for treating contaminant barrier 49 with hydrogen radicals 96 ; the former may be suited for treating collector mirror 50 with hydrogen radicals 96 .
  • outlet 106 of hydrogen containing gas source 700 may be configured to provide a gas flow 100 through contaminant barrier 49 .
  • FIG. 1 shows a variant of this embodiment in more detail.
  • cleaning arrangement 250 is configured to provide a gas flow with hydrogen radicals 96 through collector mirror 50 .
  • the position of exhaust opening 107 of optional exhaust pump 400 and the position of outlet 106 of hydrogen containing gas source 700 the direction of hydrogen radical containing gas flow 96 can be adjusted.
  • collector mirror 50 or other optical elements to be treated with hydrogen radicals may (in situ) be separated from the rest of the lithographic apparatus 1 .
  • the element to be cleaned is enclosed by a circumferential hull 500 , as schematically depicted in FIGS. 3 e and 3 f , here with respect to collector mirror 50 .
  • Hull 500 comprises an outlet 106 for hydrogen containing gas 100 and an exhaust opening 107 , for removal of gasses by pump 400 to exhaust 550 .
  • lithographic apparatus 1 wherein EUV source SO further emits radiation having a wavelength in the UV, lithographic apparatus 1 further comprising optical filter 211 positionable between EUV source SO and the surface to be cleaned and wherein optical filter 211 is configured to diminish EUV radiation relative to UV radiation.
  • the treatment process is separated from the rest of lithographic apparatus 1 , and thus for instance contamination elsewhere in lithographic apparatus 1 by redeposition of volatile hydrides ( 204 ) may be minimized.
  • a reactor is provided, wherein the hydrogen radical treatment is performed.
  • circumferential hull 500 may further comprise a second filter 211 b , configured downstream of the optical element to be cleaned and configured to pass at least part of radiation 209 through the rest of the apparatus.
  • a second filter 211 b configured downstream of the optical element to be cleaned and configured to pass at least part of radiation 209 through the rest of the apparatus.
  • radiation that transmits through filter 211 b may be used to irradiate optical elements 53 , 54 , etc.
  • Using a source SO as hydrogen radical source 103 allows the illuminated area on the optics to be treated by the radiation for dissociation of hydrogen molecules is substantially the same as that illuminated by the EUV radiation in the absence of filter 211 (and optional filter 211 b ). Hence, a good irradiation may be achieved, especially for the reflective surface(s) of collector mirror 50 , whereas when additional sources 103 are used, it may be more complicated to irradiate the entire surface of the reflective surfaces of collector mirror 50 . When using collector mirrors with bent surfaces, such as the above described Wolter collector mirror, this may be a desired embodiment.
  • Circumferential hull 500 may be a cocoon-like hull, comprising one or two doors, at least one door configured between source SO and the optical element to be cleaned, which door may comprise filter 211 .
  • a second door may be present, which may be configured downstream of the optical element to be cleaned, which second door may optionally comprise filter 211 b.
  • optical filter 211 and optional filter 211 b in circumferential hull 500 than in door 120 and optional door 130 are possible. For instance, they may be present in hull 200 .
  • hydrogen containing gas 100 enters through an opening (i.e. in the figure outlet 106 ) in door 120 and gas is removed by pump 400 through exhaust opening 107 via optional tube 471 .
  • the hydrogen radical source 103 irradiates hydrogen containing gas 100 within circumferential hull 500 through filter 211 (or optional filter 211 ) and thereby generates hydrogen radicals within circumferential hull 500 , which thereby clean/treat a surface to be cleaned/treated of an optical element contained in circumferential hull 500 .
  • outlet 106 and exhaust 107 in circumferential hull 500 than in door 120 and optional door 130 are possible. For instance, they may be present in hull 200 .
  • cleaning arrangement 250 comprises a source 700 of a hydrogen containing gas 100 , a source of radiation 103 (not depicted), circumferential hull 500 configured to enclose an optical element, circumferential hull 500 comprising at least one door 120 , and optionally a second door 130 , circumferential hull 500 further comprising outlet 106 configured to introduce hydrogen containing gas 100 from source 700 into the volume enclosed by circumferential hull 500 and an exhaust opening 107 , configured to remove gas from circumferential hull 500 , circumferential hull 500 further comprising a filter 211 and optionally a filter 211 b , filter 211 configured to transmit at least part of radiation 209 of source of radiation 103 (i.e.
  • At least part of the wavelength range wherein photo dissociation of hydrogen molecules can be induced is at least partially transmitted through filter 211 into circumferential hull 500 ), wherein source of radiation 103 and filter 211 are configured to irradiate at least part of the hydrogen containing gas contained in circumferential hull 500 thereby converting at least part of the total amount of hydrogen molecules in the hydrogen containing gas 100 introduced into the volume contained by circumferential hull 500 into a hydrogen radical containing gas 96 , and wherein the cleaning arrangement is configured to provide a hydrogen radical containing gas to the surface of the optical element to be cleaned which optical element is contained in circumferential hull 500 (i.e. is configured in the volume enclosed by circumferential hull 500 ).
  • the cleaning arrangement as schematically depicted in FIGS. 3 e and 3 f may not only be used with source SO as hydrogen radical source 103 , also a separate hydrogen radical source 103 source may be used.
  • a separate hydrogen radical source 103 source may be used.
  • an UV source may be placed in front of filter 211 or optional filter 211 b .
  • More than one sources 103 may be used. Further, more than one filter may be used.
  • the cleaning arrangement 250 including circumferential hull 500 may be used in situ in the lithographic apparatus 1 , but may also be used ex situ. Further, such cleaning arrangement may be used to clean collector mirror 50 , but also other elements such as contaminant barrier 49 , other reflective or transmissive optical filters (as described above), etc.
  • cleaning arrangement 250 including circumferential hull 500 for in situ cleaning, at least part of circumferential hull 500 is removable, to guarantee an substantially undisturbed beam of radiation B during lithographic processing. For instance, doors 120 and 130 may be movable, or filters 211 and 211 b may be movable.
  • source of radiation 103 is either source SO or a source of radiation additional to source SO.
  • An additional source of radiation 103 may be configured externally from circumferential hull 500 .
  • the additional source of radiation 103 is configured within circumferential hull 400 .
  • optical filter 211 and optional optical filter 211 b are not necessary, although one or more of such filters may still be used to irradiate optical elements outside circumferential hull 500 .
  • some optical elements may also be cleaned on line, i.e. during the lithographic process.
  • optical filter 211 may be placed upstream of collector mirror 50 (i.e. optically upstream of collector mirror 50 ), or may be placed downstream of collector mirror 50 (i.e. optically downstream of collector mirror 50 ).
  • optical elements in the illumination area, see FIG. 2 , reference number 44 (IL), and/or optical elements in the projection area, see FIG. 2 , reference PS may be irradiated with UV radiation.
  • a lithographic apparatus and cleaning method for cleaning a surface to be cleaned of an optical element of a lithographic apparatus
  • the lithographic apparatus comprises an optical filter for reducing UV radiation (relative to EUV radiation), for instance a transmissive optical filter for reducing UV radiation, and wherein this filter for reducing UV radiation is removed.
  • UV radiation can propagate “undisturbed” through the lithographic apparatus and be used in the cleaning arrangement of the invention and in the cleaning method of the invention.
  • next to the removal of the optical filter for reducing UV optical filter 211 is configured in beam B optically upstream of the optical element(s) to be cleaned.
  • This optical filter 211 may be the optical filter 211 as described above and schematically depicted in FIGS. 3 a - 3 f ,for example 3 c - 3 e.
  • cleaning arrangement 250 is provided, further comprising circumferential hull 500 configured to enclose optical element 201 , circumferential hull 500 comprising at least one door 120 , circumferential hull 500 further comprising an outlet 106 configured to introduce hydrogen containing gas 100 from hydrogen containing gas source 700 into the volume enclosed by circumferential hull 500 and exhaust opening 107 configured to allow removal from gas from circumferential hull 500 , circumferential hull 500 further comprising optical filter 211 configured to transmit at least part of radiation 209 of source of radiation 103 , wherein source of radiation 103 and optical filter 211 are configured to irradiate at least part of hydrogen containing gas 100 contained in circumferential hull 500 thereby converting at least part of hydrogen containing gas 100 contained by circumferential hull 500 into hydrogen radical containing gas 96 , wherein cleaning arrangement 250 is configured to provide hydrogen radical containing gas 96 to surface 202 of optical element 201 to be cleaned contained in circumferential hull 500 .
  • a method for cleaning surface 202 to be cleaned of optical element 201 of lithographic apparatus 1 may be a method in situ, i.e. a method for cleaning surface 202 to be cleaned of optical element 201 in lithographic apparatus 1 .
  • the method comprises leading hydrogen containing gas 100 to surface 202 to be cleaned and irradiating the gas 100 or surface 202 to be cleaned or both with radiation 209 which induces photo dissociation of the hydrogen.
  • hydrogen radicals will be generated, thereby providing hydrogen radical containing gas 96 .
  • hydrogen close to the surface 202 to be cleaned will dissociate into hydrogen radicals 96 .
  • the surface 202 to be cleaned will be irradiated, although for the generation of hydrogen radicals it may also suffice to irradiate gas 100 only.
  • source of radiation 103 might also be present in tube 104 .
  • Tubes herein refer to gas tube or gas pipes, i.e. tubes for transporting gasses, such as hydrogen.
  • Gas flows may be in other directions than depicted.
  • the invention is not limited to EUV radiation alone, but may also be used for lithographic apparatus that use other radiation, as described above. Other contaminants as a result of other sources than Sn may also be removed by cleaning arrangement 250 and cleaning method of the invention.
  • lithographic apparatus in the manufacture of ICs
  • the lithographic apparatus described herein may have other applications, such as the manufacture of integrated optical systems, guidance and detection patterns for magnetic domain memories, flat-panel displays, liquid-crystal displays (LCDs), thin-film magnetic heads, etc.
  • LCDs liquid-crystal displays
  • any use of the terms “wafer” or “die” herein may be considered as synonymous with the more general terms “substrate” or “target portion” respectively.
  • the substrate referred to herein may be processed, before or after exposure, in for example a track (a tool that typically applies a layer of resist to a substrate and develops the exposed resist), a metrology tool and/or an inspection tool. Where applicable, the disclosure herein may be applied to such and other substrate processing tools. Further, the substrate may be processed more than once, for example in order to create a multi-layer IC, so that the term substrate used herein may also refer to a substrate that already contains multiple processed layers.
  • imprint lithography a topography in a patterning device defines the pattern created on a substrate.
  • the topography of the patterning device may be pressed into a layer of resist supplied to the substrate whereupon the resist is cured by applying electromagnetic radiation, heat, pressure or a combination thereof.
  • the patterning device is moved out of the resist leaving a pattern in it after the resist is cured.
  • the invention may take the form of a computer program containing one or more sequences of machine-readable instructions describing a method as disclosed above, or a data storage medium (e.g. semiconductor memory, magnetic or optical disk) having such a computer program stored therein.
  • a data storage medium e.g. semiconductor memory, magnetic or optical disk

Abstract

A cleaning arrangement is provided for use in an EUV lithographic apparatus, for example an EUV lithographic apparatus with a Sn source. The cleaning arrangement includes a gas source for a hydrogen containing gas and a hydrogen radical source. The hydrogen radical source is a source of (UV) radiation which induces photo dissociation of the hydrogen. Radicals may reduce Sn oxides (if present) and my form volatile hydrides of Sn deposition and/or carbon deposition. In this way the cleaning arrangement can be used to clean optical elements from Sn and/or C deposition. The EUV source may be used as hydrogen radical source. An optical filter is used to remove undesired EUV radiation and transmit desired UV radiation.

Description

    BACKGROUND OF THE INVENTION
  • 1. Field of the Invention
  • The present invention relates to a lithographic apparatus comprising a cleaning arrangement. The present invention also relates to a cleaning arrangement. The present invention further relates to a method for cleaning a surface to be cleaned, for instance a surface of an optical element within the lithographic apparatus.
  • 2. Description of the Related Art
  • A lithographic apparatus is a machine that applies a desired pattern onto a substrate, usually onto a target portion of the substrate. A lithographic apparatus can be used, for example, in the manufacture of integrated circuits (ICs). In that instance, a patterning device, which is alternatively referred to as a mask or a reticle, may be used to generate a circuit pattern to be formed on an individual layer of the IC. This pattern can be transferred onto a target portion (e.g. comprising part of, one, or several dies) on a substrate (e.g. a silicon wafer). Transfer of the pattern is typically via imaging onto a layer of radiation-sensitive material (resist) provided on the substrate. In general, a single substrate will contain a network of adjacent target portions that are successively patterned. Known lithographic apparatus comprise steppers, in which each target portion is irradiated by exposing an entire pattern onto the target portion at one time, and scanners, in which each target portion is irradiated by scanning the pattern through a radiation beam in a given direction (the “scanning” direction) while synchronously scanning the substrate parallel or anti-parallel to this direction. It is also possible to transfer the pattern from the patterning device to the substrate by imprinting the pattern onto the substrate.
  • In a lithographic apparatus, the size of features that can be imaged onto the substrate is limited by the wavelength of the projection radiation. To produce integrated circuits with a higher density of devices, and hence higher operating speeds, it is desirable to be able to image smaller features. While most current lithographic projection apparatus employ ultraviolet light generated by mercury lamps or excimer lasers, it has been proposed to use shorter wavelength radiation, e.g. of around 13 nm. Such radiation is termed extreme ultraviolet (EUV) or soft x-ray, and possible sources comprise, for example, laser-produced plasma sources, discharge plasma sources, or synchrotron radiation from electron storage rings.
  • The source of EUV radiation is typically a plasma source, for example a laser-produced plasma or a discharge source. A common feature of any plasma source is the production of fast ions and atoms, which are expelled from the plasma in all directions. These particles can be damaging to the collector and condenser mirrors which are generally multilayer mirrors or grazing incidence mirrors, with fragile surfaces. This surface is gradually degraded due to the impact, or sputtering, of the particles expelled from the plasma and the lifetime of the mirrors is thus decreased. The sputtering effect is particularly problematic for the radiation collector. The purpose of this mirror is to collect radiation which is emitted in all directions by the plasma source and direct it towards other mirrors in the illumination system. The radiation collector is positioned very close to, and in line-of-sight with, the plasma source and therefore receives a large flux of fast particles from the plasma. Other mirrors in the system are generally damaged to a lesser degree by sputtering of particles expelled from the plasma since they may be shielded to some extent.
  • In the near future, extreme ultraviolet (EUV) sources will probably use tin (Sn) or another metal vapor to produce EUV radiation. This tin may leak into the lithographic apparatus, and will be deposited on mirrors in the lithographic apparatus, e.g. the mirrors of the radiation collector (also called collector). The mirrors of such a radiation collector may have a EUV reflecting top layer of, for example, ruthenium (Ru). Deposition of more than approximately 10 nm tin on the reflecting Ru layer will reflect EUV radiation in the same way as bulk Sn. It is envisaged that a layer of a few nm Sn is deposited very quickly near a Sn-based EUV source. The overall transmission of the collector may decrease significantly, since the reflection coefficient of tin is much lower than the reflection coefficient of ruthenium. In order to prevent debris from the source or secondary particles generated by this debris from depositing on the radiation collector, contaminant barriers may be used. Though such contaminant barriers may remove part of the debris, still some debris will deposit on the radiation collector or other optical elements. Further, also carbon (C) may deposit on optical elements like mirrors, which may also lead to a decrease in optical properties like reflection, etc.
  • SUMMARY OF THE INVENTION
  • It is desirable to provide a cleaning arrangement for a lithographic apparatus which cleaning arrangement can be used in a process for the removal of carbon or tin or both. It is further desirable to provide a method for cleaning carbon or tin or both carbon and tin from a surface to be cleaned from carbon or tin or both carbon and tin.
  • According to a first embodiment of the invention, there is provided a lithographic apparatus, the lithographic apparatus comprising an EUV source, the lithographic apparatus further comprising a cleaning arrangement, the cleaning arrangement comprising a hydrogen containing gas source and a hydrogen radical source, wherein the hydrogen radical source is configured to convert at least part of the hydrogen containing gas into a hydrogen radical containing gas, wherein the cleaning arrangement is configured to provide the hydrogen radical containing gas to a surface of an optical element to be cleaned, and wherein the hydrogen radical source comprises a source of radiation which induces photo dissociation of the hydrogen.
  • According to a further embodiment of the invention, there is provided a cleaning arrangement for instance for a lithographic apparatus, the cleaning arrangement comprising a hydrogen containing gas source and a hydrogen radical source, wherein the hydrogen radical source is configured to convert at least part of the hydrogen containing gas into a hydrogen radical containing gas, wherein the cleaning arrangement is configured to provide the hydrogen radical containing gas to a surface of an optical element to be cleaned, wherein the hydrogen radical source comprises a source of radiation which induces photo dissociation of the hydrogen.
  • According to next embodiment of the invention, there is provided a method for cleaning a surface to be cleaned of an optical element of a lithographic apparatus, the method comprising leading a hydrogen containing gas to the surface to be cleaned and irradiating the gas or the surface to be cleaned or both with radiation which induces photo dissociation of the hydrogen.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • Embodiments of the invention will now be described, by way of example only, with reference to the accompanying schematic drawings in which corresponding reference symbols indicate corresponding parts, and in which:
  • FIG. 1 depicts a lithographic apparatus according to an embodiment of the invention;
  • FIG. 2 depicts a side view of an EUV illumination system and projection optics of a lithographic apparatus according to FIG. 1; and
  • FIGS. 3 a-3 f depict embodiments of the cleaning arrangement according to the invention, especially the cleaning arrangement in combination with a lithographic apparatus.
  • DETAILED DESCRIPTION
  • FIG. 1 schematically depicts a lithographic apparatus 1 according to one embodiment of the invention. The apparatus 1 comprises an illumination system (illuminator) IL configured to condition a radiation beam B (e.g. UV radiation or EUV radiation). A support (e.g. a mask table) MT is configured to support a patterning device (e.g. a mask) MA and is connected to a first positioner PM configured to accurately position the patterning device in accordance with certain parameters. A substrate table (e.g. a wafer table) WT is configured to hold a substrate (e.g. a resist-coated wafer) W and is connected to a second positioner PW configured to accurately position the substrate in accordance with certain parameters. A projection system (e.g. a refractive projection lens system) PS is configured to project a pattern imparted to the radiation beam B by patterning device MA onto a target portion C (e.g. comprising one or more dies) of the substrate W.
  • The illumination system may comprise various types of optical components, such as refractive, reflective, magnetic, electromagnetic, electrostatic or other types of optical components, or any combination thereof, to direct, shape, or control radiation.
  • The support supports, e.g. bears the weight of, the patterning device. It holds the patterning device in a manner that depends on the orientation of the patterning device, the design of the lithographic apparatus, and other conditions, such as for example whether or not the patterning device is held in a vacuum environment. The support can use mechanical, vacuum, electrostatic or other clamping techniques to hold the patterning device. The support may be a frame or a table, for example, which may be fixed or movable as required. The support may ensure that the patterning device is at a desired position, for example with respect to the projection system. Any use of the terms “reticle” or “mask” herein may be considered synonymous with the more general term “patterning device.”
  • The term “patterning device” used herein should be broadly interpreted as re device that can be used to impart a radiation beam with a pattern in its cross-section such as to create a pattern in a target portion of the substrate. It should be noted that the pattern imparted to the radiation beam may not exactly correspond to the desired pattern in the target portion of the substrate, for example if the pattern comprises phase-shifting features or so called assist features. Generally, the pattern imparted to the radiation beam will correspond to a particular functional layer in a device being created in the target portion, such as an integrated circuit.
  • The patterning device may be transmissive or reflective. Examples of patterning devices comprise masks, programmable mirror arrays, and programmable LCD panels. Masks are well known in lithography, and comprise mask types such as binary, alternating phase-shift, and attenuated phase-shift, as well as various hybrid mask types. An example of a programmable mirror array employs a matrix arrangement of small mirrors, each of which can be individually tilted so as to reflect an incoming radiation beam in different directions. The tilted mirrors impart a pattern in a radiation beam which is reflected by the mirror matrix.
  • The term “projection system” used herein should be broadly interpreted as encompassing any type of projection system, comprising refractive, reflective, catadioptric, magnetic, electromagnetic and electrostatic optical systems, or any combination thereof, as appropriate for the exposure radiation being used, or for other factors such as the use of an immersion liquid or the use of a vacuum. Any use of the term “projection lens” herein may be considered as synonymous with the more general term “projection system”.
  • As here depicted, the apparatus is of a reflective type (e.g. employing a reflective mask). Alternatively, the apparatus may be of a transmissive type (e.g. employing a transmissive mask).
  • The lithographic apparatus may be of a type having two (dual stage) or more substrate tables (and/or two or more mask tables). In such “multiple stage” machines the additional tables may be used in parallel, or preparatory steps may be carried out on one or more tables while one or more other tables are being used for exposure.
  • The lithographic apparatus may also be of a type wherein at least a portion of the substrate may be covered by a liquid having a relatively high refractive index, e.g. water, so as to fill a space between the projection system and the substrate. An immersion liquid may also be applied to other spaces in the lithographic apparatus, for example, between the mask and the projection system. Immersion techniques are well known in the art for increasing the numerical aperture of projection systems. The term “immersion” as used herein does not mean that a structure, such as a substrate, must be submerged in liquid, but rather only means that liquid is located between the projection system and the substrate during exposure.
  • Referring to FIG. 1, the illuminator IL receives radiation from a radiation source SO. The source and the lithographic apparatus may be separate entities, for example when the source is an excimer laser. In such cases, the source is not considered to form part of the lithographic apparatus and the radiation is passed from the source SO to the illuminator IL with the aid of a beam delivery system comprising, for example, suitable directing mirrors and/or a beam expander. In other cases the source may be an integral part of the lithographic apparatus, for example when the source is a mercury lamp. The source SO and the illuminator IL, together with the beam delivery system BD if required, may be referred to as a radiation system.
  • The illuminator IL may comprise an adjuster configured to adjust the angular intensity distribution of the radiation beam. Generally, at least the outer and/or inner radial extent (commonly referred to as σ-outer and σ-inner, respectively) of the intensity distribution in a pupil plane of the illuminator can be adjusted. In addition, the illuminator IL may comprise various other components, such as an integrator and a condenser. The illuminator may be used to condition the radiation beam, to have a desired uniformity and intensity distribution in its cross-section.
  • The radiation beam B is incident on the patterning device (e.g., mask MA), which is held on the support (e.g., mask table MT), and is patterned by the patterning device. Having traversed the mask MA, the radiation beam B passes through the projection system PS, which focuses the beam onto a target portion C of the substrate W. With the aid of the second positioner PW and position sensor IF2 (e.g. an interferometric device, linear encoder or capacitive sensor), the substrate table WT can be moved accurately, e.g. so as to position different target portions C in the path of the radiation beam B. Similarly, the first positioner PM and another position sensor IF1 (e.g. an interferometric device, linear encoder or capacitive sensor) can be used to accurately position the mask MA with respect to the path of the radiation beam B, e.g. after mechanical retrieval from a mask library, or during a scan. In general, movement of the mask table MT may be realized with the aid of a long-stroke module (coarse positioning) and a short-stroke module (fine positioning), which form part of the first positioner PM. Similarly, movement of the substrate table WT may be realized using a long-stroke module and a short-stroke module, which form part of the second positioner PW. In the case of a stepper, as opposed to a scanner, the mask table MT may be connected to a short-stroke actuator only, or may be fixed. Mask MA and substrate W may be aligned using mask alignment marks M1, M2 and substrate alignment marks P1, P2. Although the substrate alignment marks as illustrated occupy dedicated target portions, they may be located in spaces between target portions (these are known as scribe-lane alignment marks). Similarly, in situations in which more than one die is provided on the mask MA, the mask alignment marks may be located between the dies.
  • The depicted apparatus could be used in at least one of the following modes:
  • 1. In step mode, the mask table MT and the substrate table WT are kept essentially stationary, while an entire pattern imparted to the radiation beam is projected onto a target portion C at one time (i.e. a single static exposure). The substrate table WT is then shifted in the X and/or Y direction so that a different target portion C can be exposed. In step mode, the maximum size of the exposure field limits the size of the target portion C imaged in a single static exposure.
  • 2. In scan mode, the mask table MT and the substrate table WT are scanned synchronously while a pattern imparted to the radiation beam is projected onto a target portion C (i.e. a single dynamic exposure). The velocity and direction of the substrate table WT relative to the mask table MT may be determined by the (de-)magnification and image reversal characteristics of the projection system PS. In scan mode, the maximum size of the exposure field limits the width (in the non-scanning direction) of the target portion in a single dynamic exposure, whereas the length of the scanning motion determines the height (in the scanning direction) of the target portion.
  • 3. In another mode, the mask table MT is kept essentially stationary holding a programmable patterning device, and the substrate table WT is moved or scanned while a pattern imparted to the radiation beam is projected onto a target portion C. In this mode, generally a pulsed radiation source is employed and the programmable patterning device is updated as required after each movement of the substrate table WT or in between successive radiation pulses during a scan. This mode of operation can be readily applied to maskless lithography that utilizes programmable patterning device, such as a programmable mirror array of a type as referred to above.
  • Combinations and/or variations on the above described modes of use or entirely different modes of use may also be employed.
  • In an embodiment according to the invention, there is provided a lithographic apparatus comprising an illumination system configured to condition a radiation beam; a support configured to support a patterning device, the patterning device being configured to impart the radiation beam with a pattern in its cross-section to form a patterned radiation beam; a substrate table configured to hold a substrate; a projection system configured to project the patterned radiation beam onto a target portion of the substrate, and a cleaning arrangement according to the invention. In a further embodiment, the lithographic apparatus comprises an EUV source, which is configured to generate a beam of EUV radiation for EUV lithography. In general, the EUV source is configured in a radiation system (see below). The illumination system is configured to condition the EUV radiation beam of the EUV source.
  • The term “halogen containing gas” or “hydrogen containing gas” refers to gasses or gas mixtures comprising at least a halogen gas or hydrogen gas, respectively. The term “halogen” in the term “halogen containing gas” refers to at least one or more selected of F, Cl, Br and I, either as an atom (radical) or as compound, for example F2, Cl2, Br2, I2, HF, HCl, HBr, HI, interhalogen compounds, for example CIF3, or other compounds comprising one or more selected from F, Cl, Br and I which can be brought into the gas phase at a temperature between about 50-500°. In an embodiment one or more of F2, Cl2, Br2, I2 may be used, in particular I2. The terms “hydrogen” and “hydrogen radicals” comprise their isotopes as well, in particular, deuterium. Hence, the term “hydrogen containing gas” refers to a gas comprising H2 or deuterium or tritium analogues thereof. In an embodiment, the hydrogen containing gas comprises one or more selected from the group of H2, HD, D2, HT, DT, T2. Hence, the term “hydrogen containing gas” refers to a gas selected from the group of a H2, D2, T2, HD, HT and DT containing gas. A halogen containing gas or a hydrogen containing gas may further comprise additional components like buffer gasses, such as Ar, etc. A “flow of hydrogen radicals” refers to a gas flow, wherein in the gas also hydrogen radicals are present. Usually, such a gas will also contain hydrogen molecules (like one or more of H2, HD, D2, HT, DT, T2), since not all hydrogen may be converted into radicals, and due to radical recombination. The term “hydrogen radical containing gas” refers to a gas comprising hydrogen radicals or deuterium or tritium analogues thereof. Such gas may further comprise other components like H2, etc., which has not been dissociated or has recombined from hydrogen radicals. The term “hydrogen containing gas source” refers to one or more sources of gas, wherein the gas at least contains molecular hydrogen (and/or analogues thereof, see above). The term “hydrogen radical source” refers to a device that converts at least part of the hydrogen gas (of the hydrogen containing gas) into hydrogen radicals, such that a hydrogen radical containing gas is provided. The phrase “converting at least part of the hydrogen containing gas into a hydrogen radical containing gas” refers herein to the process wherein at least part of the total amount of hydrogen molecules of the hydrogen containing gas (from the hydrogen containing gas source) is converted (by photo dissociation) into hydrogen radicals, thereby forming a hydrogen radical containing gas.
  • The term “lens”, where the context allows, may refer to any one or combination of various types of optical components, comprising refractive, reflective, magnetic, electromagnetic and electrostatic optical components.
  • The terms “radiation” and “beam” used herein encompass all types of electromagnetic radiation, comprising ultraviolet (UV) radiation (e.g. having a wavelength λ of 365, 248, 193, 157 or 126 nm) and extreme ultra-violet (EUV or soft X-ray) radiation (e.g. having a wavelength in the range of 5-20 nm, e.g. 13.5 nm), as well as particle beams, such as ion beams or electron beams. Generally, radiation having wavelengths between about 780-3000 nm (or larger) is considered IR radiation. UV refers to radiation with wavelengths of approximately 100-400 nm. Within lithography, it is usually also applied to the wavelengths which can be produced by a mercury discharge lamp: G-line 436 nm; H-line 405 nm; and/or I-line 365 nm. VUV is Vacuum UV (i.e. UV absorbed by air) and refers to wavelengths of approximately 100-200 nm. DUV is Deep UV, and is usually used in lithography for the wavelengths produced by excimer lasers like 126 nm-248 nm. It should be appreciated that radiation having a wavelength in the range of, for example, 5-20 nm relates to radiation with a certain wavelength band, of which at least part is in the range of 5-20 nm.
  • The terms “cleaning arrangement” and “method for cleaning” refer to an arrangement and a method that may be used in a cleaning process. As mentioned below, providing hydrogen radicals may be used for reduction of oxides, like Sn oxides and in a next process, halogens (like for example I2) may remove the metal, like Sn, by the formation of halides. Hence, “cleaning” in this context may imply a complete or partial removal of undesired deposition but may also imply a part of a cleaning process, like a reduction (without substantially removing deposition). Therefore, the term “cleaning” also comprises treating (treatment) with a gas in the course of a cleaning of a cleaning process. Further, in an embodiment hydrogen radicals may nevertheless be used to remove deposition (by formation of Sn-hydrides). The cleaning process may also be used to remove at least partially C (carbon) deposition (by the formation of volatile hydrocarbons such as CH4). The phrase “to be cleaned” also refers to “to be partially cleaned”.
  • FIG. 2 shows the projection apparatus 1 in more detail, comprising a radiation system 42, an illumination optics unit 44, and the projection system PS. The radiation system 42 comprises the radiation source SO which may be formed by a discharge plasma. EUV radiation may be produced by a gas or vapor, for example Xe gas, Li vapor or Sn vapor in which a very hot plasma is created to emit radiation in the EUV range of the electromagnetic spectrum. The very hot plasma is created by causing an at least partially ionized plasma by, for example, an electrical discharge. Partial pressures of, for example, 10 Pa of Xe, Li, Sn vapor or any other suitable gas or vapor may be required for efficient generation of the radiation. The radiation emitted by radiation source SO is passed from a source chamber 47 into a collector chamber 48 via a gas barrier or contaminant trap 49 which is positioned in or behind an opening in source chamber 47. The gas barrier 49 may comprise a channel structure.
  • The collector chamber 48 comprises a radiation collector 50 (also called collector mirror or collector) which may be formed by a grazing incidence collector. Radiation collector 50 has an upstream radiation collector side 50 a and a downstream radiation collector side 50 b. Radiation passed by collector 50 can be reflected off a grating spectral filter 51 to be focused in a virtual source point 52 at an aperture in the collector chamber 48. From collector chamber 48, a beam of radiation 56 is reflected in illumination optics unit 44 via normal incidence reflectors 53, 54 onto a reticle or mask positioned on reticle or mask table MT. A patterned beam 57 is formed which is imaged in projection system PS via reflective elements 58, 59 onto wafer stage or substrate table WT. More elements than shown may generally be present in illumination optics unit 44 and projection system PS. Grating spectral filter 51 may optionally be present, depending upon the type of lithographic apparatus. Further, there may be more mirrors present than those shown in the figures, for example there may be 1-4 more reflective elements present than 58, 59. Radiation collectors 50 are known from the prior art. Reference number 180 indicates a space between two reflectors, e.g. between reflectors 142 and 143.
  • Instead of a grazing incidence mirror as collector mirror 50, also a normal incidence collector may be applied. Collector mirror 50, as described herein in an embodiment in more detail as nested collector with reflectors 142, 143, and 146, and as schematically depicted in FIGS. 3 c-3 f, is herein further used as example of a collector. Hence, where applicable, collector mirror 50 as grazing incidence collector may also be interpreted as collector in general and in an embodiment also as normal incidence collector.
  • Further, instead of a grating 51, as schematically depicted in FIG. 2, also a transmissive optical filter may be applied. Optical filters transmissive for EUV and less transmissive for or even substantially absorbing UV radiation are known in the art. Hence, “grating spectral purity filter” is herein further indicated as “spectral purity filter” which includes gratings or transmissive filters. Not depicted in schematic drawings 3 a and 3 b, but also included as optional optical element may be EUV transmissive optical filters, for instance configured upstream of collector mirror 50, or optical EUV transmissive filters in illumination unit 44 and/or projection system PS.
  • Herein the terms “upstream” and “downstream” with respect to optical elements indicate positions “optically upstream” and “optically downstream” respectively. The beam of radiation B passes through lithographic apparatus 1. Following the light path that beam of radiation B traverses through lithographic apparatus 1, a first optical elements closer to source SO than a second optical element is configured upstream of the second optical element; the second optical element is configured downstream of the first optical element. For instance, collector mirror 50 is configured upstream of spectral filter 51, whereas optical element 53 is configured downstream of spectral filter 51.
  • All optical elements shown in FIG. 2 (and optical elements not shown in the schematic drawing of this embodiment) are vulnerable to deposition of contaminants produced by source SO, for example, Sn. This is the case for the radiation collector 50 and, if present, the spectral purity filter 51. Hence, the cleaning device according to the invention may be used to clean one or more of these optical elements as well as the cleaning method of the present invention may be applied to those optical elements, but also to normal incidence reflectors 53, 54 and reflective elements 58, 59 or other optical elements, for example additional mirrors, gratings, etc.
  • Radiation collector 50 may be a grazing incidence collector. The collector 50 is aligned along an optical axis O. The source SO or an image thereof is located on optical axis O. The radiation collector 50 may comprise reflectors 142, 143, 146 (also known as a Wolter-type reflector comprising several Wolter-type reflectors). Sometimes they are also called a shell. These reflectors 142, 143, 146 may be nested and rotationally symmetric about optical axis 0. In FIG. 2, an inner reflector is indicated by reference number 142, an intermediate reflector is indicated by reference number 143, and an outer reflector is indicated by reference number 146. The radiation collector 50 encloses a certain volume, i.e. the volume within the outer reflector(s) 146. Usually, this volume within outer reflector(s) 146 is circumferentially closed, although small openings may be present. All the reflectors 142, 143 and 146 comprise surfaces of which at least part comprises a reflective layer or a number of reflective layers. Hence, reflectors 142, 143 and 146 (more reflectors may be present and embodiments of radiation collectors (also called collector mirrors) 50 having more than 3 reflectors or shells are comprised herein), are at least partly designed for reflecting and collecting EUV radiation from source SO, and at least part of the reflector may not be designed to reflect and collect EUV radiation. For example, at least part of the back side of the reflectors may not be designed to reflect and collect EUV radiation. The latter part may also be called back side. On the surface of these reflective layers, there may in addition be a cap layer for protection or as optical filter provided on at least part of the surface of the reflective layers.
  • The radiation collector 50 may be placed in the vicinity of the source SO or an image of the source SO. Each reflector 142, 143, 146 may comprise at least two adjacent reflecting surfaces, the reflecting surfaces further from the source SO being placed at smaller angles to the optical axis O than the reflecting surface that is closer to the source SO. In this way, a grazing incidence collector 50 is configured to generate a beam of (E)UV radiation propagating along the optical axis O. At least two reflectors may be placed substantially coaxially and extend substantially rotationally symmetric about the optical axis O. It should be appreciated that radiation collector 50 may have further features on the external surface of outer reflector 146 or further features around outer reflector 146, for example a protective holder, a heater, etc.
  • During use, on one or more of the outer 146 and inner 142/143 reflector(s) deposition may be found, especially Sn when a Sn source SO is used. Deposition of Sn, for example due to a Sn source, may, after a few mono-layers, be detrimental to reflection of the radiation collector 50 or other optical elements, which may necessitate the cleaning of such optical elements. Detrimental herein refers to reduction and loss of reflectivity of those reflecting surfaces of the reflector or mirror that is designed to reflect (and/or collect) radiation. Further, deposition of carbon may be found.
  • Deposition, for example deposition comprising Sn, may be removed in an embodiment by halogens (as gasses), for example, F2, Cl2, Br2 and I2 and, in another embodiment by hydrogen radicals, and in yet a further embodiment by combinations of hydrogen radicals and one or more halogens, either applied simultaneously or subsequently. In case there is a deposition with e.g. Sn, due to the presence of small amounts of oxygen, there will usually also be to some extent Sn oxide. To remove Sn oxides, a reduction step may be necessary before elemental Sn can be removed. Hence, in an embodiment, the cleaning process of surface to be cleaned may comprise the process of providing hydrogen radical containing gas to surface to be cleaned. In yet another embodiment, the cleaning process comprises the process of providing hydrogen radical containing gas to surface to be cleaned wherein hydrogen radical containing gas further comprises one or more halogen gasses (such as mentioned above). In another embodiment, the cleaning process of surface to be cleaned may comprise the process of providing hydrogen radical containing gas to surface to be cleaned and subsequently providing hydrogen radical containing gas to surface to be cleaned wherein hydrogen radical containing gas further comprises one or more halogen gasses. In yet another embodiment, the cleaning process of surface to be cleaned may comprise the process of providing hydrogen radical containing gas to surface to be cleaned and subsequently providing a halogen containing gas to surface to be cleaned. The processes of these embodiments may optionally further comprise subsequently providing hydrogen radical containing gas to surface to be cleaned. With these processes, Sn and/or C may be removed, and are especially applicable for the removal of Sn.
  • Hence, hydrogen radicals, either for reduction or for removal have to be provided to at least part of a surface of collector 50, or at least part of a surface to be cleaned of other optical elements. Such surfaces are e.g. the EUV reflecting surfaces of reflectors 142, 143 and 146 which are contaminated with deposition like Sn. Further, hydrogen radicals may be used to remove carbon deposition by formation of volatile hydrocarbons.
  • Referring to FIGS. 1 and 2, different optical elements may have to be at least partially cleaned from e.g. Sn and/or C deposition. In an embodiment, an optical element is selected from the group of collector mirror 50, radiation system 42 (also known as source collector module), illumination system IL and projection system PS (also known as projection optics box POB). In an embodiment, the module to be cleaned may also be a spectral purity filter 51. In yet another embodiment, the module to be cleaned may also be a mask, in particular a reflective multilayer mask. The surface to be at (least partially) cleaned may comprise the surface of an optical element selected from the group of optical elements contained in radiation system 42, like collector mirror 50 (be it a normal incidence collector or grazing incidence collector), spectral purity filter 51 (grating or transmissive filter), radiation system (optical) sensors (not depicted), optical elements contained in illumination system 44, like mirrors 53 and 54 (or other mirrors, if presents) and illumination system (optical) sensors (not depicted), optical elements contained in the projection system PS, like mirrors 58 and 59 (or other mirrors, if presents) and projection system (optical) sensors (not depicted). In an embodiment, the term “optical element” also comprises contaminant barrier 49. Hence, the term optical element refers to one or more elements selected from the group of a grating spectral filter, a transmissive optical filter, multi-layer mirrors, coating filters on multi-layer mirrors, grazing incidence mirrors, normal incidence mirrors (such as a multi-layer collector), grazing incidence collectors, normal incidence collectors, and also (optical) sensors and contaminant barrier 49. The cleaning method of the invention may also be used to clean other surfaces than surfaces of optical elements, such as walls, supporting structures, gas locks, etc.
  • The terms “optical element”, “surface to be cleaned”, also related to a plurality of “optical elements” and “surfaces to be cleaned”, respectively.
  • Cleaning of optical elements of the lithographic apparatus 1 and the cleaning of arrangement 250 are explained with reference to collector 50, wherein collector 50 comprises at least a plurality of reflectors as described above. However, the cleaning arrangement 250 as depicted in FIGS. 3 a-3 f can also be used for cleaning of other optical elements (such as mentioned above).
  • Referring to FIG. 3 a, a cleaning arrangement 250 (which may especially be designed for cleaning a surface 202 to be cleaned of an optical element 201 of lithographic apparatus 1), comprises a hydrogen gas source 700 and a hydrogen radical source 103, which are configured to provide a hydrogen radical containing gas 96 to at least part of surface 202 to be cleaned. Surface 202 may be the surface of optical element 201. In an embodiment, optical element comprises collector mirror 50. Surface 202 of optical element 201 may be contaminated with carbon, with tin or with both carbon and tin. Also other contaminants may be present. The contaminants are schematically indicated with deposition 203, although it should be appreciated that the contaminations do not necessarily form layer-like depositions.
  • Hydrogen containing gas source 700 may be configured to provide a hydrogen containing gas, a deuterium containing gas, etc, as mentioned above. Hence, source 700 is configured to provide a hydrogen containing gas, which is indicated by reference number 100. This gas exits source 700 via outlet 106, which may be the opening of a tube 104. Tube 104 is in gaseous contact with source 700 and may be configured to provide gas 100 at a predetermined position, for instance within a few centimeters of a surface to be cleaned, here indicated as surface 202, for example within about 2-50 cm of at least part of surface 202 of optical element 201 to be cleaned. In an embodiment, outlet 106 is less then about 10 cm from at least part of surface 202 to be cleaned from contaminants by hydrogen radicals 96 and/or to be treated by these radicals 96.
  • In an embodiment, tube 104 may be moveable, for instance to be positioned at predetermined positions between two shells of collector mirror 50 (as indicated with reference number 180 in FIG. 2). The terms “outlet 106” and “tube 104” may comprise embodiments with a plurality of outlets 106 and tubes 104, respectively.
  • The hydrogen containing gas 100 at least partially flows in the direction of the surface 202 to be cleaned, for instance due to a pump configured to induce a gas flow in tube 104 (such pump, which may be independent from optional pump 400, is not depicted in the figures), or due an overpressure in source 700 relative to the pressure of the volume wherein optical element 201 is configured, or due to an exhaust pump 400. Combination of two or more may be used, such as a pump configured to provide a flow 100 through tube 104 and pump 400, configured to exhaust gas from the volume wherein the optical element 201 to be cleaned is configured. In the configuration schematically depicted in FIG. 3 a, a flow will be provided to the surface 202 to be cleaned. This flow initially comprises flow 100, which is the hydrogen containing gas. Further, in addition to the above, the term “flow” may also include diffusion of hydrogen gas 100 and where applicable also diffusion of hydrogen radicals 96. Hence, when using a flow of hydrogen containing gas 100, this flow may be but is not necessarily directed in the direction of surface 202 to be cleaned. Volatile reaction products may, due to diffusion, also diffuse away from surface 202.
  • In the area where radiation source 103 irradiates light with a wavelength in the range of the dissociation energy (see below), at least part of the total number of hydrogen molecules will dissociate into hydrogen radicals: a hydrogen radical containing gas 96 is provided. The volume irradiated by radiation source 103 is schematically indicated by area 219 enclosed by the dashed lines. In the schematic drawing 2 a and 2 b, an embodiment is shown wherein hydrogen radical source 103 irradiates both the hydrogen containing gas 100 and surface 202 to be cleaned (i.e. surface 202 and/or deposition 203 is irradiated by beam 209).
  • Herein, the term “hydrogen radical source 103” refers to a source of optical radiation, especially radiation with an energy that leads to (i.e. induces) photo dissociation of hydrogen molecules which are irradiated by the radiation of the hydrogen radical source 103. Hydrogen radical source 103 produces by its radiation 209 hydrogen radicals 96 from the hydrogen molecules 100. Hence, hydrogen radical source 103 is not a radical source per se, in that it can generate hydrogen radicals as stand alone apparatus, but due to the combination of a source 700 of hydrogen containing gas 100 and radiation 209 (i.e. the irradiated volume indicated with reference number 219) of hydrogen radical source 103, hydrogen radical source 103 is able to produce hydrogen radicals 96. Hydrogen radical source 103 irradiates at least part of the hydrogen containing gas flow 100. Hence, “hydrogen radical source 103” is herein also indicated as “source of radiation 103” or “radiation source 103” As described in more detail below, in an embodiment source of radiation 103 is an additional source of radiation (i.e. additional to EUV source SO), and in another embodiment, source or radiation 103 may be source SO, especially in combination with an UV transmissive filter that reduces EUV radiation of source SO.
  • The flow of the hydrogen radical containing gas is in an embodiment at least 1 m/s.
  • At least part of the contaminants 203 will be removed, for instance as volatile hydrides (like CH4, SnH4 etc. or halides, like Sn-halides such as Sn chlorides or Sn iodides, etc.), but also H2O may be formed (in case Sn oxides are reduced to Sn) (see above) and be removed. Removal of the volatilized contaminations is indicated with reference number 204. The volatile contaminates may be removed via an exhaust. In an embodiment, the volatile contaminations are at least partly exhausted by pump 400. Pump 400 comprises an opening or inlet (exhaust opening) or plurality of openings 107 and an exhaust 550. Optionally, opening 107 may be provided into tube 471, which is in gaseous contact with pump 400. In an embodiment, tube 471 may be movable to predetermined positions close to the surface to be cleaned, such that tube 471 may be positioned to exhaust the volatilized contaminants 204 due to the treatment with hydrogen radicals, and optionally halogens.
  • The radiation 209 emitted by source of radiation 103 may be expanded by an optional beam expander 210. Likewise, if desired and depending upon the type of source 103, a focusing lens may be used. More optics may be present to provide a beam of radiation 209. In an embodiment, source of radiation 103 and optional other optics 210, such as a beam expander, may be configured to scan surface 202 of optical element 201.
  • In an embodiment, hydrogen radical source 103, which is also indicated as source of radiation 103, is a source different from the EUV source SO (depicted in FIGS. 1 and 2). This implies that next to source SO, at least one or more sources 103 may be attached to lithographic apparatus 1 or may be integrated into lithographic apparatus 1. For instance, in an embodiment radiation system 42 may comprise at least one hydrogen radical source 103, in an embodiment illumination system IL (44) may comprise at least one hydrogen radical source 103 and projection system PS may comprise at least one hydrogen radical source 103. A plurality of hydrogen containing gas sources 700 and/or a plurality of outlets 106 of hydrogen containing gas sources 700 may be used to serve the different modules (systems) with a hydrogen containing gas 100. Likewise, a plurality of exhaust openings 107 and/or a plurality of pumps 400 may be used to exhaust gas.
  • In an embodiment, source of radiation or hydrogen radical source 103 comprises a source designed to provide radiation 209 with an energy which is enough to dissociate hydrogen molecules. The source of radiation which induces photo dissociation of the hydrogen may comprise an UV (i.e. a radiation wavelength in the range of about 100-400 nm) source. Further, in an embodiment source of radiation 103 which induces photo dissociation of the hydrogen comprises a high power UV source. In an embodiment, the wavelength or wavelength range of the radiation 209 of source 103 is within the energy range wherein photo dissociation of the hydrogen molecules is possible. For instance, for H2, a suitable wavelength of the radiation 209 of source of radiation 103 may be about 270 nm. At this wavelength hydrogen molecules in flow 100 are dissociated into radicals by radiation 209, such that hydrogen radical containing gas 96 is generated.
  • Source of radiation 103 may be selected from broad band sources and narrow band sources, such as high pressure mercury lamps, deuterium lamps and lasers, etc. One may use also more than one source. Hence, reference 103 comprises one or more sources of radiation 103. For instance, one may use different sources with different radiation wavelengths in case gas mixtures, such as H2 and D2, etc., are used. One may also use a plurality of sources in order to increase the flux of radiation, and thus the cleaning/removal process. Source 103 may further comprise optics and optical devices to tune or change the wavelength, such as a Raman shifter, frequency changing crystals (frequency doublers, triplers, etc.), ethalons, dye cells, etc. In an embodiment, source of radiation 103 comprises a laser source, such as an excimer laser (optionally in combination with a frequency shifter, such as a dye laser, for selecting the wavelength for dissociation when not provided by the excimer laser directly).
  • Hence, in the embodiment schematically depicted in FIG. 3 a, radiation 209 from a source of radiation 103 is directed towards surface 202 that needs to be cleaned. This surface 202 can for example be the multi-layer of a grazing incidence mirror, such as collector mirror 50 and spectral purity filter 51, but may also be the surfaces of the channels in the channel structure of contaminant barrier 49. A flow of hydrogen (H2) is directed over the surface 202 to be cleaned. Upon entering the illuminated area 219, at least part of the total amount of molecular hydrogen 100 will be transferred into H-radicals 96, which will remove Sn and/or C deposits 203 from surface 202. An advantage of this embodiment is that when using a laser source, the wavelength of radiation 209 can be tuned to an optimized wavelength for breaking the H-H bond. Due to this, hydrogen radicals 96 can be generated with the highest efficiency, and thus it may require relatively low power to generate the hydrogen radicals 96. This may advantageously imply that the temperature of the optical element 201 during cleaning and after cleaning is relatively low. For instance, when using hot filaments to generate radicals, the temperature may be substantially higher, which may imply that optics are damaged or loose their alignment.
  • FIG. 3 b schematically shows the same embodiment as described above, but now using a (high power) UV source as source of radiation 103, such as a (high power) mercury lamp or a (high power) deuterium lamp, etc. For instance, a Hg lamp may be used. An advantage of this embodiment is that compared to some laser sources, very high power sources are available. In an embodiment, a high power mercury lamp is used of 800 Watt or higher, for instance at least 1000 Watt. More hydrogen radicals 96 may be generated compared to the above described embodiment, and thus a faster cleaning rate may be achieved (comparing the same number of radiation sources 103). A UV (broad band) light source is smaller and cheaper than an UV laser source.
  • In a variant of this embodiment, optics 210 are comprised. These optics may comprise focusing or defocusing lenses (see above), but may also comprise optical filters. In yet an another embodiment, optics 210 comprises a filter that is designed to diminish radiation that is not able to dissociate hydrogen molecules (“useless radiation”) relative to useful radiation (i.e. radiation that does dissociate hydrogen). For instance, a band pass filter may be used to that is transmissive for at least part of the radiation within the photo dissociation range and is not transmissive or is less transmissive for at least part of the radiation that does not dissociate hydrogen molecules, i.e. a filter that passes frequencies in a desired range (wavelength with the energy of photo dissociation) and attenuates frequencies below and above. For instance, a band pass filter for about 270 nm may be used for the dissociation of H2. An advantage of using such optical filters 210 is that in this way the surface to be cleaned 202 of optical element 201 is not unnecessarily heated by radiation that is not useful. Thereby, damage an/or misalignments are minimized. The optics 210 may be of a reflective or transmissive character. Further, optics 210 may comprise a number of optics.
  • Above described embodiments are suitable for cleaning optical elements such the contaminant barrier 49, collector mirror 50, spectral purity filter 51, mirrors 53, 54, 57, 58 (and other mirrors, if present), other reflective or transmissive optical filters not depicted, optical sensors, etc.
  • An embodiment for cleaning collector mirror 50 is schematically depicted in FIGS. 3 c-3 e. Here, in an embodiment, source of radiation 103 is not an additional source, but comprises source SO, i.e. source SO is source of radiation 103. In an embodiment, source SO is an EUV source, such as a Sn source, which is known in the art. Such EUV sources however also emit some radiation in the DUV/UV range. This radiation may be used to dissociate hydrogen molecules 100 from hydrogen source 700 into a hydrogen radical containing gas 96 which cleans surface 202 (not indicated) of shells 142, 143 and 146 or surfaces 202 of other optical elements within lithographic apparatus 1. In a specific variant, optically downstream of source of radiation 103 and optically upstream of the optical element to be cleaned, filter 211 is configured. This filter may be reflective or transmissive. In an embodiment, filter 211 is transmissive. This filter is configured and designed to be transmissive for at least part of the radiation within the photo dissociation range and is not transmissive or is less transmissive for at least part of the radiation that does not dissociate hydrogen molecules, i.e. a filter that passes frequencies in a desired range (wavelength with the energy of photo dissociation) and attenuates frequencies below and/or above. In an embodiment, a band pass filter is applied, which attenuates frequencies below and above the energy of the dissociation energy. Optical filter 211 is positionable. This implies that during cleaning filter 211 may be present and during the lithographic process, filter 211 is removed.
  • As mentioned above, EUV source SO does not only generate EUV radiation, but also other light frequencies, such as UV. The UV can be used to generate hydrogen molecules, but a problem may be that the EUV radiation from source SO (i.e. here also source of radiation 103) will generate ionized hydrogen, which can damage the optics, such as collector mirror 50. In order to circumvent this, filter 211 is used. This embodiment does not require substantially (additional) space, since the naturally present source SO in combination with optical filter 211 is used as hydrogen radical source 103. Hence, in this embodiment, source of radiation 103 comprises source SO, which emits EUV and UV radiation, and optical filter 211 which is designed to decrease the EUV radiation relative to the UV radiation.
  • FIG. 3 d shows a variant of this embodiment in more detail. In case optional contaminant barrier 49 is present, filter 211 may configured optically downstream (i.e. between contaminant barrier 49 and collector mirror 50) of contaminant barrier 49 or optically upstream of contaminant barrier 49 (i.e. between source SO and contaminant barrier 49). The latter embodiment may be suitable for treating contaminant barrier 49 with hydrogen radicals 96; the former may be suited for treating collector mirror 50 with hydrogen radicals 96. In the latter case, outlet 106 of hydrogen containing gas source 700 may be configured to provide a gas flow 100 through contaminant barrier 49. In the embodiment schematically depicted in FIG. 3 d, cleaning arrangement 250 is configured to provide a gas flow with hydrogen radicals 96 through collector mirror 50. By adjusting the position of exhaust opening 107 of optional exhaust pump 400 and the position of outlet 106 of hydrogen containing gas source 700, the direction of hydrogen radical containing gas flow 96 can be adjusted.
  • In an embodiment, collector mirror 50 or other optical elements to be treated with hydrogen radicals (such as optional contaminant barrier 49), may (in situ) be separated from the rest of the lithographic apparatus 1. The element to be cleaned is enclosed by a circumferential hull 500, as schematically depicted in FIGS. 3 e and 3 f, here with respect to collector mirror 50. Hull 500 comprises an outlet 106 for hydrogen containing gas 100 and an exhaust opening 107, for removal of gasses by pump 400 to exhaust 550. Hence, lithographic apparatus 1 is provided, wherein EUV source SO further emits radiation having a wavelength in the UV, lithographic apparatus 1 further comprising optical filter 211 positionable between EUV source SO and the surface to be cleaned and wherein optical filter 211 is configured to diminish EUV radiation relative to UV radiation.
  • As described above, the outlet 107 and exhaust opening 107 are configured to provide a gas flow through at least part of collector mirror 50. In case other optical elements are cleaned or other types of collector mirrors 50 are to be cleaned than schematically depicted in FIGS. 3 c-3 e, hydrogen gas outlet 106 and opening 107 are configured to provide a flow over at least part of the surface to be cleaned. In case a contaminant barrier 49 is to be cleaned, hydrogen gas outlet 106 and opening 107 are configured to provide a flow through at least part of contaminant barrier 49.
  • Further, circumferential hull 500 at least comprises one filter 211, which is configured and designed to transmit at least part of the radiation 209 which induces photo dissociation of the hydrogen of source SO, such that surface 202 to be cleaned can be irradiated by source SO (source of radiation 103). Like the embodiment schematically depicted in FIG. 3 d, this embodiment comprises source SO, in combination with filter 211, as hydrogen radical source 103.
  • In this embodiment, the treatment process is separated from the rest of lithographic apparatus 1, and thus for instance contamination elsewhere in lithographic apparatus 1 by redeposition of volatile hydrides (204) may be minimized. In fact, a reactor is provided, wherein the hydrogen radical treatment is performed.
  • Optionally, circumferential hull 500 may further comprise a second filter 211 b, configured downstream of the optical element to be cleaned and configured to pass at least part of radiation 209 through the rest of the apparatus. For instance, radiation that transmits through filter 211 b may be used to irradiate optical elements 53, 54, etc.
  • Using a source SO as hydrogen radical source 103 allows the illuminated area on the optics to be treated by the radiation for dissociation of hydrogen molecules is substantially the same as that illuminated by the EUV radiation in the absence of filter 211 (and optional filter 211 b). Hence, a good irradiation may be achieved, especially for the reflective surface(s) of collector mirror 50, whereas when additional sources 103 are used, it may be more complicated to irradiate the entire surface of the reflective surfaces of collector mirror 50. When using collector mirrors with bent surfaces, such as the above described Wolter collector mirror, this may be a desired embodiment.
  • Circumferential hull 500 may be a cocoon-like hull, comprising one or two doors, at least one door configured between source SO and the optical element to be cleaned, which door may comprise filter 211. A second door may be present, which may be configured downstream of the optical element to be cleaned, which second door may optionally comprise filter 211 b.
  • Such doors are schematically indicated in FIG. 3 e and in more detail in FIG. 3 f with reference number 120 and reference number 130. Door 130 is optional. Here, source of radiation 103 (i.e. hydrogen radical source 103) is not depicted. Circumferential hull 500 may comprise hull 200 and door 120. Optionally, two doors may be present, which are in the schematic FIGS. 3 e and 3 f indicated with doors 120 and 130. Hull 200 is schematically depicted as circular hull 200, but other shapes may be used.
  • Other positions of optical filter 211 and optional filter 211 b in circumferential hull 500 than in door 120 and optional door 130 are possible. For instance, they may be present in hull 200.
  • In FIG. 3 f, hydrogen containing gas 100 enters through an opening (i.e. in the figure outlet 106) in door 120 and gas is removed by pump 400 through exhaust opening 107 via optional tube 471. The hydrogen radical source 103 irradiates hydrogen containing gas 100 within circumferential hull 500 through filter 211 (or optional filter 211) and thereby generates hydrogen radicals within circumferential hull 500, which thereby clean/treat a surface to be cleaned/treated of an optical element contained in circumferential hull 500.
  • Other positions of outlet 106 and exhaust 107 in circumferential hull 500 than in door 120 and optional door 130 are possible. For instance, they may be present in hull 200.
  • Here, cleaning arrangement 250 comprises a source 700 of a hydrogen containing gas 100, a source of radiation 103 (not depicted), circumferential hull 500 configured to enclose an optical element, circumferential hull 500 comprising at least one door 120, and optionally a second door 130, circumferential hull 500 further comprising outlet 106 configured to introduce hydrogen containing gas 100 from source 700 into the volume enclosed by circumferential hull 500 and an exhaust opening 107, configured to remove gas from circumferential hull 500, circumferential hull 500 further comprising a filter 211 and optionally a filter 211 b, filter 211 configured to transmit at least part of radiation 209 of source of radiation 103 (i.e. at least part of the wavelength range wherein photo dissociation of hydrogen molecules can be induced is at least partially transmitted through filter 211 into circumferential hull 500), wherein source of radiation 103 and filter 211 are configured to irradiate at least part of the hydrogen containing gas contained in circumferential hull 500 thereby converting at least part of the total amount of hydrogen molecules in the hydrogen containing gas 100 introduced into the volume contained by circumferential hull 500 into a hydrogen radical containing gas 96, and wherein the cleaning arrangement is configured to provide a hydrogen radical containing gas to the surface of the optical element to be cleaned which optical element is contained in circumferential hull 500 (i.e. is configured in the volume enclosed by circumferential hull 500).
  • The cleaning arrangement as schematically depicted in FIGS. 3 e and 3 f may not only be used with source SO as hydrogen radical source 103, also a separate hydrogen radical source 103 source may be used. For instance, an UV source may be placed in front of filter 211 or optional filter 211 b. More than one sources 103 may be used. Further, more than one filter may be used.
  • Assuming that cleaning arrangement 250 as schematically depicted in FIGS. 3 e and 3 f encloses collector mirror 50, an additional source of radiation 103 may be placed optically upstream of the collector mirror 50 (for instance between collector mirror 50 and optional contaminant barrier 49) to irradiate gas 100 contained by circumferential hull 500 through filter 211, and/or an additional source of radiation 103 may be placed optically downstream of collector mirror 50 to irradiate gas 100 contained by circumferential hull 500 through filter 211 b. When using two or more filters 211/211 b, at least one of the filters may be configured to transmit at least part of the radiation out of circumferential hull 500. The radiation escaping from circumferential hull 500 may be used to clean other optical elements (see also above).
  • The cleaning arrangement 250 including circumferential hull 500 may be used in situ in the lithographic apparatus 1, but may also be used ex situ. Further, such cleaning arrangement may be used to clean collector mirror 50, but also other elements such as contaminant barrier 49, other reflective or transmissive optical filters (as described above), etc. When using cleaning arrangement 250 including circumferential hull 500 for in situ cleaning, at least part of circumferential hull 500 is removable, to guarantee an substantially undisturbed beam of radiation B during lithographic processing. For instance, doors 120 and 130 may be movable, or filters 211 and 211 b may be movable.
  • In the above described embodiments, as schematically depicted in FIGS. 3 e and 3 f, source of radiation 103 is either source SO or a source of radiation additional to source SO. An additional source of radiation 103 may be configured externally from circumferential hull 500. In yet another embodiment, the additional source of radiation 103 is configured within circumferential hull 400. In this embodiment, optical filter 211 and optional optical filter 211 b are not necessary, although one or more of such filters may still be used to irradiate optical elements outside circumferential hull 500.
  • After treatment with hydrogen radicals and optionally halogens, filter 211 (either as separate filter or comprised in door 120) is removed. In case optional filter 211 b is present (either as separate filter or comprised in door 130), also filter 211 b is removed. Then, the lithographic process can be continued. Hence, filter 211 and optional filter 211 b are positionable.
  • When using the embodiments described above, for example those with reference to FIGS. 3 a and 3 b, some optical elements may also be cleaned on line, i.e. during the lithographic process.
  • As mentioned above, optical filter 211 may be placed upstream of collector mirror 50 (i.e. optically upstream of collector mirror 50), or may be placed downstream of collector mirror 50 (i.e. optically downstream of collector mirror 50). In the latter embodiment, especially optical elements in the illumination area, see FIG. 2, reference number 44 (IL), and/or optical elements in the projection area, see FIG. 2, reference PS, may be irradiated with UV radiation.
  • In yet a further embodiment, a lithographic apparatus and cleaning method is provided for cleaning a surface to be cleaned of an optical element of a lithographic apparatus wherein the lithographic apparatus comprises an optical filter for reducing UV radiation (relative to EUV radiation), for instance a transmissive optical filter for reducing UV radiation, and wherein this filter for reducing UV radiation is removed. Thereby, UV radiation can propagate “undisturbed” through the lithographic apparatus and be used in the cleaning arrangement of the invention and in the cleaning method of the invention. In an embodiment, next to the removal of the optical filter for reducing UV optical filter 211 is configured in beam B optically upstream of the optical element(s) to be cleaned. This optical filter 211 may be the optical filter 211 as described above and schematically depicted in FIGS. 3 a-3 f,for example 3 c-3 e.
  • Cleaning arrangement 250 may be configured ex situ or in situ of lithographic apparatus 1. According to yet a further embodiment of the invention, there is provided the cleaning arrangement 250 per se, comprising hydrogen containing gas source 700 and hydrogen radical source 103, wherein hydrogen radical source 103 is configured to convert at least part of the hydrogen containing gas 100 into hydrogen radical containing gas 96, wherein cleaning arrangement 250 is configured to provide hydrogen radical containing gas 96 to surface 202 of optical element 201 to be cleaned, wherein hydrogen radical source 103 comprises a source of radiation 103 which induces photo dissociation of the hydrogen.
  • According to an embodiment of the invention, cleaning arrangement 250 is provided, further comprising circumferential hull 500 configured to enclose optical element 201, circumferential hull 500 comprising at least one door 120, circumferential hull 500 further comprising an outlet 106 configured to introduce hydrogen containing gas 100 from hydrogen containing gas source 700 into the volume enclosed by circumferential hull 500 and exhaust opening 107 configured to allow removal from gas from circumferential hull 500, circumferential hull 500 further comprising optical filter 211 configured to transmit at least part of radiation 209 of source of radiation 103, wherein source of radiation 103 and optical filter 211 are configured to irradiate at least part of hydrogen containing gas 100 contained in circumferential hull 500 thereby converting at least part of hydrogen containing gas 100 contained by circumferential hull 500 into hydrogen radical containing gas 96, wherein cleaning arrangement 250 is configured to provide hydrogen radical containing gas 96 to surface 202 of optical element 201 to be cleaned contained in circumferential hull 500.
  • According to yet a further embodiment of the invention there is provided a method for cleaning surface 202 to be cleaned of optical element 201 of lithographic apparatus 1. This may be a method in situ, i.e. a method for cleaning surface 202 to be cleaned of optical element 201 in lithographic apparatus 1. The method comprises leading hydrogen containing gas 100 to surface 202 to be cleaned and irradiating the gas 100 or surface 202 to be cleaned or both with radiation 209 which induces photo dissociation of the hydrogen. By irradiating the gas, hydrogen radicals will be generated, thereby providing hydrogen radical containing gas 96. By irradiating the surface 202 to be cleaned, hydrogen close to the surface 202 to be cleaned will dissociate into hydrogen radicals 96. In general, the surface 202 to be cleaned will be irradiated, although for the generation of hydrogen radicals it may also suffice to irradiate gas 100 only. For instance, source of radiation 103 might also be present in tube 104.
  • Tubes herein refer to gas tube or gas pipes, i.e. tubes for transporting gasses, such as hydrogen.
  • Gas flows may be in other directions than depicted.
  • The invention is not limited to EUV radiation alone, but may also be used for lithographic apparatus that use other radiation, as described above. Other contaminants as a result of other sources than Sn may also be removed by cleaning arrangement 250 and cleaning method of the invention.
  • Although specific reference may be made in this text to the use of lithographic apparatus in the manufacture of ICs, it should be understood that the lithographic apparatus described herein may have other applications, such as the manufacture of integrated optical systems, guidance and detection patterns for magnetic domain memories, flat-panel displays, liquid-crystal displays (LCDs), thin-film magnetic heads, etc. It should be appreciated hat, in the context of such alternative applications, any use of the terms “wafer” or “die” herein may be considered as synonymous with the more general terms “substrate” or “target portion” respectively. The substrate referred to herein may be processed, before or after exposure, in for example a track (a tool that typically applies a layer of resist to a substrate and develops the exposed resist), a metrology tool and/or an inspection tool. Where applicable, the disclosure herein may be applied to such and other substrate processing tools. Further, the substrate may be processed more than once, for example in order to create a multi-layer IC, so that the term substrate used herein may also refer to a substrate that already contains multiple processed layers.
  • Although specific reference may have been made above to the use of embodiments of the invention in the context of optical lithography, it will be appreciated that the invention may be used in other applications, for example imprint lithography, and where the context allows, is not limited to optical lithography. In imprint lithography a topography in a patterning device defines the pattern created on a substrate. The topography of the patterning device may be pressed into a layer of resist supplied to the substrate whereupon the resist is cured by applying electromagnetic radiation, heat, pressure or a combination thereof. The patterning device is moved out of the resist leaving a pattern in it after the resist is cured.
  • While embodiments of the invention have been described above, it will be appreciated that the invention may be practiced otherwise than as described. For example, the invention may take the form of a computer program containing one or more sequences of machine-readable instructions describing a method as disclosed above, or a data storage medium (e.g. semiconductor memory, magnetic or optical disk) having such a computer program stored therein.
  • The descriptions above are intended to be illustrative, not limiting. Thus, it will be apparent to one skilled in the art that modifications may be made to the invention as described without departing from the scope of the claims set out below.

Claims (20)

1. A lithographic apparatus, comprising;
an EUV source; and
a cleaning arrangement, the cleaning arrangement comprising
a hydrogen containing gas source; and
a hydrogen radical source, wherein the hydrogen radical source is configured to convert at least part of the hydrogen containing gas into a hydrogen radical containing gas, the cleaning arrangement is configured to provide the hydrogen radical containing gas to a surface of an optical element to be cleaned, and the hydrogen radical source comprises a source of radiation which induces photo dissociation of the hydrogen.
2. The lithographic apparatus according to claim 1, wherein the hydrogen containing gas comprises a gas selected from the group of a H2, D2, T2, HD, HT and DT containing gas.
3. The lithographic apparatus according to claim 1, wherein the source of radiation which induces photo dissociation of the hydrogen comprises an UV source.
4. The lithographic apparatus according to claim 1, wherein source of radiation which induces photo dissociation of the hydrogen comprises a high power UV source.
5. The lithographic apparatus according to claim 4, wherein the source of radiation which induces photo dissociation of the hydrogen comprises a high pressure mercury lamp.
6. The lithographic apparatus according to claim 1, wherein the EUV source is configured to emit radiation having a wavelength in the UV, the lithographic apparatus further comprising an optical filter positionable between the EUV source and the surface to be cleaned, the optical filter being configured to diminish EUV radiation relative to UV radiation.
7. The lithographic apparatus according to claim 6, wherein the optical filter is upstream of a collector mirror.
8. The lithographic apparatus according to claim 6, wherein the optical filter is downstream of a collector mirror.
9. The lithographic apparatus according to claim 1, wherein the surface to be cleaned is the surface of an optical element selected from the group of optical elements contained in a radiation system, optical elements contained in an illumination system and optical elements contained in a projection system.
10. The lithographic apparatus according to claim 1, wherein the optical element is selected from the group of reflective optical elements, transmissive optical elements, contaminant barriers and sensors.
11. The lithographic apparatus according to claim 1, wherein the optical element is selected from the group of normal incidence collector mirrors and grazing incidence collector mirrors.
12. The lithographic apparatus according to claim 1, wherein the cleaning arrangement further comprises a circumferential hull configured to enclose the optical element, the circumferential hull comprising
a door;
an outlet configured to introduce the hydrogen containing gas from the hydrogen containing gas source into a volume enclosed by the circumferential hull;
an exhaust opening configured to allow removal of gas from the circumferential hull; and
an optical filter configured to transmit at least part of the radiation of the source of radiation, wherein the source of radiation and the optical filter are configured to irradiate at least part of the hydrogen containing gas contained in the circumferential hull thereby converting at least part of the hydrogen containing gas contained by the circumferential hull into the hydrogen radical containing gas, wherein the cleaning arrangement is configured to provide the hydrogen radical containing gas to the surface of the optical element to be cleaned contained in the circumferential hull.
13. The lithographic apparatus according to claim 12, wherein the source of radiation comprises the EUV source.
14. The lithographic apparatus according to claim 12, wherein the source of radiation comprises a source of radiation different from the EUV source.
15. A lithographic apparatus, comprising:
an EUV source;
an illumination system configured to condition a radiation beam of the EUV source;
a support configured to support a patterning device, the patterning device being configured to impart the radiation beam with a pattern in its cross-section to form a patterned radiation beam;
a substrate table configured to hold a substrate;
a projection system configured to project the patterned radiation beam onto a target portion of the substrate; and
a cleaning arrangement, the cleaning arrangement comprising
a hydrogen containing gas source; and
a hydrogen radical source, wherein the hydrogen radical source is configured to convert at least part of the hydrogen containing gas into a hydrogen radical containing gas, the cleaning arrangement is configured to provide the hydrogen radical containing gas to a surface of an optical element to be cleaned, and the hydrogen radical source comprises a source of radiation which induces photo dissociation of the hydrogen.
16. A cleaning arrangement, comprising
a hydrogen containing gas source; and
a hydrogen radical source, wherein the hydrogen radical source is configured to convert at least part of the hydrogen containing gas into a hydrogen radical containing gas, the cleaning arrangement is configured to provide a hydrogen radical containing gas to a surface of an optical element to be cleaned, and the hydrogen radical source comprises a source of radiation which induces photo dissociation of the hydrogen.
17. The cleaning arrangement according to claim 16, comprising a circumferential hull configured to enclose an optical element, the circumferential hull comprising
a door;
an outlet configured to introduce the hydrogen containing gas from the hydrogen containing gas source into the volume enclosed by the circumferential hull;
an exhaust opening configured to allow removal of gas from the circumferential hull; and
an optical filter configured to transmit at least part of the radiation of the source of radiation, wherein the source of radiation and the optical filter are configured to irradiate at least part of the hydrogen containing gas contained in the circumferential hull thereby converting at least part of the hydrogen containing gas contained by the circumferential hull into the hydrogen radical containing gas, and the cleaning arrangement is configured to provide the hydrogen radical containing gas to a surface of the optical element to be cleaned contained in the circumferential hull.
18. The cleaning arrangement according to claim 17, wherein the source of radiation is arranged externally from the circumferential hull.
19. A method for cleaning a surface of an optical element of a lithographic apparatus comprising;
leading a hydrogen containing gas to the surface; and
irradiating the gas and/or the surface with radiation which induces photo dissociation of the hydrogen.
20. The method according to claim 19, wherein the surface is the surface of an optical element selected from the group of an optical element contained in a radiation system, an optical element contained in an illumination system and an optical element contained in a projection system.
US11/478,303 2006-06-30 2006-06-30 Lithographic apparatus comprising a cleaning arrangement, cleaning arrangement and method for cleaning a surface to be cleaned Expired - Fee Related US7518128B2 (en)

Priority Applications (5)

Application Number Priority Date Filing Date Title
US11/478,303 US7518128B2 (en) 2006-06-30 2006-06-30 Lithographic apparatus comprising a cleaning arrangement, cleaning arrangement and method for cleaning a surface to be cleaned
TW096122591A TWI374341B (en) 2006-06-30 2007-06-22 Lithographic apparatus comprising a cleaning system, cleaning system and method for cleaning a surface to be cleaned
KR1020087032014A KR101043733B1 (en) 2006-06-30 2007-06-27 Arrangement for cleaning a surface in a lithographic apparatus
PCT/NL2007/050308 WO2008002134A2 (en) 2006-06-30 2007-06-27 Arrangement for cleaning a surface in a lithographic apparatus
JP2009518016A JP5063691B2 (en) 2006-06-30 2007-06-27 Lithographic apparatus including cleaning arrangement and cleaning arrangement

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/478,303 US7518128B2 (en) 2006-06-30 2006-06-30 Lithographic apparatus comprising a cleaning arrangement, cleaning arrangement and method for cleaning a surface to be cleaned

Publications (2)

Publication Number Publication Date
US20080001101A1 true US20080001101A1 (en) 2008-01-03
US7518128B2 US7518128B2 (en) 2009-04-14

Family

ID=38477248

Family Applications (1)

Application Number Title Priority Date Filing Date
US11/478,303 Expired - Fee Related US7518128B2 (en) 2006-06-30 2006-06-30 Lithographic apparatus comprising a cleaning arrangement, cleaning arrangement and method for cleaning a surface to be cleaned

Country Status (5)

Country Link
US (1) US7518128B2 (en)
JP (1) JP5063691B2 (en)
KR (1) KR101043733B1 (en)
TW (1) TWI374341B (en)
WO (1) WO2008002134A2 (en)

Cited By (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070145296A1 (en) * 2005-12-22 2007-06-28 Asml Netherlands B.V. Method for cleaning a lithographic apparatus module, cleaning arrangement for a lithographic apparatus module and lithographic apparatus comprising the cleaning arrangement
US20070145297A1 (en) * 2005-12-22 2007-06-28 Asml Netherlands B.V. Method for cleaning a lithographic apparatus module, cleaning arrangement for a lithographic apparatus module and lithographic apparatus comprising the cleaning arrangement
US20080099699A1 (en) * 2006-10-26 2008-05-01 Ushio Denki Kabushiki Kaisha Extreme ultraviolet radiation source device
US20080267815A1 (en) * 2007-04-27 2008-10-30 Canon Kabushiki Kaisha Cleaning apparatus for exposure apparatus and exposure apparatus
US20100243922A1 (en) * 2009-02-12 2010-09-30 Takeshi Asayama Extreme ultraviolet light source apparatus
US20100288937A1 (en) * 2009-04-23 2010-11-18 Yukio Watanabe Extreme ultraviolet light source apparatus
DE102009029282A1 (en) * 2009-09-08 2011-03-24 Carl Zeiss Smt Gmbh Optical arrangement, in particular in a projection exposure apparatus for EUV lithography
CN102077142A (en) * 2008-06-30 2011-05-25 Asml荷兰有限公司 Method for removing a deposition on an uncapped multilayer mirror of a lithographic apparatus, lithographic apparatus and device manufacturing method
US20110143288A1 (en) * 2008-08-14 2011-06-16 Asml Netherlands B.V. Radiation source, lithographic apparatus and device manufacturing method
US20140261568A1 (en) * 2013-03-15 2014-09-18 Kla-Tencor Corporation System and Method for Cleaning Optical Surfaces of an Extreme Ultraviolet Optical System
US20140375974A1 (en) * 2012-01-18 2014-12-25 Asml Netherlands B.V. Source-collector device, lithographic apparatus, and device manufacturing method
WO2017040722A1 (en) * 2015-09-01 2017-03-09 Applied Materials, Inc. Methods and apparatus for in-situ cleaning of copper surfaces and deposition and removal of self-assembled monolayers
CN112387636A (en) * 2020-10-28 2021-02-23 湖北航天技术研究院总体设计所 Automatic cleaning equipment and system for optical element
CN113039868A (en) * 2018-10-22 2021-06-25 Asml荷兰有限公司 Apparatus and method for reducing contamination from source material in an EUV light source
EP4312078A1 (en) * 2022-07-29 2024-01-31 ASML Netherlands B.V. Contamination determination

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
NL1032674C2 (en) * 2006-10-13 2008-04-15 Stichting Fund Ond Material Radiation source for electromagnetic radiation with a wavelength in the extreme ultraviolet (XUV) wavelength range.
NL1036543A1 (en) 2008-02-20 2009-08-24 Asml Netherlands Bv Lithographic apparatus including a magnet, method for the protection of a magnet in a lithographic apparatus and device manufacturing method.
JP5534647B2 (en) * 2008-02-28 2014-07-02 ギガフォトン株式会社 Extreme ultraviolet light source device
NL1036832A1 (en) * 2008-04-15 2009-10-19 Asml Netherlands Bv Lithographic apparatus including an internal sensor and a mini-reactor, and method for treating a sensing surface or an internal sensor or a lithographic apparatus.
JP2010093245A (en) * 2008-10-07 2010-04-22 Nikon Corp Exposure apparatus, maintenance method, exposure method, and device manufacturing method
US9335279B2 (en) * 2011-04-26 2016-05-10 Kla-Tencor Corporation Pre and post cleaning of mask, wafer, optical surfaces for prevention of contamination prior to and after inspection
US9810991B2 (en) 2013-12-23 2017-11-07 Kla-Tencor Corporation System and method for cleaning EUV optical elements
KR102346227B1 (en) 2014-11-19 2021-12-31 삼성전자주식회사 Apparatus and system for generating extreme ultraviolet light and using method for use of the same
US20200008290A1 (en) * 2018-06-29 2020-01-02 Taiwan Semiconductor Manufacturing Co., Ltd. Euv light source and apparatus for lithography
TWI785447B (en) * 2020-12-29 2022-12-01 台灣積體電路製造股份有限公司 Extreme ultraviolet light apparatus and operation method thereof
KR20220132302A (en) 2021-03-23 2022-09-30 삼성전자주식회사 EUV collector inspection apparatus and inspection method

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5068040A (en) * 1989-04-03 1991-11-26 Hughes Aircraft Company Dense phase gas photochemical process for substrate treatment
US20040165160A1 (en) * 2002-12-13 2004-08-26 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US20050128452A1 (en) * 2003-12-05 2005-06-16 Canon Kabushiki Kaisha Wavelength selecting method, position detecting method and apparatus, exposure method and apparatus, and device manufacturing method
US20060072084A1 (en) * 2004-10-04 2006-04-06 Asml Netherlands B.V. Method for the removal of deposition on an optical element, method for the protection of an optical element, device manufacturing method, apparatus including an optical element, and lithographic apparatus
US20060163500A1 (en) * 2005-01-24 2006-07-27 Ushiodenki Kabushiki Kaisha Extreme UV radiation source device and method for eliminating debris which forms within the device
US20070040999A1 (en) * 2005-08-22 2007-02-22 Asml Netherlands B.V. Method for the removal of deposition on an optical element, method for the protection of an optical element, device manufacturing method, apparatus including an optical element, and lithographic apparatus
US20070145295A1 (en) * 2005-12-08 2007-06-28 Asml Netherlands B.V. Radical cleaning arrangement for a lithographic apparatus

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6724460B2 (en) * 2001-11-19 2004-04-20 Asml Netherlands B.V. Lithographic projection apparatus, device manufacturing method, device manufactured thereby, cleaning unit and method of cleaning contaminated objects
EP1403715A3 (en) * 2002-09-30 2006-01-18 ASML Netherlands B.V. Lithographic apparatus and device manufacturing method
CN100437355C (en) * 2002-09-30 2008-11-26 Asml荷兰有限公司 Photoetching projector and device manufacturing method
SG135934A1 (en) * 2002-12-20 2007-10-29 Asml Netherlands Bv Lithographic apparatus, device manufacturing method, and device manufactured thereby
GB0408543D0 (en) * 2004-04-16 2004-05-19 Boc Group Plc Cleaning of multi-layer mirrors

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5068040A (en) * 1989-04-03 1991-11-26 Hughes Aircraft Company Dense phase gas photochemical process for substrate treatment
US20040165160A1 (en) * 2002-12-13 2004-08-26 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US20050128452A1 (en) * 2003-12-05 2005-06-16 Canon Kabushiki Kaisha Wavelength selecting method, position detecting method and apparatus, exposure method and apparatus, and device manufacturing method
US20060072084A1 (en) * 2004-10-04 2006-04-06 Asml Netherlands B.V. Method for the removal of deposition on an optical element, method for the protection of an optical element, device manufacturing method, apparatus including an optical element, and lithographic apparatus
US20060115771A1 (en) * 2004-10-04 2006-06-01 Asml Netherlands B.V. Method for the removal of deposition on an optical element, method for the protection of an optical element, device manufacturing method, apparatus including an optical element, and lithographic apparatus
US20060163500A1 (en) * 2005-01-24 2006-07-27 Ushiodenki Kabushiki Kaisha Extreme UV radiation source device and method for eliminating debris which forms within the device
US20070040999A1 (en) * 2005-08-22 2007-02-22 Asml Netherlands B.V. Method for the removal of deposition on an optical element, method for the protection of an optical element, device manufacturing method, apparatus including an optical element, and lithographic apparatus
US20070145295A1 (en) * 2005-12-08 2007-06-28 Asml Netherlands B.V. Radical cleaning arrangement for a lithographic apparatus

Cited By (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070145297A1 (en) * 2005-12-22 2007-06-28 Asml Netherlands B.V. Method for cleaning a lithographic apparatus module, cleaning arrangement for a lithographic apparatus module and lithographic apparatus comprising the cleaning arrangement
US20070145296A1 (en) * 2005-12-22 2007-06-28 Asml Netherlands B.V. Method for cleaning a lithographic apparatus module, cleaning arrangement for a lithographic apparatus module and lithographic apparatus comprising the cleaning arrangement
US7495239B2 (en) 2005-12-22 2009-02-24 Asml Netherlands B.V. Method for cleaning a lithographic apparatus module, a cleaning arrangement and a lithographic apparatus comprising the cleaning arrangement
US7504643B2 (en) * 2005-12-22 2009-03-17 Asml Netherlands B.V. Method for cleaning a lithographic apparatus module, a cleaning arrangement and a lithographic apparatus comprising the cleaning arrangement
US7705334B2 (en) * 2006-10-26 2010-04-27 Ushio Denki Kabushiki Kaisha Extreme ultraviolet radiation source device
US20080099699A1 (en) * 2006-10-26 2008-05-01 Ushio Denki Kabushiki Kaisha Extreme ultraviolet radiation source device
US20080267815A1 (en) * 2007-04-27 2008-10-30 Canon Kabushiki Kaisha Cleaning apparatus for exposure apparatus and exposure apparatus
US8149378B2 (en) * 2007-04-27 2012-04-03 Canon Kabushiki Kaisha Cleaning apparatus for exposure apparatus and exposure apparatus
CN102077142A (en) * 2008-06-30 2011-05-25 Asml荷兰有限公司 Method for removing a deposition on an uncapped multilayer mirror of a lithographic apparatus, lithographic apparatus and device manufacturing method
US8685632B2 (en) 2008-08-14 2014-04-01 Asml Netherlands B.V. Radiation source, lithographic apparatus and device manufacturing method
US20110143288A1 (en) * 2008-08-14 2011-06-16 Asml Netherlands B.V. Radiation source, lithographic apparatus and device manufacturing method
US20100243922A1 (en) * 2009-02-12 2010-09-30 Takeshi Asayama Extreme ultraviolet light source apparatus
US8901524B2 (en) 2009-02-12 2014-12-02 Gigaphoton Inc. Extreme ultraviolet light source apparatus
US8158959B2 (en) 2009-02-12 2012-04-17 Gigaphoton Inc. Extreme ultraviolet light source apparatus
US8586954B2 (en) 2009-02-12 2013-11-19 Gigaphoton Inc. Extreme ultraviolet light source apparatus
US20100288937A1 (en) * 2009-04-23 2010-11-18 Yukio Watanabe Extreme ultraviolet light source apparatus
US20140131587A1 (en) * 2009-04-23 2014-05-15 Gigaphoton Inc. Extreme ultraviolet light source apparatus
US8669542B2 (en) * 2009-04-23 2014-03-11 Gigaphoton Inc. Extreme ultraviolet light source apparatus
US9179534B2 (en) * 2009-04-23 2015-11-03 Gigaphoton Inc. Extreme ultraviolet light source apparatus
US9041905B2 (en) 2009-09-08 2015-05-26 Carl Zeiss Smt Gmbh Optical arrangement, in particular in a projection exposure apparatus for EUV lithography
DE102009029282A1 (en) * 2009-09-08 2011-03-24 Carl Zeiss Smt Gmbh Optical arrangement, in particular in a projection exposure apparatus for EUV lithography
US9411238B2 (en) * 2012-01-18 2016-08-09 Asml Netherlands B.V. Source-collector device, lithographic apparatus, and device manufacturing method
US20140375974A1 (en) * 2012-01-18 2014-12-25 Asml Netherlands B.V. Source-collector device, lithographic apparatus, and device manufacturing method
WO2014152067A1 (en) * 2013-03-15 2014-09-25 Kla-Tencor Corporation System and method for cleaning optical surfaces of an extreme ultraviolet optical system
US20140261568A1 (en) * 2013-03-15 2014-09-18 Kla-Tencor Corporation System and Method for Cleaning Optical Surfaces of an Extreme Ultraviolet Optical System
US10953441B2 (en) 2013-03-15 2021-03-23 Kla Corporation System and method for cleaning optical surfaces of an extreme ultraviolet optical system
WO2017040722A1 (en) * 2015-09-01 2017-03-09 Applied Materials, Inc. Methods and apparatus for in-situ cleaning of copper surfaces and deposition and removal of self-assembled monolayers
US9673042B2 (en) 2015-09-01 2017-06-06 Applied Materials, Inc. Methods and apparatus for in-situ cleaning of copper surfaces and deposition and removal of self-assembled monolayers
CN113039868A (en) * 2018-10-22 2021-06-25 Asml荷兰有限公司 Apparatus and method for reducing contamination from source material in an EUV light source
CN112387636A (en) * 2020-10-28 2021-02-23 湖北航天技术研究院总体设计所 Automatic cleaning equipment and system for optical element
EP4312078A1 (en) * 2022-07-29 2024-01-31 ASML Netherlands B.V. Contamination determination
WO2024022773A1 (en) * 2022-07-29 2024-02-01 Asml Netherlands B.V. Contamination determination

Also Published As

Publication number Publication date
WO2008002134A2 (en) 2008-01-03
TW200807184A (en) 2008-02-01
JP2009543332A (en) 2009-12-03
KR20090026170A (en) 2009-03-11
US7518128B2 (en) 2009-04-14
KR101043733B1 (en) 2011-06-22
TWI374341B (en) 2012-10-11
WO2008002134A3 (en) 2008-03-13
JP5063691B2 (en) 2012-10-31

Similar Documents

Publication Publication Date Title
US7518128B2 (en) Lithographic apparatus comprising a cleaning arrangement, cleaning arrangement and method for cleaning a surface to be cleaned
US7504643B2 (en) Method for cleaning a lithographic apparatus module, a cleaning arrangement and a lithographic apparatus comprising the cleaning arrangement
US7473908B2 (en) Getter and cleaning arrangement for a lithographic apparatus and method for cleaning a surface
US7372049B2 (en) Lithographic apparatus including a cleaning device and method for cleaning an optical element
US7495239B2 (en) Method for cleaning a lithographic apparatus module, a cleaning arrangement and a lithographic apparatus comprising the cleaning arrangement
US7767989B2 (en) Ex-situ removal of deposition on an optical element
JP4690277B2 (en) Method for cleaning elements of a lithographic apparatus
US7332731B2 (en) Radiation system and lithographic apparatus
US8928855B2 (en) Lithographic apparatus comprising an internal sensor and a mini-reactor, and method for treating a sensing surface of the internal sensor
US8446560B2 (en) Lithographic apparatus comprising a magnet, method for the protection of a magnet in a lithographic apparatus and device manufacturing method
JP4814922B2 (en) Method for protecting optical element of lithographic apparatus, lithographic apparatus, and device manufacturing method
KR100903177B1 (en) Lithographic apparatus comprising an electrical discharge generator and method for cleaning an element of a lithographic apparatus

Legal Events

Date Code Title Description
AS Assignment

Owner name: ASML NETHERLANDS B.V., NETHERLANDS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:VAN HERPEN, MAARTEN MARINUS JOHANNES WILHELMUS;KLUNDER, DERK JAN WILFRED;REEL/FRAME:018339/0891;SIGNING DATES FROM 20060731 TO 20060814

Owner name: ASML NETHERLANDS B.V., NETHERLANDS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:VAN HERPEN, MAARTEN MARINUS JOHANNES WILHELMUS;KLUNDER, DERK JAN WILFRED;SIGNING DATES FROM 20060731 TO 20060814;REEL/FRAME:018339/0891

FEPP Fee payment procedure

Free format text: PAYOR NUMBER ASSIGNED (ORIGINAL EVENT CODE: ASPN); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

FPAY Fee payment

Year of fee payment: 4

REMI Maintenance fee reminder mailed
LAPS Lapse for failure to pay maintenance fees
STCH Information on status: patent discontinuation

Free format text: PATENT EXPIRED DUE TO NONPAYMENT OF MAINTENANCE FEES UNDER 37 CFR 1.362

FP Lapsed due to failure to pay maintenance fee

Effective date: 20170414