US20070190791A1 - Removing a low-k dielectric layer from a wafer by chemical mechanical polishing - Google Patents

Removing a low-k dielectric layer from a wafer by chemical mechanical polishing Download PDF

Info

Publication number
US20070190791A1
US20070190791A1 US11/737,706 US73770607A US2007190791A1 US 20070190791 A1 US20070190791 A1 US 20070190791A1 US 73770607 A US73770607 A US 73770607A US 2007190791 A1 US2007190791 A1 US 2007190791A1
Authority
US
United States
Prior art keywords
wafer
low
dielectric layer
polishing
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/737,706
Inventor
Hong Wang
Krishna Vepa
Paul Miller
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to US11/737,706 priority Critical patent/US20070190791A1/en
Publication of US20070190791A1 publication Critical patent/US20070190791A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02079Cleaning for reclaiming
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/911Differential oxidation and etching
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/942Masking
    • Y10S438/948Radiation resist
    • Y10S438/951Lift-off

Definitions

  • Embodiments of the present invention relate to a method of removing a low-k dielectric layer from a wafer to refresh the wafer.
  • a test wafer is often used to determine processing uniformity.
  • a substrate is placed in a process chamber and suitable processing conditions are maintained in the chamber. Processing of the substrate can involve, for example, energizing a process gas to etch the substrate or deposit material on the substrate.
  • the substrates are typically processed in a series of processing steps to form a final substrate product, which may be an integrated circuit having metal interconnect metal layers with dielectric material in between.
  • a test wafer can be processed in the chamber in place of the production substrate.
  • the test wafer can be processed to determine processing results such as the deposited film thickness uniformity and particle counts, and the process parameters can be modified according to the test results to provide improved processing performance. For example, for a deposition process, the test wafer may be processed to determine a thickness uniformity, composition and wafer stress of a deposited film.
  • test wafers are used to evaluate processing of a low-k dielectric material on a substrate.
  • Low-k dielectric materials have a dielectric constant “k” that is lower than conventional dielectric materials, such as silicon oxide, and may typically have a ‘k’ value of less than about 3.
  • Examples of low-k dielectric materials can comprise compositions of silicon, oxygen and carbon, and even hydrogen, such as for example, the “Black DiamondTM” dielectric material, and such materials may be formed by a chemical vapor deposition method.
  • Low-k dielectric layers can reduce an RC delay time in an integrated circuit, allowing corresponding increases in metal interconnect density. Accordingly, the formation of low-k dielectric layers having properties that meet the processing specification is important for the fabrication of high-density circuits, especially for circuits having feature sizes of less than about 100 nm.
  • the wafers used to evaluate low-k dielectric layer deposition processes can be refreshed, by removing the low-k layer and refurbishing the test wafer for subsequent process evaluations.
  • Refreshing and reclamation of test wafers is desirable to cut down on the cost of providing fresh test wafer materials.
  • Refreshing may also be a suitable method of reusing production wafers with low-k dielectric layers that were poorly processed.
  • a wafer having a low-k dielectric layer is reclaimed by mechanically grinding the low-k dielectric material off the wafer, for example with a grinding wheel.
  • a chemical solution is used to remove the material.
  • low-k dielectric materials are difficult to remove chemically, as the combination of organic and inorganic elements renders the material less reactive with many chemical compositions, and many chemical compositions can convert the low-k dielectric material into a gummy residue on the wafer.
  • conventional means such as grinding can excessively scratch and otherwise damage the wafer surface. Surface damage can undesirably affect the deposition of a low-k dielectric layer on the surface, and alter the deposition testing results.
  • conventionally reclaimed wafers are often only suitable as mechanical-grade testing wafers, for example, for mechanical robot testing to evaluate wafer positioning, but may not be suitable as test-grade wafers for evaluating substrate processes.
  • the conventional refreshing methods may also erode away an excessive amount of the wafer during the low-k removal process. This excessive erosion can limit the number of times the wafer can be reclaimed for re-use, before disposal of the wafer becomes necessary. Accordingly, conventional refreshing techniques do not always provide satisfactory removal of low-k dielectric materials to allow re-use of the wafers.
  • a low-k dielectric layer which has a k value of less than about 3 and comprises silicon, oxygen and carbon, is removed from a wafer.
  • the wafer is chemical mechanical polished by rotating the surface of the wafer against a polishing pad having a hardness of at least about 40 JIS A, while applying a polishing slurry between the wafer and the polishing pad.
  • FIG. 1A is a partial sectional side view of an embodiment of a wafer having a low-k dielectric material thereon;
  • FIG. 1B is a partial sectional side view of the wafer of FIG. 1A after a cleaning process to remove the low-k dielectric material;
  • FIG. 2A is a partial top view of an embodiment of a fine grinding apparatus
  • FIG. 2B is a partial sectional side view of the fine grinding apparatus of FIG. 2A ;
  • FIG. 3 is a partial sectional side view of an embodiment of a polishing pad and wafer
  • FIG. 4 is a partial sectional side view of an embodiment of a wafer having a low-k dielectric layer over a removable layer
  • FIG. 5 is a partial sectional side view of an embodiment of a process chamber.
  • a cleaning process can be performed to remove a low-k dielectric layer 22 from a wafer 104 , for example to allow the wafer 104 to be refreshed for re-use as a test wafer, or to allow re-processing of the wafer.
  • the low-k dielectric layer 22 comprises a low-k dielectric material having a composition of carbon, silicon and oxygen, such as carbon-doped silicon oxide, and may even comprise an organosilicate glass (OSG).
  • the low-k dielectric layer 22 may comprise a dielectric constant ‘k’ of less than about 3, such as from about 2.5 to about 2.8, and even less than about 2.4.
  • the low-k dielectric layer 22 may be formed by a deposition process such as a chemical vapor deposition process or a spin-on process.
  • low-k dielectric materials examples include “BLACK DIAMONDTM” and “SILKTM” materials.
  • the low-k dielectric layer 22 may be formed on an underlying surface 26 of a wafer base 24 , which may be, for example, a silicon wafer base, and may even substantially entirely cover the underlying surface 26 of the wafer base 24 , as shown in FIG. 1 a.
  • the low-k dielectric layer 22 may comprise a substantially planar film (as shown) or may alternatively comprise a layer 22 having a plurality of etched features therein.
  • the low-k dielectric layer 22 may also be formed over one or more side surfaces 28 of the wafer base 24 (not shown.)
  • a cleaning process is performed to remove the low-k dielectric layer 22 from the wafer 104 , and may provide a surface 33 of the wafer that is substantially absent low-k dielectric residue.
  • the cleaning process desirably removes the low-k dielectric layer 22 substantially without excessively eroding or otherwise damaging the underlying wafer 104 , to allow the wafer to be re-used for subsequent testing and/or processing.
  • a method of cleaning the wafer 104 to remove the low-k dielectric layer 22 comprises exposing a surface 30 of the dielectric layer 22 to an oxygen-containing gas. Exposing the surface 30 to an oxygen-containing gas can oxidize the materials at the surface 30 , such as Si—C and other chemical species.
  • the low-k dielectric layer 22 may also comprise pores that allow the oxygen-containing to penetrate the layer and oxidize interior regions of the layer 22 , for example, the layer 22 may have a porosity of from about 3% to about 30% by volume, and may comprise nano-sized pores.
  • Oxidation of the surface 30 of the layer 22 can induce cracking and flaking of the layer 22 from the surface 30 , thus removing portions of the low-k dielectric layer 22 form the wafer 104 .
  • a suitable oxygen-containing gas can comprise at least one of oxygen gas, ozone and water, and in one version may desirably be composed of oxygen gas (O 2 ).
  • the surface 30 of the dielectric layer 22 is exposed to oxygen-containing gas while heating the wafer 104 to a temperature that is sufficiently high to oxidize the surface 30 of the layer 22 .
  • a suitable temperature may be a temperature of at least about 700° C., such as from about 700° C. to about 1200° C.
  • the wafer 104 may be placed in a temperature controlled oven (not shown) having an oxygen-containing atmosphere with a high oxygen content, such as at least about 50% by volume oxygen. The oven may even be continuously purged with an oxygen-containing gas to maintain the high oxygen content.
  • a suitable flow of an oxygen-containing gas into the oven, such as O 2 may be for example from about 4000 sccm to about 10,000 sccm.
  • the surface 30 of the dielectric layer 22 is exposed to an energized gas comprising energized oxygen-containing species to oxidize the surface 30 .
  • Oxygen-containing gases such as O 2 can be excited in a plasma to high energy singlet oxygen atoms that have high oxidation capability, and can also penetrate pores in the low-k dielectric layer 22 to oxidize the material.
  • the oxygen containing gas can be energized by applying one or more of RF or microwave energy to the gas.
  • the wafer 104 may be placed in a process chamber (not shown), such as a barrel plasma etcher having at least one of electrodes, and inductor antenna, or microwave applicator that energize a gas to oxidize the surface 30 .
  • a suitable power level to energize the gas may be a power level of a least about 800 Watts, such as from about 800 Watts to about 3500 Watts, and even about 2800 Watts, for a gas pressure of from about 3 Torr to about 7 Torr.
  • a suitable flow rate of the oxygen-containing gas in the chamber may be at least about 250 sccm, such as from about 250 sccm to about 500 sccm.
  • the temperature of the wafer 104 may also be increased to improve the oxidation rate and extent.
  • the wafer 104 may be heated to a temperature of at least about 350° C., such as from about 350° C. to about 500° C., during exposure to the energized gas.
  • a chemical cleaning step can be performed to remove oxidized as well as unoxidized portions of the layer 22 .
  • the chemical cleaning step comprises immersing a surface 33 of the wafer 104 , such as the surface of the low-k dielectric layer 22 , in a chemical solution having a composition that is selected to etch and remove the layer 22 , substantially without damaging or eroding underlying portions of the wafer 104 .
  • the chemical solution comprises silicon-removal component capable of acting on silicon-containing species in the dielectric layer 22 , and a carbon-removal component that is capable of acting on carbon-containing species.
  • a suitable silicon-removal component comprises HF
  • a suitable carbon-removal component comprises H 2 SO 4
  • the solution can comprise a mixture of from about 1% to about 10% by weight of HF, and from about 30% to about 40% by weight of H 2 SO 4 .
  • a desired molar ratio of HF to H 2 SO 4 in the solution may be from about 1:10 to about 1:20.
  • the chemical solution can consist essentially of HF and H 2 SO 4
  • the chemical solution can comprise other components, such as for example at least one of HNO 3 , H 2 O 2 and NH 4 F.
  • a suitable immersion time may be from about 10 minutes to about 60 minutes.
  • the chemical solution can remove a bulk portion of the dielectric layer 22 , including any remaining oxidized as well as unoxidized portions of the layer 22 , and comprises a composition that does not excessively erode the underlying wafer 104 .
  • a subsequent cleaning step can be performed to etch and remove low-k dielectric residues remaining on the wafer 104 , to provide a substantially clean wafer top surface 33 , as shown for example in FIG. 1 b.
  • the cleaning step comprises removing organic residues that remain on the wafer 104 from the dielectric layer 22 , and which may not have been completely removed by the previous immersion in the chemical solution.
  • An example of a cleaning step to remove remaining low-k dielectric layer residues comprises immersing a surface 33 of the wafer 104 having low-k dielectric layer residues thereon in a solution comprising a composition of H 2 SO 4 and H 2 O 2 , which may be also known as a Piranha solution.
  • a suitable solution may comprise a concentration equivalent to, for example, a solution formed by combining a 96% w/w solution of H 2 SO 4 (concentrated H 2 SO 4 ) with a 30% w/w solution of H 2 O 2 , in a volumetric ratio of the 98% w/w H 2 SO 4 solution to the 30% w/w H 2 O 2 solution of from about 7:3 to about 50:1, such as for example about 4:1.
  • a suitable molar ratio of H 2 SO 4 to H 2 O 2 may be from about 1.4:1 to about 31:1, such as about 2.5:1.
  • a temperature of the solution may be maintained sufficiently high to promote reactions between the solution and remaining low-k dielectric layer residues to remove the residues.
  • the temperature may be at least about 120° C.
  • the surface 33 of the wafer can be exposed to an energized oxygen-containing gas, for example in a plasma barrel etcher, to remove any low-k dielectric residues such as organic residues that remain after cleaning with a chemical solution.
  • the wafer 104 may be cleaned by alternating cleaning of the wafer surface 33 with a first cleaning solution comprising a component that breaks Si—O bonds, and a second solution comprising a component that breaks Si—C bonds, to remove low-k dielectric residues from the surface.
  • a first cleaning solution comprising a component that breaks Si—O bonds
  • a second solution comprising a component that breaks Si—C bonds
  • the surface 33 of the wafer may be immersed in a first solution comprising at least one of HF and NH 4 F, such as a solution of HF having a concentration of from about 1% to about 10% by weight to break Si—O bonds on the surface 33 .
  • the surface 33 may then be immersed in a second solution comprising H 2 SO 4 and H 2 O 2 , such as the Piranha solution, to break remaining Si—C bonds to remove remaining low-k dielectric residues.
  • the wafer 104 may also be immersed in the solutions in a different order, and the cleaning steps may be repeated until the low-k dielectric layer 22 has been substantially entirely removed.
  • the cleaning solutions desirably comprise compositions that are capable of effectively breaking bonds in the low-k dielectric material, such as Si—O, Si—CH 3 and C—O bonds, to remove the low-k dielectric layer 22 substantially without leaving a residue on the wafer surface 33 that could be difficult to remove and could inhibit further testing/processing of the wafer 104 .
  • the low-k dielectric layer 22 is removed from the wafer 22 by a cleaning step that involves grinding the dielectric layer 22 by a fine grinding method.
  • a fine grinding method can be effective to remove the low-k dielectric layer 22 because the dielectric layer 22 is typically relatively structurally soft in comparison to the base 24 , and thus can be removed by fine-grinding substantially without removing excessive material from the wafer base 24 .
  • the surface 30 of the dielectric layer 22 is ground against an abrasive surface 32 , such as an abrasive wheel 34 , comprising bonded particles of abrasive material that are capable of grinding away and removing the low-k dielectric layer 22 from the wafer 104 , as shown for example in FIGS.
  • the abrasive material can comprise, for example, particles of at least one of diamond and cubic boron nitride material, which can be bonded to the surface 32 through, for example, a vitrified bond, resin bond or metal bond.
  • the bonded particles are typically sized to provide the desired low-k dielectric material removal, substantially without excessively damaging or scratching the underlying wafer surface 26 .
  • the bonded particles may comprise a size of from about 1 micrometer to about 6 micrometers.
  • the abrasive material bonded to the surface 32 is capable of grinding the low-k dielectric layer 22 from the wafer 104 substantially without providing a grinding slurry between the layer 22 and the abrasive surface 32 , which reduces the amount of clean-up required to refresh the wafer surface 33 .
  • the fine grinding method is also an improvement over previous grinding methods, as the fine grinding method allows for grinding substantially without generating excessive subsurface damage, as in other harsher bulk grinding methods
  • FIGS. 2 a and 2 b An embodiment of a fine grinding apparatus 36 is shown in FIGS. 2 a and 2 b .
  • This embodiment may be illustrative of an apparatus capable of performing a Peter Wolters fine grinding method, according to a design by Peter Wolters A. G., Rendsburg, Germany.
  • a suitable apparatus may be, for example, Nanogrinder/4 or Multinano/3-300 commercially available from Peter Wolters A. G.
  • the apparatus 36 comprises upper and lower grinding wheels 34 a,b, one or more of which has bonded particles of abrasive material thereon.
  • a plurality of wafer carriers 38 are provided that are adapted to guide wafers 104 in a path between the wheels 34 a,b.
  • the wafer carriers 38 can be rotated to move the carriers in an orbiting circular path between the wheels 34 a,b, while rotating the wafers 104 against the abrasive surfaces 32 of one or more of the upper and lower wheels 34 a,b in a circular motion.
  • a cooling fluid can also be provided between the wafers 104 and wheels 34 a,b to inhibit overheating of the wafer 104 due to frictional forces.
  • the grinding apparatus 36 can thus remove material from the wafer 104 to provide the desired low-k dielectric removal results.
  • the fine grinding method can be used to remove a sufficient amount of the low-k dielectric layer 22 substantially without generating excessive sub-surface damage that could inhibit further use of the wafer 104 , and without excessively etching or grinding away the wafer material.
  • the fine grinding method may be capable of removing a thickness of from about 1 to about 6 micrometers of material. For example, for a low-k dielectric layer 22 having a thickness of from about 0.5 micrometers to about 4 micrometers, the fine grinding method may remove a thickness of less than about 4 micrometers of material from the wafer 104 , such as from about 0.5 to about 4 micrometers. Thus, the fine grinding method may remove a thickness of from about 50% to about 100% of the original thickness of the low-k dielectric layer 22 .
  • any subsurface damage that may be generated by the fine-grinding method is desirably low enough to allow for a subsequent polishing removal to remove any vestiges of grind marks without requiring the removal of an excessive amount of material from the wafer 104 .
  • the sub-surface damage may be low enough to be removed by polishing away a thickness of less than about 8 micrometers of material from the wafer 104 , which may include silicon material from a silicon base 24 , and desirably less than about 4 micrometers of material, such as a thickness of from about 3 to about 4 micrometers.
  • the method allows for suitable preparation of the wafer surface 33 without eroding an excessive amount of material from the wafer.
  • a suitable polishing method may be, for example, a chemical mechanical polishing method, in which the surface 33 of the wafer 104 is rotated against a polishing surface 40 of a polishing pad 42 , while a chemical slurry is provided between the pad 42 and wafer 104 to chemically and mechanically remove material from the wafer, as shown for example in FIG. 3 .
  • a polishing pad comprising a SubaTM 1500 or a SPM 2000, both of which are commercially available from Rodel, Phoenix Ariz., U.S.A.
  • a slurry having abrasive particles of silica ranging in size from about 0.05 micrometers to about 0.2 micrometers in a deionized water slurry solution, such as the Mazin® 3300 slurry commercially available from DA Nanomaterials L.L.C., Tempe Ariz., U.S.A.
  • the chemical mechanical polishing process desirably provides a sufficiently polished wafer 104 having a smooth and substantially scratch-free wafer surface 33 that is suitable for subsequent processing and/or testing.
  • removal of the low-k dielectric layer 22 from the wafer 104 can be achieved by polishing the wafer 104 with a polishing pad 42 having a relatively high hardness.
  • the hard polishing pad 42 takes advantage of the relative structural softness of the low-k dielectric layer 22 , to remove the layer 22 substantially without excessively scratching or damaging the underlying wafer surface 26 .
  • the polishing pad can comprise a hardness of, for example, at least about 40 JIS A hardness, such as from about 60 to about 80 JIS A hardness, and even about 92 JIS A hardness.
  • JIS A hardness as used herein means a numeric value as measured by using a durometer hardness test machine of type A as defined by JIS K 6253:1997.
  • a suitable pad 42 having the desired hardness may be, for example, a Rodel® MH polishing pad and may also be Rodel SubaTM 1200 pad, both of which are commercially available from Rodel, Phoenix Ariz., U.S.A.
  • a suitable slurry for polishing with the high-hardness polishing pad may be a slurry having slurry particles comprising silica in a chemical solution comprising KOH, which may comprise a pH value of about 11.
  • the wafer 104 can be polished with the high-hardness pad 42 until a sufficient amount of the low-k dielectric layer 22 has been removed, such as from about 20% to about 50% of the thickness of the low-k dielectric layer 22 , and even 100% of the thickness, which may be from about 0.5 micrometers to about 4 micrometers of the dielectric layer 22 .
  • the relatively high hardness pad does not excessively scratch the surface 33 of the wafer 104 , and thus can provide satisfactory removal of the low-k dielectric layer 22 without damaging or excessively eroding the underlying surface 26 , as shown in FIG. 1 b.
  • Polishing with the high hardness polishing pad 42 can thus be performed to remove substantially the entire dielectric layer 22 on the wafer 104 , or may be performed in combination with one or more other cleaning steps.
  • the wafer 104 may be polished with the polishing pad after an initial fine grinding or other grinding process is performed to remove any remaining low-k dielectric layer residues as well as any surface imperfections that may remain on the wafer 104 after the fine grinding process.
  • a final polishing process can be performed after the high hardness polishing step to refresh and renew the wafer surface 33 , and may comprise a light polish with a relatively soft polishing pad 42 , such as a UR 100 pad commercially available from Rodel, Phoenix Ariz., U.S.A.
  • one or more of the cleaning steps comprising the solutions capable of removing the low-k dielectric layer 22 are combined with any of the steps described above.
  • the wafer 104 may be cleaned by a chemical solution before or after one or more of a fine grinding step, high hardness polishing step and oxidation step.
  • one or more chemical solution cleaning steps can be performed separately, substantially without previously heating, oxidizing or grinding the wafer 104 .
  • Suitable solutions can comprise, for example, least one of HF, NH 4 F, HNO 3 , H 2 SO 4 and H 2 O 2 , wherein the concentrations of the solution components are selected to remove the remaining dielectric layer 22 substantially without excessively etching the wafer 104 beneath the dielectric layer, and substantially without leaving low-k dielectric residues remaining on the wafer 102 .
  • concentrations of the solution components are selected to remove the remaining dielectric layer 22 substantially without excessively etching the wafer 104 beneath the dielectric layer, and substantially without leaving low-k dielectric residues remaining on the wafer 102 .
  • combinations of the fine grinding, high hardness polishing, and oxidation processes may also be performed to achieve the desired cleaning results.
  • a method for reclaiming and refreshing wafers 104 that involves providing a removable layer 44 between the low-k dielectric layer 22 and underlying wafer base 24 , to allow easier removal of the low-k dielectric layer 22 , as shown for example in FIG. 4 .
  • the removable layer 44 comprises a material that can be readily removed from the wafer 104 when desired, for example by etching the removable layer 44 .
  • the removable layer 44 comprises a material that is more easily removed than the low-k dielectric layer 22 , and is also desirably a material that can be removed substantially without excessive erosion of the underlying wafer base 24 .
  • the readily removable layer 44 allows for the low-k dielectric layer to be “lifted-off” the wafer 104 simultaneously with the removable layer 44 , and thus provides for easy removal of the low-k dielectric layer without requiring removal means that are specific to low-k materials.
  • a removable layer 44 is formed over the base 24 of the wafer 104 , such as over substantially the entire underlying surface 26 of the wafer 104 .
  • the removable layer 44 may be formed on the wafer 104 by, for example, a deposition method, such as a chemical or physical deposition method, and may also be “grown” on the wafer 104 , for example by an epitaxial layer growth method.
  • An example of a suitable removable layer 44 comprises a layer of silicon oxide having a thickness of from about 0.5 micrometers to about 2 micrometers.
  • the wafer 104 having the removable layer 44 formed thereon can be used for testing and/or processing purposes.
  • the wafer 104 can be used to test process results for the deposition of a low-k dielectric layer 22 on the wafer 104 , and may also be used to test results for the etching of such a low-k dielectric layer 22 .
  • the low-k dielectric layer 22 is formed over the removable layer 44 , and is spaced apart from the wafer base 24 by the removable layer 44 , as shown in FIG. 4 .
  • etching solution having a composition that is capable of etching the removable layer 44 .
  • the etching solution is preferably capable of etching the removable layer 44 substantially without etching the underlying wafer base 24 .
  • the low-k dielectric layer 22 is “lifted off” of the wafer 104 by the removal of the removable layer, and may also be at least partially etched and removed by the etching solution.
  • a suitable etching solution comprises HF, and may even comprise a buffered hydrofluoric acid solution (BHF) comprising HF and NH 4 F.
  • BHF buffered hydrofluoric acid solution
  • the etching solution may comprise HF having a concentration of from about 5% to about 49% by weight.
  • a suitable BHF solution is a solution equivalent to a mixture of 40% by weight NH 4 F and 49% by weight HF in a volumetric ratio NH 4 F to HF of about 6:1.
  • the removable layer 44 may be immersed in the etching solution for from about 0.5 minutes to about 60 minutes to remove the layer 44 .
  • One or more post-etching steps may be performed to prepare the wafer surface 33 for subsequent testing and/or processing.
  • the surface 33 of the wafer 104 may be subjected to at least one of a rinsing step and polishing step to refurbish the wafer 104 .
  • the removable layer 44 can be re-formed on the surface 26 of the wafer base 24 to allow further testing and deposition of low-k dielectric layers 22 on the wafer 22 .
  • the cleaning steps described above can be combined to provide cleaning processes suitable for removing low-k dielectric layers 22 .
  • the removable layer 44 may be used along with one or more of an oxidation, fine grinding and high hardness polishing process to remove the low-k dielectric layer 22 . Accordingly, while preferred embodiments have been described herein, the cleaning method should not be limited to those combinations specifically described herein.
  • An apparatus 102 suitable for forming the low-k dielectric layer 22 on the wafer 104 may be a chemical vapor deposition chamber 106 , an embodiment of which is shown for example in FIG. 5 .
  • the chamber 106 may be capable of re-forming the low-k dielectric layer 22 on a wafer 104 that has been cleaned, and may also be capable of depositing a low-k dielectric layer 22 on a fresh wafer 104 .
  • the chamber shown in FIG. 5 comprises enclosure walls 118 , which may comprise a ceiling 119 , sidewalls 121 , and a bottom wall 122 that enclose a process zone 113 .
  • the enclosure walls 118 can comprise a domed ceiling 119 over the process zone 113 .
  • a deposition gas can be introduced into the chamber 106 through a gas supply 130 that includes a deposition gas source 131 , and a gas distributor 132 .
  • the gas distributor 132 comprises one or more conduits 133 having one or more gas flow valves 134 a,b and one or more gas outlets 135 a around a periphery of the wafer 104 , as well as one or more outlets 135 b,c above the wafer 104 to provide an optimized flow of deposition gas in the chamber 106 .
  • An electrode 145 in an electrostatic chuck 108 of a substrate support 100 may be powered by an electrode power supply 143 to electrostatically hold a wafer on the support surface 180 during processing.
  • Spent process gas and process byproducts are exhausted from the chamber 106 through an exhaust 120 which may include an exhaust conduit 127 that receives spent process gas from the process zone 113 , a throttle valve 129 to control the pressure of process gas in the chamber 106 , and one or more exhaust pumps 140 .
  • the support 100 also comprises a process kit 124 comprising one or more rings, such as a cover ring 126 and a collar ring 128 that covers at least a portion of the upper surface of the support 100 to inhibit erosion of the support 100 .
  • a lift pin assembly 154 and wafer transport 153 can also be provided to position the wafer 104 on a wafer receiving surface 180 of the support 100 .
  • the lift pin assembly 154 comprises a plurality of lift pins 152 adapted to contact the underside of the wafer 104 to lift and lower the substrate 104 onto the wafer receiving surface 180 .
  • the wafer transport 153 is adapted to transport wafers 104 in and out of the process chamber 106 .
  • the deposition gas may be energized to process the wafer 104 by a gas energizer 116 comprising an antenna 117 having one or more inductor coils 111 a,b which may have a circular symmetry about the center of the chamber to couple energy to the process gas in the process zone 113 of the chamber 106 .
  • the antenna 117 may comprise a first inductor coil 111 a about a top portion of the domed ceiling 119 of the chamber 106 , and a second inductor coil 111 b about a side portion of the domed ceiling 119 .
  • the inductor coils may be separately powered by first and second RF power supplies 142 a,b.
  • the gas energizer 116 may also comprise one or more process electrodes that may be powered to energize the process gas.
  • a remote chamber 147 may also be provided to energize a process gas, such as a cleaning gas, in a remote zone 146 .
  • the process gas can be energized by a remote zone power supply 149 , such as a microwave power supply, and the energized gas can be delivered via a conduit 148 having a flow valve 134 c to the chamber 106 , for example to clean the chamber.
  • the process chamber 106 is evacuated and maintained at a predetermined sub-atmospheric pressure.
  • the wafer 104 is then provided on the support 100 by a wafer transport 153 , such as for example a robot arm, and lift pin assembly 154 .
  • the wafer 104 may be held on the support 100 by applying a voltage to an electrode in the support 100 via an electrode power supply 143 .
  • the gas supply 130 provides a process gas to the chamber 106 and the gas energizer 116 couples RF or microwave energy to the process gas to energize the gas to process the wafer 104 . Effluent generated during the chamber process is exhausted from the chamber 106 by the exhaust 120 .
  • the chamber 106 can be controlled by a controller 194 that comprises program code having instruction sets to operate components of the chamber 106 to process wafers 104 in the chamber 106 .
  • the controller 194 can comprise a wafer positioning instruction set to operate one or more of the wafer support 100 and wafer transport 153 and lift pins 152 to position a wafer in the chamber 106 ; a gas flow control instruction set to operate the gas supply 130 and flow control valves to set a flow of gas to the chamber 106 ; a gas pressure control instruction set to operate the exhaust 120 and throttle valve to maintain a pressure in the chamber 106 ; a gas energizer control instruction set to operate the gas energizer 116 to set a gas energizing power level; a temperature control instruction set to control temperatures in the chamber 106 ; a cleaning control instruction set to set a voltage applied to the electrode 145 to generate an electrostatic force to press the wafer 104 against the support surface 180 ; and a process monitoring instruction set to monitor the process in the chamber 106 .
  • the present invention has been described with reference to certain preferred versions thereof; however, other versions are possible.
  • the wafer 104 can be used in other types of applications, as would be apparent to one of ordinary skill.
  • Other types of cleaning steps can also be used.
  • alternative steps equivalent to those described for the cleaning process can also be used in accordance with the parameters of the described implementation, as would be apparent to one of ordinary skill. Therefore, the spirit and scope of the appended claims should not be limited to the description of the preferred versions contained herein.

Abstract

A low-k dielectric layer having a k value of less than about 3 and comprising silicon, oxygen and carbon, is removed from a wafer. In the method, the wafer is chemical mechanical polished by rotating the surface of the wafer against a polishing pad having a hardness of at least about 40 JIS A, while applying a polishing slurry between the wafer and the polishing pad.

Description

    CROSS-REFERENCE
  • This application is a divisional of U.S. patent application Ser. No. 11/037,647, entitled “REFRESHING WAFERS HAVING LOW-K DIELECTRIC MATERIALS” to Wang et al, assigned to Applied Materials, Inc. and filed on Jan. 18, 2005, which is incorporated by reference herein and in its entirety.
  • BACKGROUND
  • Embodiments of the present invention relate to a method of removing a low-k dielectric layer from a wafer to refresh the wafer.
  • In the processing of substrates, such as semiconducting wafers and displays, a test wafer is often used to determine processing uniformity. During processing, a substrate is placed in a process chamber and suitable processing conditions are maintained in the chamber. Processing of the substrate can involve, for example, energizing a process gas to etch the substrate or deposit material on the substrate. The substrates are typically processed in a series of processing steps to form a final substrate product, which may be an integrated circuit having metal interconnect metal layers with dielectric material in between. To evaluate the results from one or more of the processing steps, a test wafer can be processed in the chamber in place of the production substrate. The test wafer can be processed to determine processing results such as the deposited film thickness uniformity and particle counts, and the process parameters can be modified according to the test results to provide improved processing performance. For example, for a deposition process, the test wafer may be processed to determine a thickness uniformity, composition and wafer stress of a deposited film.
  • In one testing method, test wafers are used to evaluate processing of a low-k dielectric material on a substrate. Low-k dielectric materials have a dielectric constant “k” that is lower than conventional dielectric materials, such as silicon oxide, and may typically have a ‘k’ value of less than about 3. Examples of low-k dielectric materials can comprise compositions of silicon, oxygen and carbon, and even hydrogen, such as for example, the “Black Diamond™” dielectric material, and such materials may be formed by a chemical vapor deposition method. Low-k dielectric layers can reduce an RC delay time in an integrated circuit, allowing corresponding increases in metal interconnect density. Accordingly, the formation of low-k dielectric layers having properties that meet the processing specification is important for the fabrication of high-density circuits, especially for circuits having feature sizes of less than about 100 nm.
  • After testing, the wafers used to evaluate low-k dielectric layer deposition processes can be refreshed, by removing the low-k layer and refurbishing the test wafer for subsequent process evaluations. Refreshing and reclamation of test wafers is desirable to cut down on the cost of providing fresh test wafer materials. Refreshing may also be a suitable method of reusing production wafers with low-k dielectric layers that were poorly processed. In one version, a wafer having a low-k dielectric layer is reclaimed by mechanically grinding the low-k dielectric material off the wafer, for example with a grinding wheel. In another version, a chemical solution is used to remove the material.
  • However, standard refreshing techniques often fail to suitably remove low-k dielectric materials. For instance, some low-k dielectric materials are difficult to remove chemically, as the combination of organic and inorganic elements renders the material less reactive with many chemical compositions, and many chemical compositions can convert the low-k dielectric material into a gummy residue on the wafer. Also, conventional means such as grinding can excessively scratch and otherwise damage the wafer surface. Surface damage can undesirably affect the deposition of a low-k dielectric layer on the surface, and alter the deposition testing results. As such, conventionally reclaimed wafers are often only suitable as mechanical-grade testing wafers, for example, for mechanical robot testing to evaluate wafer positioning, but may not be suitable as test-grade wafers for evaluating substrate processes. The conventional refreshing methods may also erode away an excessive amount of the wafer during the low-k removal process. This excessive erosion can limit the number of times the wafer can be reclaimed for re-use, before disposal of the wafer becomes necessary. Accordingly, conventional refreshing techniques do not always provide satisfactory removal of low-k dielectric materials to allow re-use of the wafers.
  • Thus, it is desirable to be able to reclaim a wafer having a low-k dielectric material. It is furthermore desirable to be able to refresh a test wafer to remove a low-k dielectric layer, to provide a fresh test wafer for testing low-k dielectric layer deposition processes. It is further desirable to completely remove residual low-k dielectric material from the test wafer in the refreshing process.
  • SUMMARY
  • A low-k dielectric layer, which has a k value of less than about 3 and comprises silicon, oxygen and carbon, is removed from a wafer. In the method, the wafer is chemical mechanical polished by rotating the surface of the wafer against a polishing pad having a hardness of at least about 40 JIS A, while applying a polishing slurry between the wafer and the polishing pad.
  • DRAWINGS
  • These features, aspects and advantages of the present invention will become better understood with regard to the following description, appended claims, and accompanying drawings, which illustrate examples of the invention. However, it is to be understood that each of the features can be used in the invention in general, not merely in the context of the particular drawings, and the invention includes any combination of these features, where:
  • FIG. 1A is a partial sectional side view of an embodiment of a wafer having a low-k dielectric material thereon;
  • FIG. 1B is a partial sectional side view of the wafer of FIG. 1A after a cleaning process to remove the low-k dielectric material;
  • FIG. 2A is a partial top view of an embodiment of a fine grinding apparatus;
  • FIG. 2B is a partial sectional side view of the fine grinding apparatus of FIG. 2A;
  • FIG. 3 is a partial sectional side view of an embodiment of a polishing pad and wafer;
  • FIG. 4 is a partial sectional side view of an embodiment of a wafer having a low-k dielectric layer over a removable layer; and
  • FIG. 5 is a partial sectional side view of an embodiment of a process chamber.
  • DESCRIPTION
  • A cleaning process can be performed to remove a low-k dielectric layer 22 from a wafer 104, for example to allow the wafer 104 to be refreshed for re-use as a test wafer, or to allow re-processing of the wafer. The low-k dielectric layer 22 comprises a low-k dielectric material having a composition of carbon, silicon and oxygen, such as carbon-doped silicon oxide, and may even comprise an organosilicate glass (OSG). The low-k dielectric layer 22 may comprise a dielectric constant ‘k’ of less than about 3, such as from about 2.5 to about 2.8, and even less than about 2.4. The low-k dielectric layer 22 may be formed by a deposition process such as a chemical vapor deposition process or a spin-on process. Examples of low-k dielectric materials include “BLACK DIAMOND™” and “SILK™” materials. The low-k dielectric layer 22 may be formed on an underlying surface 26 of a wafer base 24, which may be, for example, a silicon wafer base, and may even substantially entirely cover the underlying surface 26 of the wafer base 24, as shown in FIG. 1 a. The low-k dielectric layer 22 may comprise a substantially planar film (as shown) or may alternatively comprise a layer 22 having a plurality of etched features therein. The low-k dielectric layer 22 may also be formed over one or more side surfaces 28 of the wafer base 24 (not shown.)
  • A cleaning process is performed to remove the low-k dielectric layer 22 from the wafer 104, and may provide a surface 33 of the wafer that is substantially absent low-k dielectric residue. The cleaning process desirably removes the low-k dielectric layer 22 substantially without excessively eroding or otherwise damaging the underlying wafer 104, to allow the wafer to be re-used for subsequent testing and/or processing.
  • In one version, a method of cleaning the wafer 104 to remove the low-k dielectric layer 22 comprises exposing a surface 30 of the dielectric layer 22 to an oxygen-containing gas. Exposing the surface 30 to an oxygen-containing gas can oxidize the materials at the surface 30, such as Si—C and other chemical species. The low-k dielectric layer 22 may also comprise pores that allow the oxygen-containing to penetrate the layer and oxidize interior regions of the layer 22, for example, the layer 22 may have a porosity of from about 3% to about 30% by volume, and may comprise nano-sized pores. Oxidation of the surface 30 of the layer 22 can induce cracking and flaking of the layer 22 from the surface 30, thus removing portions of the low-k dielectric layer 22 form the wafer 104. A suitable oxygen-containing gas can comprise at least one of oxygen gas, ozone and water, and in one version may desirably be composed of oxygen gas (O2).
  • In one version, the surface 30 of the dielectric layer 22 is exposed to oxygen-containing gas while heating the wafer 104 to a temperature that is sufficiently high to oxidize the surface 30 of the layer 22. A suitable temperature may be a temperature of at least about 700° C., such as from about 700° C. to about 1200° C. For example, the wafer 104 may be placed in a temperature controlled oven (not shown) having an oxygen-containing atmosphere with a high oxygen content, such as at least about 50% by volume oxygen. The oven may even be continuously purged with an oxygen-containing gas to maintain the high oxygen content. A suitable flow of an oxygen-containing gas into the oven, such as O2, may be for example from about 4000 sccm to about 10,000 sccm.
  • In another version, the surface 30 of the dielectric layer 22 is exposed to an energized gas comprising energized oxygen-containing species to oxidize the surface 30. Oxygen-containing gases such as O2 can be excited in a plasma to high energy singlet oxygen atoms that have high oxidation capability, and can also penetrate pores in the low-k dielectric layer 22 to oxidize the material. The oxygen containing gas can be energized by applying one or more of RF or microwave energy to the gas. For example, in one version, the wafer 104 may be placed in a process chamber (not shown), such as a barrel plasma etcher having at least one of electrodes, and inductor antenna, or microwave applicator that energize a gas to oxidize the surface 30. A suitable power level to energize the gas may be a power level of a least about 800 Watts, such as from about 800 Watts to about 3500 Watts, and even about 2800 Watts, for a gas pressure of from about 3 Torr to about 7 Torr. A suitable flow rate of the oxygen-containing gas in the chamber may be at least about 250 sccm, such as from about 250 sccm to about 500 sccm. While the surface 30 may be exposed to the energized gas at about 25° C., the temperature of the wafer 104 may also be increased to improve the oxidation rate and extent. For example, the wafer 104 may be heated to a temperature of at least about 350° C., such as from about 350° C. to about 500° C., during exposure to the energized gas.
  • Once the surface 30 of the low-k dielectric layer 22 has been exposed to the oxygen-containing gas, a chemical cleaning step can be performed to remove oxidized as well as unoxidized portions of the layer 22. The chemical cleaning step comprises immersing a surface 33 of the wafer 104, such as the surface of the low-k dielectric layer 22, in a chemical solution having a composition that is selected to etch and remove the layer 22, substantially without damaging or eroding underlying portions of the wafer 104. In one version, the chemical solution comprises silicon-removal component capable of acting on silicon-containing species in the dielectric layer 22, and a carbon-removal component that is capable of acting on carbon-containing species. The silicon and carbon-removal components operate together in the chemical solution to break bonds among both carbon and silicon-containing species in the dielectric layer 22, and thereby remove the low-k dielectric layer 22 from the wafer 104. In one version, a suitable silicon-removal component comprises HF, and a suitable carbon-removal component comprises H2SO4. For example, the solution can comprise a mixture of from about 1% to about 10% by weight of HF, and from about 30% to about 40% by weight of H2SO4. A desired molar ratio of HF to H2SO4 in the solution may be from about 1:10 to about 1:20. While in one version the chemical solution can consist essentially of HF and H2SO4, in other versions the chemical solution can comprise other components, such as for example at least one of HNO3, H2O2 and NH4F. A suitable immersion time may be from about 10 minutes to about 60 minutes. The chemical solution can remove a bulk portion of the dielectric layer 22, including any remaining oxidized as well as unoxidized portions of the layer 22, and comprises a composition that does not excessively erode the underlying wafer 104.
  • Once the surface 30 has been immersed in the chemical solution to remove the low-k dielectric layer 22 from the wafer 104, a subsequent cleaning step can be performed to etch and remove low-k dielectric residues remaining on the wafer 104, to provide a substantially clean wafer top surface 33, as shown for example in FIG. 1 b. In one version, the cleaning step comprises removing organic residues that remain on the wafer 104 from the dielectric layer 22, and which may not have been completely removed by the previous immersion in the chemical solution. An example of a cleaning step to remove remaining low-k dielectric layer residues comprises immersing a surface 33 of the wafer 104 having low-k dielectric layer residues thereon in a solution comprising a composition of H2SO4 and H2O2, which may be also known as a Piranha solution. A suitable solution may comprise a concentration equivalent to, for example, a solution formed by combining a 96% w/w solution of H2SO4 (concentrated H2SO4) with a 30% w/w solution of H2O2, in a volumetric ratio of the 98% w/w H2SO4 solution to the 30% w/w H2O2 solution of from about 7:3 to about 50:1, such as for example about 4:1. Thus, a suitable molar ratio of H2SO4 to H2O2 may be from about 1.4:1 to about 31:1, such as about 2.5:1. A temperature of the solution may be maintained sufficiently high to promote reactions between the solution and remaining low-k dielectric layer residues to remove the residues. For example, the temperature may be at least about 120° C. In yet another version, the surface 33 of the wafer can be exposed to an energized oxygen-containing gas, for example in a plasma barrel etcher, to remove any low-k dielectric residues such as organic residues that remain after cleaning with a chemical solution.
  • In yet a further version, the wafer 104 may be cleaned by alternating cleaning of the wafer surface 33 with a first cleaning solution comprising a component that breaks Si—O bonds, and a second solution comprising a component that breaks Si—C bonds, to remove low-k dielectric residues from the surface. For example, the surface 33 of the wafer may be immersed in a first solution comprising at least one of HF and NH4F, such as a solution of HF having a concentration of from about 1% to about 10% by weight to break Si—O bonds on the surface 33. The surface 33 may then be immersed in a second solution comprising H2SO4 and H2O2, such as the Piranha solution, to break remaining Si—C bonds to remove remaining low-k dielectric residues. The wafer 104 may also be immersed in the solutions in a different order, and the cleaning steps may be repeated until the low-k dielectric layer 22 has been substantially entirely removed. In general, the cleaning solutions desirably comprise compositions that are capable of effectively breaking bonds in the low-k dielectric material, such as Si—O, Si—CH3 and C—O bonds, to remove the low-k dielectric layer 22 substantially without leaving a residue on the wafer surface 33 that could be difficult to remove and could inhibit further testing/processing of the wafer 104.
  • In one version, the low-k dielectric layer 22 is removed from the wafer 22 by a cleaning step that involves grinding the dielectric layer 22 by a fine grinding method. A fine grinding method can be effective to remove the low-k dielectric layer 22 because the dielectric layer 22 is typically relatively structurally soft in comparison to the base 24, and thus can be removed by fine-grinding substantially without removing excessive material from the wafer base 24. In one version of a fine grinding method, the surface 30 of the dielectric layer 22 is ground against an abrasive surface 32, such as an abrasive wheel 34, comprising bonded particles of abrasive material that are capable of grinding away and removing the low-k dielectric layer 22 from the wafer 104, as shown for example in FIGS. 2 a and 2 b. The abrasive material can comprise, for example, particles of at least one of diamond and cubic boron nitride material, which can be bonded to the surface 32 through, for example, a vitrified bond, resin bond or metal bond. The bonded particles are typically sized to provide the desired low-k dielectric material removal, substantially without excessively damaging or scratching the underlying wafer surface 26. For example, the bonded particles may comprise a size of from about 1 micrometer to about 6 micrometers. The abrasive material bonded to the surface 32 is capable of grinding the low-k dielectric layer 22 from the wafer 104 substantially without providing a grinding slurry between the layer 22 and the abrasive surface 32, which reduces the amount of clean-up required to refresh the wafer surface 33. The fine grinding method is also an improvement over previous grinding methods, as the fine grinding method allows for grinding substantially without generating excessive subsurface damage, as in other harsher bulk grinding methods
  • An embodiment of a fine grinding apparatus 36 is shown in FIGS. 2 a and 2 b. This embodiment may be illustrative of an apparatus capable of performing a Peter Wolters fine grinding method, according to a design by Peter Wolters A. G., Rendsburg, Germany. A suitable apparatus may be, for example, Nanogrinder/4 or Multinano/3-300 commercially available from Peter Wolters A. G. The apparatus 36 comprises upper and lower grinding wheels 34 a,b, one or more of which has bonded particles of abrasive material thereon. A plurality of wafer carriers 38 are provided that are adapted to guide wafers 104 in a path between the wheels 34 a,b. The wafer carriers 38 can be rotated to move the carriers in an orbiting circular path between the wheels 34 a,b, while rotating the wafers 104 against the abrasive surfaces 32 of one or more of the upper and lower wheels 34 a,b in a circular motion. A cooling fluid can also be provided between the wafers 104 and wheels 34 a,b to inhibit overheating of the wafer 104 due to frictional forces. The grinding apparatus 36 can thus remove material from the wafer 104 to provide the desired low-k dielectric removal results.
  • The fine grinding method can be used to remove a sufficient amount of the low-k dielectric layer 22 substantially without generating excessive sub-surface damage that could inhibit further use of the wafer 104, and without excessively etching or grinding away the wafer material. The fine grinding method may be capable of removing a thickness of from about 1 to about 6 micrometers of material. For example, for a low-k dielectric layer 22 having a thickness of from about 0.5 micrometers to about 4 micrometers, the fine grinding method may remove a thickness of less than about 4 micrometers of material from the wafer 104, such as from about 0.5 to about 4 micrometers. Thus, the fine grinding method may remove a thickness of from about 50% to about 100% of the original thickness of the low-k dielectric layer 22. Any subsurface damage that may be generated by the fine-grinding method is desirably low enough to allow for a subsequent polishing removal to remove any vestiges of grind marks without requiring the removal of an excessive amount of material from the wafer 104. For example, the sub-surface damage may be low enough to be removed by polishing away a thickness of less than about 8 micrometers of material from the wafer 104, which may include silicon material from a silicon base 24, and desirably less than about 4 micrometers of material, such as a thickness of from about 3 to about 4 micrometers. Thus, the method allows for suitable preparation of the wafer surface 33 without eroding an excessive amount of material from the wafer.
  • A suitable polishing method may be, for example, a chemical mechanical polishing method, in which the surface 33 of the wafer 104 is rotated against a polishing surface 40 of a polishing pad 42, while a chemical slurry is provided between the pad 42 and wafer 104 to chemically and mechanically remove material from the wafer, as shown for example in FIG. 3. For example, a polishing pad comprising a Suba™ 1500 or a SPM 2000, both of which are commercially available from Rodel, Phoenix Ariz., U.S.A. could be provided in combination with a slurry having abrasive particles of silica ranging in size from about 0.05 micrometers to about 0.2 micrometers in a deionized water slurry solution, such as the Mazin® 3300 slurry commercially available from DA Nanomaterials L.L.C., Tempe Ariz., U.S.A. The chemical mechanical polishing process desirably provides a sufficiently polished wafer 104 having a smooth and substantially scratch-free wafer surface 33 that is suitable for subsequent processing and/or testing.
  • In one version, removal of the low-k dielectric layer 22 from the wafer 104 can be achieved by polishing the wafer 104 with a polishing pad 42 having a relatively high hardness. The hard polishing pad 42 takes advantage of the relative structural softness of the low-k dielectric layer 22, to remove the layer 22 substantially without excessively scratching or damaging the underlying wafer surface 26. The polishing pad can comprise a hardness of, for example, at least about 40 JIS A hardness, such as from about 60 to about 80 JIS A hardness, and even about 92 JIS A hardness. The term “JIS A hardness” as used herein means a numeric value as measured by using a durometer hardness test machine of type A as defined by JIS K 6253:1997. A suitable pad 42 having the desired hardness may be, for example, a Rodel® MH polishing pad and may also be Rodel Suba™ 1200 pad, both of which are commercially available from Rodel, Phoenix Ariz., U.S.A.
  • In the polishing process, the surface 33 of the wafer 104 is typically rotated against the polishing surface 40 of the high-hardness polishing pad 42, and a slurry is provided between the pad 42 and wafer 104. A suitable slurry for polishing with the high-hardness polishing pad may be a slurry having slurry particles comprising silica in a chemical solution comprising KOH, which may comprise a pH value of about 11. The wafer 104 can be polished with the high-hardness pad 42 until a sufficient amount of the low-k dielectric layer 22 has been removed, such as from about 20% to about 50% of the thickness of the low-k dielectric layer 22, and even 100% of the thickness, which may be from about 0.5 micrometers to about 4 micrometers of the dielectric layer 22. The relatively high hardness pad does not excessively scratch the surface 33 of the wafer 104, and thus can provide satisfactory removal of the low-k dielectric layer 22 without damaging or excessively eroding the underlying surface 26, as shown in FIG. 1 b. Polishing with the high hardness polishing pad 42 can thus be performed to remove substantially the entire dielectric layer 22 on the wafer 104, or may be performed in combination with one or more other cleaning steps. For example, the wafer 104 may be polished with the polishing pad after an initial fine grinding or other grinding process is performed to remove any remaining low-k dielectric layer residues as well as any surface imperfections that may remain on the wafer 104 after the fine grinding process. A final polishing process can be performed after the high hardness polishing step to refresh and renew the wafer surface 33, and may comprise a light polish with a relatively soft polishing pad 42, such as a UR 100 pad commercially available from Rodel, Phoenix Ariz., U.S.A.
  • In one version, one or more of the cleaning steps comprising the solutions capable of removing the low-k dielectric layer 22 are combined with any of the steps described above. For example, the wafer 104 may be cleaned by a chemical solution before or after one or more of a fine grinding step, high hardness polishing step and oxidation step. In another version, one or more chemical solution cleaning steps can be performed separately, substantially without previously heating, oxidizing or grinding the wafer 104. Suitable solutions can comprise, for example, least one of HF, NH4F, HNO3, H2SO4 and H2O2, wherein the concentrations of the solution components are selected to remove the remaining dielectric layer 22 substantially without excessively etching the wafer 104 beneath the dielectric layer, and substantially without leaving low-k dielectric residues remaining on the wafer 102. Furthermore, combinations of the fine grinding, high hardness polishing, and oxidation processes may also be performed to achieve the desired cleaning results.
  • In another version, a method is provided for reclaiming and refreshing wafers 104 that involves providing a removable layer 44 between the low-k dielectric layer 22 and underlying wafer base 24, to allow easier removal of the low-k dielectric layer 22, as shown for example in FIG. 4. The removable layer 44 comprises a material that can be readily removed from the wafer 104 when desired, for example by etching the removable layer 44. The removable layer 44 comprises a material that is more easily removed than the low-k dielectric layer 22, and is also desirably a material that can be removed substantially without excessive erosion of the underlying wafer base 24. The readily removable layer 44 allows for the low-k dielectric layer to be “lifted-off” the wafer 104 simultaneously with the removable layer 44, and thus provides for easy removal of the low-k dielectric layer without requiring removal means that are specific to low-k materials.
  • In this version, a removable layer 44 is formed over the base 24 of the wafer 104, such as over substantially the entire underlying surface 26 of the wafer 104. The removable layer 44 may be formed on the wafer 104 by, for example, a deposition method, such as a chemical or physical deposition method, and may also be “grown” on the wafer 104, for example by an epitaxial layer growth method. An example of a suitable removable layer 44 comprises a layer of silicon oxide having a thickness of from about 0.5 micrometers to about 2 micrometers. The wafer 104 having the removable layer 44 formed thereon can be used for testing and/or processing purposes. For example, the wafer 104 can be used to test process results for the deposition of a low-k dielectric layer 22 on the wafer 104, and may also be used to test results for the etching of such a low-k dielectric layer 22. The low-k dielectric layer 22 is formed over the removable layer 44, and is spaced apart from the wafer base 24 by the removable layer 44, as shown in FIG. 4.
  • To remove the low-k dielectric layer 22, for example to refresh and/or reclaim the wafer 104, at least a portion of the removable layer 44 is immersed in an etching solution having a composition that is capable of etching the removable layer 44. The etching solution is preferably capable of etching the removable layer 44 substantially without etching the underlying wafer base 24. The low-k dielectric layer 22 is “lifted off” of the wafer 104 by the removal of the removable layer, and may also be at least partially etched and removed by the etching solution. In one version, a suitable etching solution comprises HF, and may even comprise a buffered hydrofluoric acid solution (BHF) comprising HF and NH4F. For example, the etching solution may comprise HF having a concentration of from about 5% to about 49% by weight. In one version, a suitable BHF solution is a solution equivalent to a mixture of 40% by weight NH4F and 49% by weight HF in a volumetric ratio NH4F to HF of about 6:1. The removable layer 44 may be immersed in the etching solution for from about 0.5 minutes to about 60 minutes to remove the layer 44. One or more post-etching steps may be performed to prepare the wafer surface 33 for subsequent testing and/or processing. For example, the surface 33 of the wafer 104 may be subjected to at least one of a rinsing step and polishing step to refurbish the wafer 104. Once the wafer surface 103 has been refurbished, the removable layer 44 can be re-formed on the surface 26 of the wafer base 24 to allow further testing and deposition of low-k dielectric layers 22 on the wafer 22.
  • Additionally, the cleaning steps described above can be combined to provide cleaning processes suitable for removing low-k dielectric layers 22. For example, the removable layer 44 may be used along with one or more of an oxidation, fine grinding and high hardness polishing process to remove the low-k dielectric layer 22. Accordingly, while preferred embodiments have been described herein, the cleaning method should not be limited to those combinations specifically described herein.
  • An apparatus 102 suitable for forming the low-k dielectric layer 22 on the wafer 104 may be a chemical vapor deposition chamber 106, an embodiment of which is shown for example in FIG. 5. The chamber 106 may be capable of re-forming the low-k dielectric layer 22 on a wafer 104 that has been cleaned, and may also be capable of depositing a low-k dielectric layer 22 on a fresh wafer 104. The chamber shown in FIG. 5 comprises enclosure walls 118, which may comprise a ceiling 119, sidewalls 121, and a bottom wall 122 that enclose a process zone 113. The enclosure walls 118 can comprise a domed ceiling 119 over the process zone 113. A deposition gas can be introduced into the chamber 106 through a gas supply 130 that includes a deposition gas source 131, and a gas distributor 132. In the version shown in FIG. 5, the gas distributor 132 comprises one or more conduits 133 having one or more gas flow valves 134 a,b and one or more gas outlets 135 a around a periphery of the wafer 104, as well as one or more outlets 135 b,c above the wafer 104 to provide an optimized flow of deposition gas in the chamber 106. An electrode 145 in an electrostatic chuck 108 of a substrate support 100 may be powered by an electrode power supply 143 to electrostatically hold a wafer on the support surface 180 during processing. Spent process gas and process byproducts are exhausted from the chamber 106 through an exhaust 120 which may include an exhaust conduit 127 that receives spent process gas from the process zone 113, a throttle valve 129 to control the pressure of process gas in the chamber 106, and one or more exhaust pumps 140.
  • In one version, the support 100 also comprises a process kit 124 comprising one or more rings, such as a cover ring 126 and a collar ring 128 that covers at least a portion of the upper surface of the support 100 to inhibit erosion of the support 100. A lift pin assembly 154 and wafer transport 153 can also be provided to position the wafer 104 on a wafer receiving surface 180 of the support 100. The lift pin assembly 154 comprises a plurality of lift pins 152 adapted to contact the underside of the wafer 104 to lift and lower the substrate 104 onto the wafer receiving surface 180. The wafer transport 153 is adapted to transport wafers 104 in and out of the process chamber 106.
  • In one version, the deposition gas may be energized to process the wafer 104 by a gas energizer 116 comprising an antenna 117 having one or more inductor coils 111 a,b which may have a circular symmetry about the center of the chamber to couple energy to the process gas in the process zone 113 of the chamber 106. For example, the antenna 117 may comprise a first inductor coil 111 a about a top portion of the domed ceiling 119 of the chamber 106, and a second inductor coil 111 b about a side portion of the domed ceiling 119. The inductor coils may be separately powered by first and second RF power supplies 142 a,b. The gas energizer 116 may also comprise one or more process electrodes that may be powered to energize the process gas. A remote chamber 147 may also be provided to energize a process gas, such as a cleaning gas, in a remote zone 146. The process gas can be energized by a remote zone power supply 149, such as a microwave power supply, and the energized gas can be delivered via a conduit 148 having a flow valve 134 c to the chamber 106, for example to clean the chamber.
  • To process a wafer 104, for example by forming a low-k dielectric layer 22 on the wafer 104, the process chamber 106 is evacuated and maintained at a predetermined sub-atmospheric pressure. The wafer 104 is then provided on the support 100 by a wafer transport 153, such as for example a robot arm, and lift pin assembly 154. The wafer 104 may be held on the support 100 by applying a voltage to an electrode in the support 100 via an electrode power supply 143. The gas supply 130 provides a process gas to the chamber 106 and the gas energizer 116 couples RF or microwave energy to the process gas to energize the gas to process the wafer 104. Effluent generated during the chamber process is exhausted from the chamber 106 by the exhaust 120.
  • The chamber 106 can be controlled by a controller 194 that comprises program code having instruction sets to operate components of the chamber 106 to process wafers 104 in the chamber 106. For example, the controller 194 can comprise a wafer positioning instruction set to operate one or more of the wafer support 100 and wafer transport 153 and lift pins 152 to position a wafer in the chamber 106; a gas flow control instruction set to operate the gas supply 130 and flow control valves to set a flow of gas to the chamber 106; a gas pressure control instruction set to operate the exhaust 120 and throttle valve to maintain a pressure in the chamber 106; a gas energizer control instruction set to operate the gas energizer 116 to set a gas energizing power level; a temperature control instruction set to control temperatures in the chamber 106; a cleaning control instruction set to set a voltage applied to the electrode 145 to generate an electrostatic force to press the wafer 104 against the support surface 180; and a process monitoring instruction set to monitor the process in the chamber 106.
  • The present invention has been described with reference to certain preferred versions thereof; however, other versions are possible. For example, the wafer 104 can be used in other types of applications, as would be apparent to one of ordinary skill. Other types of cleaning steps can also be used. Further, alternative steps equivalent to those described for the cleaning process can also be used in accordance with the parameters of the described implementation, as would be apparent to one of ordinary skill. Therefore, the spirit and scope of the appended claims should not be limited to the description of the preferred versions contained herein.

Claims (20)

1. A method of removing a low-k dielectric layer from a wafer, the low-k dielectric layer having a k value of less than about 3 and comprising silicon, oxygen and carbon, the method comprising:
chemical mechanical polishing the wafer by:
(a) rotating the surface of the wafer against a polishing pad having a hardness of at least about 40 JIS A; and
(b) applying a polishing slurry between the wafer and the polishing pad.
2. A method according to claim 1 comprising rotating the surface of the wafer against a polishing pad having a hardness of from about 60 to about 80 JIS.
3. A method according to claim 1 comprising applying a polishing slurry comprising slurry particles of silica.
4. A method according to claim 3 comprising applying a polishing slurry comprising in a solution comprising KOH.
5. A method according to claim 4 comprising applying a polishing slurry comprising a pH of about 11.
6. A method according to claim 1 comprising applying a polishing slurry comprising silica particles in de-ionized water.
7. A method according to claim 1 comprising chemical mechanical polishing the wafer until from about 20% to about 50% of the thickness of the low-k dielectric layer is removed.
8. A method according to claim 1 comprising chemical mechanical polishing the wafer until a thickness of from about 0.5 micrometers to about 4 micrometers of the low-k dielectric layer is removed.
9. A method according to claim 1 comprising the initial step of fine grinding the low-k dielectric layer with a grinding surface comprising bonded particles of abrasive material.
10. A method according to claim 9 comprising fine grinding the low-k dielectric layer with a grinding surface comprising bonded particles of an abrasive material comprising diamond or cubic boron nitride.
11. A method according to claim 9 comprising fine grinding the low-k dielectric layer with a grinding surface comprising bonded particles having a size of from about 1 to about 6 micrometers.
12. A method according to claim 1 further comprising immersing a surface of the low-k dielectric layer in an etching solution.
13. A method according to claim 12 wherein the etching solution comprises at least one of:
(i) HF and H2SO4;
(ii) HF and NH4F; and
(iii) H2SO4 and H2O2.
14. A method according to claim 1 further comprising exposing the surface of the low-k dielectric layer to an oxygen-containing gas to oxidize the surface.
15. A method according to claim 1 further comprising the initial steps of:
(c) providing a removable layer on the wafer;
(d) forming the low-k dielectric layer over the removable layer; and
(e) etching the removable layer from the wafer.
16. A method according to claim 1 further comprising the initial steps of (1) processing a wafer comprising a test wafer to form the low-k dielectric layer on the wafer, and (2) determining at least one of a thickness, particle count, or composition of the low-k dielectric layer on the test wafer.
17. A method according to claim 1 wherein the wafer comprises a production wafer having a processed low-k dielectric layer.
18. A method according to claim 1 further comprising re-forming the low-k dielectric layer on the wafer.
19. A method of removing a low-k dielectric layer from a wafer, the low-k dielectric layer having a k value of less than about 3 and comprising silicon, oxygen and carbon, the method comprising:
(a) chemical mechanical polishing the wafer by:
(i) rotating the surface of the wafer against a polishing pad having a hardness of at least about 40 JIS A; and
(ii) applying a polishing slurry between the wafer and the polishing pad, the polishing slurry comprising slurry particles of silica in solution comprising KOH.
20. A method according to claim 19 comprising rotating the surface of the wafer against a polishing pad having a hardness of from about 60 to about 80 JIS.
US11/737,706 2005-01-18 2007-04-19 Removing a low-k dielectric layer from a wafer by chemical mechanical polishing Abandoned US20070190791A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/737,706 US20070190791A1 (en) 2005-01-18 2007-04-19 Removing a low-k dielectric layer from a wafer by chemical mechanical polishing

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/037,647 US7208325B2 (en) 2005-01-18 2005-01-18 Refreshing wafers having low-k dielectric materials
US11/737,706 US20070190791A1 (en) 2005-01-18 2007-04-19 Removing a low-k dielectric layer from a wafer by chemical mechanical polishing

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US11/037,647 Division US7208325B2 (en) 2005-01-18 2005-01-18 Refreshing wafers having low-k dielectric materials

Publications (1)

Publication Number Publication Date
US20070190791A1 true US20070190791A1 (en) 2007-08-16

Family

ID=36684507

Family Applications (5)

Application Number Title Priority Date Filing Date
US11/037,647 Expired - Fee Related US7208325B2 (en) 2005-01-18 2005-01-18 Refreshing wafers having low-k dielectric materials
US11/737,704 Abandoned US20070190790A1 (en) 2005-01-18 2007-04-19 Fine grinding a low-k dielectric layer off a wafer
US11/737,708 Expired - Fee Related US7695982B2 (en) 2005-01-18 2007-04-19 Refurbishing a wafer having a low-k dielectric layer
US11/737,663 Abandoned US20070190798A1 (en) 2005-01-18 2007-04-19 Removing a low-k dielectric layer from a wafer
US11/737,706 Abandoned US20070190791A1 (en) 2005-01-18 2007-04-19 Removing a low-k dielectric layer from a wafer by chemical mechanical polishing

Family Applications Before (4)

Application Number Title Priority Date Filing Date
US11/037,647 Expired - Fee Related US7208325B2 (en) 2005-01-18 2005-01-18 Refreshing wafers having low-k dielectric materials
US11/737,704 Abandoned US20070190790A1 (en) 2005-01-18 2007-04-19 Fine grinding a low-k dielectric layer off a wafer
US11/737,708 Expired - Fee Related US7695982B2 (en) 2005-01-18 2007-04-19 Refurbishing a wafer having a low-k dielectric layer
US11/737,663 Abandoned US20070190798A1 (en) 2005-01-18 2007-04-19 Removing a low-k dielectric layer from a wafer

Country Status (2)

Country Link
US (5) US7208325B2 (en)
TW (1) TW200703488A (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060240675A1 (en) * 2005-01-18 2006-10-26 Applied Materials, Inc. Removal of silicon oxycarbide from substrates
US20070190790A1 (en) * 2005-01-18 2007-08-16 Applied Materials, Inc. Fine grinding a low-k dielectric layer off a wafer

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100685738B1 (en) * 2005-08-08 2007-02-26 삼성전자주식회사 Removing composition for an insulation material, method of removing an insulation layer and method of recycling a substrate using the same
KR100706822B1 (en) * 2005-10-17 2007-04-12 삼성전자주식회사 Composition for removing an insulation material, method of removing an insulation layer and method of recycling a substrate using the same
US20070096091A1 (en) * 2005-11-03 2007-05-03 Chih-Chun Wang Layer structure and removing method thereof and mehod of testing semiconductor machine
KR20080072905A (en) 2005-11-09 2008-08-07 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 Composition and method for recycling semiconductor wafers having low-k dielectric materials thereon
US20080067145A1 (en) * 2006-09-14 2008-03-20 United Microelectronics Corp. Method of recycling dummy wafer
EP2082024A4 (en) * 2006-09-25 2010-11-17 Advanced Tech Materials Compositions and methods for the removal of photoresist for a wafer rework application
US20080163897A1 (en) * 2007-01-10 2008-07-10 Applied Materials, Inc. Two step process for post ash cleaning for cu/low-k dual damascene structure with metal hard mask
FR2926396B1 (en) * 2008-01-16 2010-03-19 Commissariat Energie Atomique METHOD FOR MANUFACTURING AMORPHOUS HYDROGENIC SILICON CARBIDE FILMS WITH THROUGH PORES AND FILMS THUS OBTAINED
US20090233447A1 (en) * 2008-03-11 2009-09-17 Taiwan Semiconductor Manufacturing Co., Ltd. Control wafer reclamation process
US8597448B2 (en) * 2009-12-29 2013-12-03 Novellus Systems, Inc. Electrostatic chucks and methods for refurbishing same
JP2011173184A (en) 2010-02-23 2011-09-08 Tokyo Electron Ltd Polishing method
KR101171316B1 (en) * 2010-04-06 2012-08-10 (주)탑나노시스 Method for repairing working stage with CNT antistatic treatment
TWI619800B (en) 2010-10-06 2018-04-01 恩特葛瑞斯股份有限公司 Composition and process for selectively etching metal nitrides
CN111312584A (en) * 2020-04-07 2020-06-19 沈阳拓荆科技有限公司 Wafer surface cleaning method

Citations (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3923567A (en) * 1974-08-09 1975-12-02 Silicon Materials Inc Method of reclaiming a semiconductor wafer
US5131979A (en) * 1991-05-21 1992-07-21 Lawrence Technology Semiconductor EPI on recycled silicon wafers
US5429711A (en) * 1992-09-18 1995-07-04 Mitsubishi Materials Corporation Method for manufacturing wafer
US5700179A (en) * 1995-07-28 1997-12-23 Shin-Etsu Handotai Co., Ltd. Method of manufacturing semiconductor wafers and process of and apparatus for grinding used for the same method of manufacture
US5800725A (en) * 1996-01-31 1998-09-01 Shin-Etsu Handotai Co., Ltd. Method of manufacturing semiconductor wafers
US5821166A (en) * 1996-12-12 1998-10-13 Komatsu Electronic Metals Co., Ltd. Method of manufacturing semiconductor wafers
US5920764A (en) * 1997-09-30 1999-07-06 International Business Machines Corporation Process for restoring rejected wafers in line for reuse as new
US5942445A (en) * 1996-03-25 1999-08-24 Shin-Etsu Handotai Co., Ltd. Method of manufacturing semiconductor wafers
US5951374A (en) * 1996-01-31 1999-09-14 Shin-Etsu Handotai Co., Ltd. Method of polishing semiconductor wafers
US6248667B1 (en) * 1999-03-18 2001-06-19 Samsung Electronics Co., Ltd. Chemical mechanical polishing method using double polishing stop layer
US20010013507A1 (en) * 1999-02-18 2001-08-16 Hosali Sharath D. Method for CMP of low dielectric constant polymer layers
US6276997B1 (en) * 1998-12-23 2001-08-21 Shinhwa Li Use of chemical mechanical polishing and/or poly-vinyl-acetate scrubbing to restore quality of used semiconductor wafers
US6284628B1 (en) * 1998-04-23 2001-09-04 Shin-Etsu Handotai Co., Ltd. Method of recycling a delaminated wafer and a silicon wafer used for the recycling
US6358839B1 (en) * 2000-05-26 2002-03-19 Taiwan Semiconductor Manufacturing Company Solution to black diamond film delamination problem
US6372609B1 (en) * 1998-10-16 2002-04-16 Shin-Etsu Handotai Co., Ltd. Method of Fabricating SOI wafer by hydrogen ION delamination method and SOI wafer fabricated by the method
US6387809B2 (en) * 1998-03-23 2002-05-14 Shin-Etsu Handotai Co., Ltd. Method and apparatus for lapping or polishing semiconductor silicon single crystal wafer
US6406923B1 (en) * 2000-07-31 2002-06-18 Kobe Precision Inc. Process for reclaiming wafer substrates
US20020139387A1 (en) * 2000-08-31 2002-10-03 Micron Technology, Inc. Compositions for dissolution of low-k dielectric films, and methods of use
US20030064607A1 (en) * 2001-09-29 2003-04-03 Jihperng Leu Method for improving nucleation and adhesion of CVD and ALD films deposited onto low-dielectric-constant dielectrics
US6562700B1 (en) * 2001-05-31 2003-05-13 Lsi Logic Corporation Process for removal of resist mask over low k carbon-doped silicon oxide dielectric material of an integrated circuit structure, and removal of residues from via etch and resist mask removal
US6602806B1 (en) * 1999-08-17 2003-08-05 Applied Materials, Inc. Thermal CVD process for depositing a low dielectric constant carbon-doped silicon oxide film
US6607675B1 (en) * 2000-08-29 2003-08-19 Applied Materials Inc. Method of etching carbon-containing silicon oxide films
US20030166380A1 (en) * 2000-02-24 2003-09-04 Shunichi Shibuki Chemical-mechanical polishing device, damascene wiring forming device, and dama-scene wiring forming method
US6693047B1 (en) * 2002-12-19 2004-02-17 Taiwan Semiconductor Manufacturing Co. Ltd. Method for recycling semiconductor wafers having carbon doped low-k dielectric layers
US20040084412A1 (en) * 2001-05-14 2004-05-06 Carlo Waldfried Plasma ashing process
US20040137748A1 (en) * 2003-01-13 2004-07-15 Applied Materials, Inc. Selective etching of low-k dielectrics
US20050042842A1 (en) * 2003-08-21 2005-02-24 Ryan Lei Germanium on insulator fabrication via epitaxial germanium bonding
US6881590B2 (en) * 2003-08-25 2005-04-19 Powerchip Semiconductor Corp. Re-performable spin-on process
US6933239B2 (en) * 2003-01-13 2005-08-23 Applied Materials, Inc. Method for removing conductive residue
US20060016786A1 (en) * 2004-07-26 2006-01-26 Bing-Yue Tsui Method and apparatus for removing SiC or low k material film
US6991739B2 (en) * 2001-10-15 2006-01-31 Applied Materials, Inc. Method of photoresist removal in the presence of a dielectric layer having a low k-value
US20060042651A1 (en) * 2004-08-30 2006-03-02 Applied Materials, Inc. Cleaning submicron structures on a semiconductor wafer surface
US7037351B2 (en) * 2003-07-09 2006-05-02 Dynea Chemicals Oy Non-polymeric organic particles for chemical mechanical planarization
US20060128151A1 (en) * 2004-12-09 2006-06-15 Ryu Sang-Wook Method for removing photoresist layer and method for forming metal line in semiconductor device using the same
US20060292707A1 (en) * 2005-06-22 2006-12-28 Goodner Michael D Healing detrimental bonds in deposited materials
US20070068900A1 (en) * 2005-09-27 2007-03-29 Lam Research Corporation Apparatus and methods to remove films on bevel edge and backside of wafer

Family Cites Families (54)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3559281A (en) * 1968-11-27 1971-02-02 Motorola Inc Method of reclaiming processed semiconductior wafers
US4062102A (en) * 1975-12-31 1977-12-13 Silicon Material, Inc. Process for manufacturing a solar cell from a reject semiconductor wafer
JPS5595340A (en) * 1979-01-10 1980-07-19 Chiyou Lsi Gijutsu Kenkyu Kumiai Preparation of semiconductor device
US4679299A (en) * 1986-08-11 1987-07-14 Ncr Corporation Formation of self-aligned stacked CMOS structures by lift-off
TW274625B (en) * 1994-09-30 1996-04-21 Hitachi Seisakusyo Kk
US6387819B1 (en) 1998-04-29 2002-05-14 Applied Materials, Inc. Method for etching low K dielectric layers
US6270395B1 (en) * 1998-09-24 2001-08-07 Alliedsignal, Inc. Oxidizing polishing slurries for low dielectric constant materials
US6046112A (en) * 1998-12-14 2000-04-04 Taiwan Semiconductor Manufacturing Company Chemical mechanical polishing slurry
US7208049B2 (en) * 2003-10-20 2007-04-24 Air Products And Chemicals, Inc. Process solutions containing surfactants used as post-chemical mechanical planarization treatment
US6251150B1 (en) * 1999-05-27 2001-06-26 Ekc Technology, Inc. Slurry composition and method of chemical mechanical polishing using same
US6365528B1 (en) 2000-06-07 2002-04-02 Lsi Logic Corporation Low temperature process for forming a low dielectric constant fluorine and carbon-containing silicon oxide dielectric-material characterized by improved resistance to oxidation and good gap-filling capabilities
US6872329B2 (en) * 2000-07-28 2005-03-29 Applied Materials, Inc. Chemical mechanical polishing composition and process
KR100481651B1 (en) * 2000-08-21 2005-04-08 가부시끼가이샤 도시바 Slurry for chemical mechanical polishing and method for manufacturing semiconductor device
US6489242B1 (en) * 2000-09-13 2002-12-03 Lsi Logic Corporation Process for planarization of integrated circuit structure which inhibits cracking of low dielectric constant dielectric material adjacent underlying raised structures
US6569349B1 (en) * 2000-10-23 2003-05-27 Applied Materials Inc. Additives to CMP slurry to polish dielectric films
US6709316B1 (en) * 2000-10-27 2004-03-23 Applied Materials, Inc. Method and apparatus for two-step barrier layer polishing
US6524167B1 (en) * 2000-10-27 2003-02-25 Applied Materials, Inc. Method and composition for the selective removal of residual materials and barrier materials during substrate planarization
US20020068454A1 (en) * 2000-12-01 2002-06-06 Applied Materials, Inc. Method and composition for the removal of residual materials during substrate planarization
US6620027B2 (en) * 2001-01-09 2003-09-16 Applied Materials Inc. Method and apparatus for hard pad polishing
US6632478B2 (en) 2001-02-22 2003-10-14 Applied Materials, Inc. Process for forming a low dielectric constant carbon-containing film
US6583026B1 (en) 2001-05-31 2003-06-24 Lsi Logic Corporation Process for forming a low k carbon-doped silicon oxide dielectric material on an integrated circuit structure
US6783432B2 (en) * 2001-06-04 2004-08-31 Applied Materials Inc. Additives for pressure sensitive polishing compositions
US7279119B2 (en) * 2001-06-14 2007-10-09 Ppg Industries Ohio, Inc. Silica and silica-based slurry
US6790768B2 (en) * 2001-07-11 2004-09-14 Applied Materials Inc. Methods and apparatus for polishing substrates comprising conductive and dielectric materials with reduced topographical defects
US7104869B2 (en) * 2001-07-13 2006-09-12 Applied Materials, Inc. Barrier removal at low polish pressure
US7077880B2 (en) * 2004-01-16 2006-07-18 Dupont Air Products Nanomaterials Llc Surface modified colloidal abrasives, including stable bimetallic surface coated silica sols for chemical mechanical planarization
DE10152993A1 (en) * 2001-10-26 2003-05-08 Bayer Ag Composition for the chemical mechanical polishing of metal and metal / dielectric structures with high selectivity
DE10164262A1 (en) * 2001-12-27 2003-07-17 Bayer Ag Composition for the chemical mechanical polishing of metal and metal / dielectric structures
US7175503B2 (en) * 2002-02-04 2007-02-13 Kla-Tencor Technologies Corp. Methods and systems for determining a characteristic of polishing within a zone on a specimen from combined output signals of an eddy current device
TWI339680B (en) * 2002-02-19 2011-04-01 Kanto Kagaku Washing liquid composition for semiconductor substrate
US6974777B2 (en) * 2002-06-07 2005-12-13 Cabot Microelectronics Corporation CMP compositions for low-k dielectric materials
FR2842648B1 (en) 2002-07-18 2005-01-14 Commissariat Energie Atomique METHOD FOR TRANSFERRING AN ELECTRICALLY ACTIVE THIN LAYER
US6569777B1 (en) 2002-10-02 2003-05-27 Taiwan Semiconductor Manufacturing Co., Ltd Plasma etching method to form dual damascene with improved via profile
TWI295950B (en) * 2002-10-03 2008-04-21 Applied Materials Inc Method for reducing delamination during chemical mechanical polishing
US7008803B2 (en) * 2002-10-24 2006-03-07 International Business Machines Corporation Method of reworking structures incorporating low-k dielectric materials
US7071105B2 (en) * 2003-02-03 2006-07-04 Cabot Microelectronics Corporation Method of polishing a silicon-containing dielectric
JP2004247605A (en) * 2003-02-14 2004-09-02 Toshiba Corp Cmp slurry and manufacturing method of semiconductor device
JP4375991B2 (en) * 2003-04-09 2009-12-02 関東化学株式会社 Semiconductor substrate cleaning liquid composition
US7008854B2 (en) * 2003-05-21 2006-03-07 Micron Technology, Inc. Silicon oxycarbide substrates for bonded silicon on insulator
US7223685B2 (en) * 2003-06-23 2007-05-29 Intel Corporation Damascene fabrication with electrochemical layer removal
US6899602B2 (en) * 2003-07-30 2005-05-31 Rohm And Haas Electronic Materials Cmp Holdings, Nc Porous polyurethane polishing pads
US20050056810A1 (en) * 2003-09-17 2005-03-17 Jinru Bian Polishing composition for semiconductor wafers
US7210987B2 (en) * 2004-03-30 2007-05-01 Intel Corporation Wafer grinding method
US7252736B1 (en) * 2004-03-31 2007-08-07 Lam Research Corporation Compliant grinding wheel
US7108591B1 (en) * 2004-03-31 2006-09-19 Lam Research Corporation Compliant wafer chuck
US7351662B2 (en) * 2005-01-07 2008-04-01 Dupont Air Products Nanomaterials Llc Composition and associated method for catalyzing removal rates of dielectric films during chemical mechanical planarization
US7208325B2 (en) * 2005-01-18 2007-04-24 Applied Materials, Inc. Refreshing wafers having low-k dielectric materials
US20060216935A1 (en) * 2005-03-28 2006-09-28 Ferro Corporation Composition for oxide CMP in CMOS device fabrication
KR100685738B1 (en) 2005-08-08 2007-02-26 삼성전자주식회사 Removing composition for an insulation material, method of removing an insulation layer and method of recycling a substrate using the same
KR100706822B1 (en) 2005-10-17 2007-04-12 삼성전자주식회사 Composition for removing an insulation material, method of removing an insulation layer and method of recycling a substrate using the same
US7456107B2 (en) * 2006-11-09 2008-11-25 Cabot Microelectronics Corporation Compositions and methods for CMP of low-k-dielectric materials
US7504337B2 (en) * 2007-03-23 2009-03-17 International Business Machines Corporation IC chip uniform delayering methods
JP5444596B2 (en) * 2007-08-31 2014-03-19 富士通セミコンダクター株式会社 Manufacturing method of semiconductor device
WO2009058274A1 (en) * 2007-10-29 2009-05-07 Ekc Technology, Inc. Chemical mechanical polishing and wafer cleaning composition comprising amidoxime compounds and associated method for use

Patent Citations (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3923567A (en) * 1974-08-09 1975-12-02 Silicon Materials Inc Method of reclaiming a semiconductor wafer
US5131979A (en) * 1991-05-21 1992-07-21 Lawrence Technology Semiconductor EPI on recycled silicon wafers
US5429711A (en) * 1992-09-18 1995-07-04 Mitsubishi Materials Corporation Method for manufacturing wafer
US5700179A (en) * 1995-07-28 1997-12-23 Shin-Etsu Handotai Co., Ltd. Method of manufacturing semiconductor wafers and process of and apparatus for grinding used for the same method of manufacture
US5951374A (en) * 1996-01-31 1999-09-14 Shin-Etsu Handotai Co., Ltd. Method of polishing semiconductor wafers
US5800725A (en) * 1996-01-31 1998-09-01 Shin-Etsu Handotai Co., Ltd. Method of manufacturing semiconductor wafers
US5942445A (en) * 1996-03-25 1999-08-24 Shin-Etsu Handotai Co., Ltd. Method of manufacturing semiconductor wafers
US5821166A (en) * 1996-12-12 1998-10-13 Komatsu Electronic Metals Co., Ltd. Method of manufacturing semiconductor wafers
US5920764A (en) * 1997-09-30 1999-07-06 International Business Machines Corporation Process for restoring rejected wafers in line for reuse as new
US6387809B2 (en) * 1998-03-23 2002-05-14 Shin-Etsu Handotai Co., Ltd. Method and apparatus for lapping or polishing semiconductor silicon single crystal wafer
US6284628B1 (en) * 1998-04-23 2001-09-04 Shin-Etsu Handotai Co., Ltd. Method of recycling a delaminated wafer and a silicon wafer used for the recycling
US6372609B1 (en) * 1998-10-16 2002-04-16 Shin-Etsu Handotai Co., Ltd. Method of Fabricating SOI wafer by hydrogen ION delamination method and SOI wafer fabricated by the method
US6276997B1 (en) * 1998-12-23 2001-08-21 Shinhwa Li Use of chemical mechanical polishing and/or poly-vinyl-acetate scrubbing to restore quality of used semiconductor wafers
US20010013507A1 (en) * 1999-02-18 2001-08-16 Hosali Sharath D. Method for CMP of low dielectric constant polymer layers
US6248667B1 (en) * 1999-03-18 2001-06-19 Samsung Electronics Co., Ltd. Chemical mechanical polishing method using double polishing stop layer
US6602806B1 (en) * 1999-08-17 2003-08-05 Applied Materials, Inc. Thermal CVD process for depositing a low dielectric constant carbon-doped silicon oxide film
US20030166380A1 (en) * 2000-02-24 2003-09-04 Shunichi Shibuki Chemical-mechanical polishing device, damascene wiring forming device, and dama-scene wiring forming method
US6483173B2 (en) * 2000-05-26 2002-11-19 Taiwan Semiconductor Manufacturing Company Solution to black diamond film delamination problem
US6358839B1 (en) * 2000-05-26 2002-03-19 Taiwan Semiconductor Manufacturing Company Solution to black diamond film delamination problem
US6406923B1 (en) * 2000-07-31 2002-06-18 Kobe Precision Inc. Process for reclaiming wafer substrates
US6607675B1 (en) * 2000-08-29 2003-08-19 Applied Materials Inc. Method of etching carbon-containing silicon oxide films
US20020139387A1 (en) * 2000-08-31 2002-10-03 Micron Technology, Inc. Compositions for dissolution of low-k dielectric films, and methods of use
US6762132B1 (en) * 2000-08-31 2004-07-13 Micron Technology, Inc. Compositions for dissolution of low-K dielectric films, and methods of use
US20040084412A1 (en) * 2001-05-14 2004-05-06 Carlo Waldfried Plasma ashing process
US6562700B1 (en) * 2001-05-31 2003-05-13 Lsi Logic Corporation Process for removal of resist mask over low k carbon-doped silicon oxide dielectric material of an integrated circuit structure, and removal of residues from via etch and resist mask removal
US20030064607A1 (en) * 2001-09-29 2003-04-03 Jihperng Leu Method for improving nucleation and adhesion of CVD and ALD films deposited onto low-dielectric-constant dielectrics
US6991739B2 (en) * 2001-10-15 2006-01-31 Applied Materials, Inc. Method of photoresist removal in the presence of a dielectric layer having a low k-value
US6693047B1 (en) * 2002-12-19 2004-02-17 Taiwan Semiconductor Manufacturing Co. Ltd. Method for recycling semiconductor wafers having carbon doped low-k dielectric layers
US20040137748A1 (en) * 2003-01-13 2004-07-15 Applied Materials, Inc. Selective etching of low-k dielectrics
US6933239B2 (en) * 2003-01-13 2005-08-23 Applied Materials, Inc. Method for removing conductive residue
US7037351B2 (en) * 2003-07-09 2006-05-02 Dynea Chemicals Oy Non-polymeric organic particles for chemical mechanical planarization
US20050042842A1 (en) * 2003-08-21 2005-02-24 Ryan Lei Germanium on insulator fabrication via epitaxial germanium bonding
US6881590B2 (en) * 2003-08-25 2005-04-19 Powerchip Semiconductor Corp. Re-performable spin-on process
US20060016786A1 (en) * 2004-07-26 2006-01-26 Bing-Yue Tsui Method and apparatus for removing SiC or low k material film
US20060042651A1 (en) * 2004-08-30 2006-03-02 Applied Materials, Inc. Cleaning submicron structures on a semiconductor wafer surface
US20060128151A1 (en) * 2004-12-09 2006-06-15 Ryu Sang-Wook Method for removing photoresist layer and method for forming metal line in semiconductor device using the same
US20060292707A1 (en) * 2005-06-22 2006-12-28 Goodner Michael D Healing detrimental bonds in deposited materials
US20070068900A1 (en) * 2005-09-27 2007-03-29 Lam Research Corporation Apparatus and methods to remove films on bevel edge and backside of wafer

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060240675A1 (en) * 2005-01-18 2006-10-26 Applied Materials, Inc. Removal of silicon oxycarbide from substrates
US20070190790A1 (en) * 2005-01-18 2007-08-16 Applied Materials, Inc. Fine grinding a low-k dielectric layer off a wafer
US7659206B2 (en) 2005-01-18 2010-02-09 Applied Materials, Inc. Removal of silicon oxycarbide from substrates

Also Published As

Publication number Publication date
US20060160364A1 (en) 2006-07-20
US7208325B2 (en) 2007-04-24
TW200703488A (en) 2007-01-16
US20070190799A1 (en) 2007-08-16
US7695982B2 (en) 2010-04-13
US20070190790A1 (en) 2007-08-16
US20070190798A1 (en) 2007-08-16

Similar Documents

Publication Publication Date Title
US7695982B2 (en) Refurbishing a wafer having a low-k dielectric layer
CN107946163B (en) Chemical conversion of yttria to yttrium fluoride and yttrium oxyfluoride to develop corrosion resistant coatings for pretreatment of plasma components
US5507874A (en) Method of cleaning of an electrostatic chuck in plasma reactors
US7749908B2 (en) Edge removal of silicon-on-insulator transfer wafer
JP3247301B2 (en) Reclaimed semiconductor wafer and reclaiming method
US8313635B2 (en) Bare aluminum baffles for resist stripping chambers
US8118946B2 (en) Cleaning process residues from substrate processing chamber components
JP4519199B2 (en) Wafer recycling method and wafer recycling apparatus
JP5266496B2 (en) Chamfer board routing method
WO2008121287A1 (en) Methodology for cleaning of surface metal contamination from electrode assemblies
US6150762A (en) Method of manufacturing cathode for plasma etching apparatus using chemical surface treatment with potassium hydroxide (KOH), and cathode manufactured thereby
US7659206B2 (en) Removal of silicon oxycarbide from substrates
KR102017138B1 (en) Method for Recycling of SiC Product and Recycled SiC Product
US6579154B2 (en) Dry chemical-mechanical polishing method
US20040200804A1 (en) Method of processing quartz member for plasma processing device, quartz member for plasma processing device, and plasma processing device having quartz member for plasma processing device mounted thereon
TW201011805A (en) Chamber plasma-cleaning process scheme
US6537919B1 (en) Process to remove micro-scratches
US5868853A (en) Integrated film etching/chamber cleaning process
AU2016201774B2 (en) Method for reducing the metal contamination on a surface of a substrate
JPH04146620A (en) Method of flattening semiconductor substrate
CN101026096A (en) Removal of silicon oxycarbide from substrates
TW202319563A (en) Methods for preparing void-free coatings for plasma treatment components
WO2021257267A1 (en) Surface fluorination remediation for aluminium oxide electrostatic chucks

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION