US20070009717A1 - Electron-beam treated CDO films - Google Patents

Electron-beam treated CDO films Download PDF

Info

Publication number
US20070009717A1
US20070009717A1 US10/802,991 US80299104A US2007009717A1 US 20070009717 A1 US20070009717 A1 US 20070009717A1 US 80299104 A US80299104 A US 80299104A US 2007009717 A1 US2007009717 A1 US 2007009717A1
Authority
US
United States
Prior art keywords
film
cdo
electron beam
electrons
films
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/802,991
Inventor
Lawrence Wong
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Intel Corp
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corp filed Critical Intel Corp
Priority to US10/802,991 priority Critical patent/US20070009717A1/en
Publication of US20070009717A1 publication Critical patent/US20070009717A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02348Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to UV light
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02351Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to corpuscular radiation, e.g. exposure to electrons, alpha-particles, protons or ions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31633Deposition of carbon doped silicon oxide, e.g. SiOC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76825Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by exposing the layer to particle radiation, e.g. ion implantation, irradiation with UV light or electrons etc.
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24802Discontinuous or differential coating, impregnation or bond [e.g., artwork, printing, retouched photograph, etc.]
    • Y10T428/24917Discontinuous or differential coating, impregnation or bond [e.g., artwork, printing, retouched photograph, etc.] including metal layer

Definitions

  • This application relates to electron beam treated carbon doped oxide (CDO) films.
  • One method to reduce interconnect signal transmission delays is to utilize low dielectric constant (k) materials in advanced microelectronics interlevel dielectric films (ILD).
  • k low dielectric constant
  • ILD interlevel dielectric films
  • a material which inherently possesses a low dielectric constant can be used and/or porosity can be introduced into a film.
  • the thermal-mechanical properties of the material can be degraded.
  • process integration of a highly porous ILD film in an interconnect structure can be a challenge.
  • CMP chemical/mechanical polishing
  • Cu copper
  • the control of the erosion/dishing of the Cu lines determines the amount of shear imposed to these weaker ILD materials.
  • packaging can subject interconnect layers to severe shear and normal forces.
  • FIG. 1 is a schematic cross section of an integrated, circuit with an interconnect and interlevel dielectric film.
  • FIG. 2 is a schematic cross section of the plasma enhanced chemical vapor deposition (PECVD) chamber for producing the low k dielectric CDO films.
  • PECVD plasma enhanced chemical vapor deposition
  • FIG. 3 is a schematic cross section of the electron beam chamber used to cure the low k dielectric CDO films.
  • FIG. 4 is a schematic depiction of the Berkovich indenter used to measure the modulus and hardness of the CDO films.
  • FIG. 5 is a secondary ion mass spectroscopy depth profile data from a sample of electron beam cured CDO film.
  • FIG. 6 is FTIR spectra for electron beam cured CDO film.
  • FIG. 7 is a schematic depiction of the processing method for creating a dual damascene interconnect.
  • an integrated circuit 110 includes a first circuit structure at one level e.g. a top circuit structure 132 and another circuit structure at another level, e.g. a bottom circuit structure 134 .
  • the circuits are separated from each other by an interlevel dielectric 120 and are connected by interlevel interconnects 130 which have been patterned through etchstop layers 133 and 135 .
  • the integrated circuit 110 can have only one or no etch stop layers.
  • etch stop layers initially deposited can be removed during processing or new etchstop layers can be deposited in intermediate processing blocks. Such choices are suited to the particular processing blocks and the particular application for the circuit.
  • the interconnects 130 are conductive, e.g. copper.
  • the interlevel dielectric 120 is a low k carbon doped oxide (CDO) film.
  • PECVD plasma-enhanced chemical vapor deposition
  • a CDO film 240 is deposited on a silicon wafer 245 formed in a reactor chamber 250 which includes gas precursor inlet ports 210 , vacuum outlet ports 230 , an RF voltage source 220 , and a sample stage 260 . Films are deposited as a result of chemical reactions which occur between precursor gases which are introduced into the chamber via port 210 .
  • Voltage supply 220 excites the gases into a plasma.
  • RF radio frequency
  • Byproducts are removed from the chamber 250 via port 230 which is attached to a vacuum line.
  • port 230 controls the residence times of the various compounds and chemical by products in the chamber.
  • the film can be removed from the chamber by transporting the wafer 245 and therefore the film 240 to a loading/unloading chamber of the apparatus (not shown).
  • Typical CDO films are stoichiometrically Si w C x O y H z ; the film structure typically contains numerous methyl groups.
  • the porosity of the film can be controlled by varying deposition parameters such that preservation of methyl groups is maintained and crosslinking of the film is controlled to minimize dipole moments. Superposition of a pulsed plasma is sometimes applied to enhance porosity.
  • the density of the films is preferably in the range less than about 2 g/cm 3 , for example about 1.3 to about 1.4 g/cm 3 .
  • the films will have a dielectric constant from about 2.3 to about 4.0, for example, less than about 3.0.
  • the films may have an open pore structure (i.e. a pore structure characterized by interconnecting pore channels as opposed to single passage closed channels). Open and closed channel pores can be detected and characterized by methods like positronium annihilation lifetime spectroscopy (PALS) described by Gidley et. al., Applied Physics Letters, 76 (2000) p. 1282.
  • PALS positronium annihilation lifetime spectroscopy
  • a suitable PECVD apparatus is an Eagle 10 (manufactured by ASM Japan K.K., Tokyo, Japan). Other deposition tools are manufactured by Applied. Materials, Inc, Trikon Technologies, and Mattson Technologies. A batch type system in which multiple wafers are treated simultaneously is available from Novellus Systems, Inc. Forming dielectric films from various precursor gases is discussed in “Using trimethylsilane to improve safety, throughput and versatility in PECVD processes”, Loboda et. al., Proceedings of the Symposium on Silicon Nitride and Silicon Dioxide Thin Insulating Films, 1997, p. 445-453; “Deposition of low-k dielectric films using trimethylsilane”, Loboda et.
  • the CDO films are cured by exposure to an electron beam to enhance the mechanical properties of the film.
  • a CDO film on a wafer substrate 370 is cured in electron beam chamber 350 which includes a low voltage supply 320 , a high voltage supply 310 , an anode grid 380 , a sample stage 360 , and gas inlet ports 340 and vacuum outlet ports 330 .
  • the substrate 370 is loaded through a loading/unloading chamber (not shown) that is connected to the main reactor chamber 350 .
  • Port 340 allows for gases, typically inert noble gases like He or Ar, to be introduced into the chamber.
  • Port 330 is connected to a vacuum line.
  • the low voltage supply 320 controls the electron beam current by biasing the systems such that a current of electrons flows from the electron source (not shown) to the anode grid 380 .
  • the high voltage supply 310 is used to control the potential of the anode grid 380 .
  • a suitable electron beam apparatus is an ElectronCureTM (1200/1300), available from Electron Vision Corporation (San Diego, Calif.).
  • the energy of the electrons in the beam and the overall flux of electrons are controlled to effect curing of the CDO film.
  • the overall electron flux ie dosage
  • the high voltage supply 320 controls the energy of the electron beam that emerges from the grid (e.g. about 1 keV to about 30 keV).
  • the Kanaya-Okayama range is an indication of the distance into the film that the incident electron beam will travel. Typically, the entire cross section of the CDO film will be exposed to electron flux and thus in preferred embodiments the Kanaya-Okayama range is greater than the thickness of the CDO film. In this manner, Eq. (1) is used to estimate the electron beam energy necessary so that the Kanaya-Okayama range is greater than the film thickness.
  • Electron-beam energies maybe about 3 to about 8 keV with fluxes of about 3000 to about 5000 ⁇ C/cm 2 to enhance the mechanical properties of the CDO films.
  • the enhanced mechanical properties can be measured by the elastic modulus and hardness of the film.
  • electron-beam cured low k CDO films can have a modulus in the range of about 11.5 to about 25 GPa.
  • Electron beam cured low k CDO films can have a hardness in the range of about 1.9 to about 3.3 GPa.
  • CDO hardness and elastic modulus can be at least 50% or 70% harder and at least 50% or 200% stiffer than the hardness and elastic modulus of the CDO film prior to curing.
  • a series of CDO films are prepared on a silicon substrate by CVD.
  • the CVD apparatus is an Eagle 10 (manufactured by ASM Japan K.K., Tokyo, Japan). (This description is for a typical 200 mm wafer process; however, this can be scaled to a 300 mm wafer process.)
  • a 200 mm Si wafer is placed into the CVD chamber.
  • a mixture of gases is introduced into chamber.
  • the mixture is typically an organosilane precursor (such as Z3MS available from Dow Corning, Midland, Mich., 4MS available from ATMI, San Jose, Calif., DMDMOS available from Schumacher, Carlsbad, Calif.
  • the wafer is exposed to a RF excitation power with a power density range from about 0.3 W/cm 2 to about 3 W/cm 2 at a temperature range from about 300° C. to about 450° C.
  • Manufacturable film deposition rates are typically in the 300 nm/min to 1000 nm/min range. Typical film thicknesses are in the range of about 300 nm to 1600 nm.
  • the films are exposed to either ultraviolet UV radiation or to an electron beam.
  • the UV exposure is carried out using a 200 mm UV radiation tool, PCUP, manufactured by Axcelis (Rockville, Md.).
  • PCUP 200 mm UV radiation tool
  • the apparatus utilizes a UV bulb.
  • the bulbs H-Mod, D-Mod, and HL were used for the curing of the three respective samples.
  • the samples are maintained in an Argon atmosphere with a pressure of about 1 atmosphere.
  • the electron beam exposures are carried out using an electron beam apparatus such as the ElectronCureTM (1200/1300) manufactured by Electron Vision.
  • the low voltage is maintained to extract a beam current and direct the current to the substrate.
  • the high voltage supply maintains the cathode at about 10 kV to about 30 kV (typical) and the low voltage anode grid typically operates from about 10 V to about 200 V to produce an electron flux.
  • the product of exposure time and the beam current controls the overall flux to be in the range from about 3000 ⁇ C/cm 2 to about 5000 ⁇ C/cm 2 .
  • the chamber atmosphere is a He or Ar, atmosphere (non-reactive) with a pressure of about 8 mTorr to about 50 mTorr and room temperature (heating of CDO films is optional).
  • Table 1 is a summary of the treatment conditions of the various CDO films. Wafer ID Treatment Conditions A H-Mod bulb UV radiation, Ar flow B D-Mod bulb UV radiation, Ar flow C HL bulb UV radiation, Ar flow D Electron beam 3 keV, cure 3000 ⁇ C/cm ⁇ circumflex over ( ) ⁇ 2 E Electron beam 8 keV, cure 3000 ⁇ C/cm ⁇ circumflex over ( ) ⁇ 2 F Electron beam 3 keV, cure 5000 ⁇ C/cm ⁇ circumflex over ( ) ⁇ 2
  • the modulus and hardness of a CDO film 470 is tested using a Berkovich indenter.
  • MTS Berkovich indenter XP with a Berkovich diamond tip available from MTS (formerly Nano Instruments Innovation Center), Oak Ridge, Tenn. Also see Method for Contact Determination of the Elastic Stiffness of Contact between Two Bodies, U.S. Pat. No. 4,848,141.
  • the Berkovich indenter 400 has a 3-sided diamond tip 490 with 65.3 degrees face angles and an indenter head 480 with a magnet and coil unit 450 , a programmable current source 440 , a capacitive displacement gage 430 , voltmeters 435 and 445 , a motorized stage 460 , stage controllers 420 , and a computer 410 .
  • the displacement of the indenter tip 490 is measured by the capacitive displacement gage 430 and the load on the indenter tip is measured by the coil and magnet 450 coupled to the programmable current source 440 .
  • Both the current source 440 and the capacitive displacement gage output the data as a voltage which is measured by the voltmeters 435 and 445 .
  • the computer 410 records the output voltages of voltmeters 435 and 445 to produce a load vs. displacement data set.
  • computer 410 controls the stage controller 420 which drives the motorized stage 460 .
  • both the modulus and hardness of the films is calculated. Fifteen indents are performed on each sample in continuous stiffness mode. This means that the indenter is always in contact with the film. Hardness and modulus as a function of indenter contact depth is calculated for each indent performed. These 15 data curves are then averaged together to give a final, averaged hardness and modulus curve as a function of indenter depth for the sample.
  • the contact depth for the modulus measurements is about 100 nm to about 250 nm.
  • the contact depth for the hardness measurements is about 400 nm to about 600 nm.
  • the cured films can also be characterized using various spectroscopies.
  • the electron beam cured CDO films are characterized by both Fourier transform infrared spectroscopy (FTIR) and secondary ion mass spectroscopy (SIMS).
  • FTIR Fourier transform infrared spectroscopy
  • SIMS secondary ion mass spectroscopy
  • FIG. 5 Sims data is shown for an electron beam cured CDO film.
  • FIG. 6 FTIR spectra are shown for an electron beam cured CDO film.
  • a method 700 is outlined by which the integrated circuit of FIG. 1 may be produced.
  • the CDO ILD film is formed.
  • the CDO ILD is cured by exposure to an electron beam.
  • a dual damascene structure is patterned into the integrated circuit typically using reactive ion etching (RIE).
  • RIE reactive ion etching
  • the damascene structure and the top layer of the integrated circuit is filled with a metal using metal deposition techniques.
  • the excess metal is removed by CMP.
  • This CMP block is one of the blocks where the mechanical properties of the ILD film must be such that the ILD can withstand the strains imposed by the processing block.
  • method 700 can include depositing etchstop or hardmask layers.
  • method 700 can include depositing a hardmask layer that is patterned and aids in forming the structures of block 730 .
  • the hardmask layer is removed during the CMP of 750 .
  • the hardmask is not removed and can serve as a layer upon which other structures can be deposited or in other embodiments, the hardmask is left to act as a protective layer.

Abstract

A method of forming an integrated circuit including forming a dielectric film is described. The forming of the dielectric film includes: providing a substrate, providing a carbon doped oxide film on the substrate, and treating the carbon doped oxide film with an electron beam. The carbon doped oxide film can be provided by chemical vapor deposition.

Description

    TECHNICAL FIELD
  • This application relates to electron beam treated carbon doped oxide (CDO) films.
  • BACKGROUND
  • One method to reduce interconnect signal transmission delays (RC delays) is to utilize low dielectric constant (k) materials in advanced microelectronics interlevel dielectric films (ILD). To achieve low dielectric constants, a material which inherently possesses a low dielectric constant can be used and/or porosity can be introduced into a film. By increasing the film void fraction or porosity, the thermal-mechanical properties of the material can be degraded. Because of the diminished mechanical properties, process integration of a highly porous ILD film in an interconnect structure can be a challenge. For instance, the application of chemical/mechanical polishing (CMP) used to produce copper (Cu) damascene structures can induce mechanical failures that result in delamination or tearing of the underlying ILD films. The control of the erosion/dishing of the Cu lines determines the amount of shear imposed to these weaker ILD materials. Similarly, packaging can subject interconnect layers to severe shear and normal forces.
  • DESCRIPTION OF DRAWINGS
  • FIG. 1 is a schematic cross section of an integrated, circuit with an interconnect and interlevel dielectric film.
  • FIG. 2 is a schematic cross section of the plasma enhanced chemical vapor deposition (PECVD) chamber for producing the low k dielectric CDO films.
  • FIG. 3 is a schematic cross section of the electron beam chamber used to cure the low k dielectric CDO films.
  • FIG. 4 is a schematic depiction of the Berkovich indenter used to measure the modulus and hardness of the CDO films.
  • FIG. 5 is a secondary ion mass spectroscopy depth profile data from a sample of electron beam cured CDO film.
  • FIG. 6 is FTIR spectra for electron beam cured CDO film.
  • FIG. 7 is a schematic depiction of the processing method for creating a dual damascene interconnect.
  • Like reference symbols in the various drawings indicate like elements.
  • DETAILED DESCRIPTION
  • Referring to FIG. 1, an integrated circuit 110 includes a first circuit structure at one level e.g. a top circuit structure 132 and another circuit structure at another level, e.g. a bottom circuit structure 134. The circuits are separated from each other by an interlevel dielectric 120 and are connected by interlevel interconnects 130 which have been patterned through etchstop layers 133 and 135. In some embodiments, the integrated circuit 110 can have only one or no etch stop layers. Furthermore as is discussed below, etch stop layers initially deposited can be removed during processing or new etchstop layers can be deposited in intermediate processing blocks. Such choices are suited to the particular processing blocks and the particular application for the circuit. The interconnects 130 are conductive, e.g. copper. The interlevel dielectric 120 is a low k carbon doped oxide (CDO) film.
  • Referring to FIG. 2, one method by which CDO ILD films can be produced is plasma-enhanced chemical vapor deposition (PECVD). In PECVD, a CDO film 240 is deposited on a silicon wafer 245 formed in a reactor chamber 250 which includes gas precursor inlet ports 210, vacuum outlet ports 230, an RF voltage source 220, and a sample stage 260. Films are deposited as a result of chemical reactions which occur between precursor gases which are introduced into the chamber via port 210. Voltage supply 220 excites the gases into a plasma. By varying the radio frequency (RF) excitation energy and by varying the temperature of the chamber, chemical reactions between the precursors are induced and the resulting products form the desired deposited film 240. Byproducts are removed from the chamber 250 via port 230 which is attached to a vacuum line. In addition, port 230 controls the residence times of the various compounds and chemical by products in the chamber. After the film has been deposited, the film can be removed from the chamber by transporting the wafer 245 and therefore the film 240 to a loading/unloading chamber of the apparatus (not shown). Typical CDO films are stoichiometrically SiwCxOyHz; the film structure typically contains numerous methyl groups. The porosity of the film can be controlled by varying deposition parameters such that preservation of methyl groups is maintained and crosslinking of the film is controlled to minimize dipole moments. Superposition of a pulsed plasma is sometimes applied to enhance porosity. The density of the films is preferably in the range less than about 2 g/cm3, for example about 1.3 to about 1.4 g/cm3. Typically the films will have a dielectric constant from about 2.3 to about 4.0, for example, less than about 3.0. The films may have an open pore structure (i.e. a pore structure characterized by interconnecting pore channels as opposed to single passage closed channels). Open and closed channel pores can be detected and characterized by methods like positronium annihilation lifetime spectroscopy (PALS) described by Gidley et. al., Applied Physics Letters, 76 (2000) p. 1282. A suitable PECVD apparatus is an Eagle 10 (manufactured by ASM Japan K.K., Tokyo, Japan). Other deposition tools are manufactured by Applied. Materials, Inc, Trikon Technologies, and Mattson Technologies. A batch type system in which multiple wafers are treated simultaneously is available from Novellus Systems, Inc. Forming dielectric films from various precursor gases is discussed in “Using trimethylsilane to improve safety, throughput and versatility in PECVD processes”, Loboda et. al., Proceedings of the Symposium on Silicon Nitride and Silicon Dioxide Thin Insulating Films, 1997, p. 445-453; “Deposition of low-k dielectric films using trimethylsilane”, Loboda et. al., Proceedings of the Symposia on Electrochemical Processing in ULSI Fabrication and Interconnect and Contact Metallization: Materials, Processes, and Reliability, 1998, p. 145-152; and “Low Dielectric Constant Carbon Containing SiO2 Films Deposited by PECVD Techniques Using a Novel CVD Precursor”, Sugahara et. al., International dielectrics for ULSI multilevel interconnection conference, 1997, p. 19-25.
  • Referring to FIG. 3, the CDO films are cured by exposure to an electron beam to enhance the mechanical properties of the film. A CDO film on a wafer substrate 370 is cured in electron beam chamber 350 which includes a low voltage supply 320, a high voltage supply 310, an anode grid 380, a sample stage 360, and gas inlet ports 340 and vacuum outlet ports 330. The substrate 370 is loaded through a loading/unloading chamber (not shown) that is connected to the main reactor chamber 350. Port 340 allows for gases, typically inert noble gases like He or Ar, to be introduced into the chamber. Port 330 is connected to a vacuum line. The low voltage supply 320 controls the electron beam current by biasing the systems such that a current of electrons flows from the electron source (not shown) to the anode grid 380. The high voltage supply 310 is used to control the potential of the anode grid 380. A suitable electron beam apparatus is an ElectronCure™ (1200/1300), available from Electron Vision Corporation (San Diego, Calif.).
  • The energy of the electrons in the beam and the overall flux of electrons are controlled to effect curing of the CDO film. By controlling the electron beam current and the time of the exposure, the overall electron flux (ie dosage) is controlled. The high voltage supply 320 controls the energy of the electron beam that emerges from the grid (e.g. about 1 keV to about 30 keV).
  • The electron beam energy determines the elastic scattering length of the electrons incident on a material. This distance, r, is know as the Kanaya-Okayama range, and it is given by r = 2.76 × 10 - 2 AE 0 1.67 ρ Z 0.89 . ( 1 )
  • where A is the atomic mass, Z is the atomic number, ρ is the density, and E0 is the accelerating voltage for the electrons (see Kanaya K. and Okayama S., Journal of Physics D. Applied Physics. 5:43 (1972)). The Kanaya-Okayama range is an indication of the distance into the film that the incident electron beam will travel. Typically, the entire cross section of the CDO film will be exposed to electron flux and thus in preferred embodiments the Kanaya-Okayama range is greater than the thickness of the CDO film. In this manner, Eq. (1) is used to estimate the electron beam energy necessary so that the Kanaya-Okayama range is greater than the film thickness.
  • Electron-beam energies maybe about 3 to about 8 keV with fluxes of about 3000 to about 5000 μC/cm2 to enhance the mechanical properties of the CDO films. The enhanced mechanical properties can be measured by the elastic modulus and hardness of the film. For example, electron-beam cured low k CDO films can have a modulus in the range of about 11.5 to about 25 GPa. Electron beam cured low k CDO films can have a hardness in the range of about 1.9 to about 3.3 GPa. CDO hardness and elastic modulus can be at least 50% or 70% harder and at least 50% or 200% stiffer than the hardness and elastic modulus of the CDO film prior to curing.
  • EXAMPLE 1
  • A series of CDO films are prepared on a silicon substrate by CVD. The CVD apparatus is an Eagle 10 (manufactured by ASM Japan K.K., Tokyo, Japan). (This description is for a typical 200 mm wafer process; however, this can be scaled to a 300 mm wafer process.) A 200 mm Si wafer is placed into the CVD chamber. A mixture of gases is introduced into chamber. The mixture is typically an organosilane precursor (such as Z3MS available from Dow Corning, Midland, Mich., 4MS available from ATMI, San Jose, Calif., DMDMOS available from Schumacher, Carlsbad, Calif. or Trichemical Laboratory Inc., Yamanashi, Japan) and helium or argon operated at a pressure range from about 200 Pa to about 2000 Pa. The wafer is exposed to a RF excitation power with a power density range from about 0.3 W/cm2 to about 3 W/cm2 at a temperature range from about 300° C. to about 450° C. Manufacturable film deposition rates are typically in the 300 nm/min to 1000 nm/min range. Typical film thicknesses are in the range of about 300 nm to 1600 nm. After exposure, the wafers are removed from the CVD chamber.
  • The films are exposed to either ultraviolet UV radiation or to an electron beam. The UV exposure is carried out using a 200 mm UV radiation tool, PCUP, manufactured by Axcelis (Rockville, Md.). The apparatus utilizes a UV bulb. The bulbs H-Mod, D-Mod, and HL were used for the curing of the three respective samples. During the exposure, the samples are maintained in an Argon atmosphere with a pressure of about 1 atmosphere.
  • The electron beam exposures are carried out using an electron beam apparatus such as the ElectronCure™ (1200/1300) manufactured by Electron Vision. For the exposure, the low voltage is maintained to extract a beam current and direct the current to the substrate. The high voltage supply maintains the cathode at about 10 kV to about 30 kV (typical) and the low voltage anode grid typically operates from about 10 V to about 200 V to produce an electron flux. The product of exposure time and the beam current controls the overall flux to be in the range from about 3000 μC/cm2 to about 5000 μC/cm2. During the exposure, the chamber atmosphere is a He or Ar, atmosphere (non-reactive) with a pressure of about 8 mTorr to about 50 mTorr and room temperature (heating of CDO films is optional).
  • Table 1 is a summary of the treatment conditions of the various CDO films.
    Wafer ID Treatment Conditions
    A H-Mod bulb UV radiation,
    Ar flow
    B D-Mod bulb UV radiation,
    Ar flow
    C HL bulb UV radiation,
    Ar flow
    D Electron beam 3 keV,
    cure 3000 μC/cm{circumflex over ( )}2
    E Electron beam 8 keV,
    cure 3000 μC/cm{circumflex over ( )}2
    F Electron beam 3 keV,
    cure 5000 μC/cm{circumflex over ( )}2
  • Referring to FIG. 4, the modulus and hardness of a CDO film 470 is tested using a Berkovich indenter. (MTS Nanoindenter XP with a Berkovich diamond tip available from MTS (formerly Nano Instruments Innovation Center), Oak Ridge, Tenn. Also see Method for Contact Determination of the Elastic Stiffness of Contact between Two Bodies, U.S. Pat. No. 4,848,141.)
  • Briefly, the Berkovich indenter 400 has a 3-sided diamond tip 490 with 65.3 degrees face angles and an indenter head 480 with a magnet and coil unit 450, a programmable current source 440, a capacitive displacement gage 430, voltmeters 435 and 445, a motorized stage 460, stage controllers 420, and a computer 410. The displacement of the indenter tip 490 is measured by the capacitive displacement gage 430 and the load on the indenter tip is measured by the coil and magnet 450 coupled to the programmable current source 440. Both the current source 440 and the capacitive displacement gage output the data as a voltage which is measured by the voltmeters 435 and 445. The computer 410 records the output voltages of voltmeters 435 and 445 to produce a load vs. displacement data set. Furthermore, computer 410 controls the stage controller 420 which drives the motorized stage 460.
  • From the displacement vs. load data generated from the indenter, both the modulus and hardness of the films is calculated. Fifteen indents are performed on each sample in continuous stiffness mode. This means that the indenter is always in contact with the film. Hardness and modulus as a function of indenter contact depth is calculated for each indent performed. These 15 data curves are then averaged together to give a final, averaged hardness and modulus curve as a function of indenter depth for the sample.
  • Briefly, elastic modulus (E) and hardness (H) are calculated using the following formulas: E r = π 2 S A and ( 2 ) H = P max A ( 3 )
    where S is the stiffness, P is the indenter load, and A is the indenter contact area. From the reduced modulus, the modulus E is defined as
    E=E R(1−ν 2)   (4)
    where ν is Poisson's ratio for the sample. Poisson's ratio is estimated to be 0.25 for low k materials (the value of 0.17 is for SiO2).
  • Values reported for hardness and modulus are taken from a plateau region in the H/E plots. For modulus, this is usually in the first 10% of the film. For hardness, this is usually in the first 20% of the film. Values are taken near the surface due to increasing substrate effects as the indenter tip moves deeper into the film. The hardness and modulus numbers reported are averages over this plateau contact depth range. The standard deviation reported reflects the variation along the displacement range. This is normally less than 10%.
  • Using the indenter described, the CDO films from Table 1 are tested. The contact depth for the modulus measurements is about 100 nm to about 250 nm. The contact depth for the hardness measurements is about 400 nm to about 600 nm.
  • Results for hardness and elastic,modulus are summarized in Table 2.
    Contact Hardness Contact
    Wafer ID Modulus (GPa) Depth (nm) (GPa) Depth (nm)
    UV cured CDO films
    A  8.54 ± 0.90 100-250 1.69 ± 0.08 400-600
    B  9.47 ± 0.72 100-250 1.80 ± 0.06 400-600
    C 10.15 ± 0.63 100-250 1.88 ± 0.06 400-600
    Electron Beam cured CDO films
    D 12.78 ± 0.40 100-250 1.91 ± 0.02 400-600
    E 22.78 ± 0.41 100-250 3.08 ± 0.05 400-600
    F 11.90 ± 0.24 100-250 1.80 ± 0.04 400-600
  • The cured films can also be characterized using various spectroscopies. The electron beam cured CDO films are characterized by both Fourier transform infrared spectroscopy (FTIR) and secondary ion mass spectroscopy (SIMS). Referring to FIG. 5, Sims data is shown for an electron beam cured CDO film. Referring to FIG. 6, FTIR spectra are shown for an electron beam cured CDO film.
  • Referring to FIG. 7, a method 700 is outlined by which the integrated circuit of FIG. 1 may be produced. In 710, the CDO ILD film is formed. In 720, the CDO ILD is cured by exposure to an electron beam. In 730, a dual damascene structure is patterned into the integrated circuit typically using reactive ion etching (RIE). In 740, the damascene structure and the top layer of the integrated circuit is filled with a metal using metal deposition techniques. In 750, the excess metal is removed by CMP. This CMP block is one of the blocks where the mechanical properties of the ILD film must be such that the ILD can withstand the strains imposed by the processing block.
  • As described above, in some embodiments method 700 can include depositing etchstop or hardmask layers. For example, method 700 can include depositing a hardmask layer that is patterned and aids in forming the structures of block 730. In some embodiments, the hardmask layer is removed during the CMP of 750. In yet other embodiments, the hardmask is not removed and can serve as a layer upon which other structures can be deposited or in other embodiments, the hardmask is left to act as a protective layer.

Claims (19)

1. A method of forming an integrated circuit, including forming a dielectric film, the method comprising:
forming a carbon doped oxide (CDO) film on a substrate; and
treating the CDO film with an electron beam.
2. The method of claim 1, wherein an energy of electrons in the electron beam is about 3 keV or greater.
3. The method of claim 1, wherein an energy of electrons in the electron beam is about 8 keV or greater.
4. The method of claim 1, wherein an energy of electrons in the electron beam is determined such that a predicted Kanaya-Okayama range of the electrons exceeds a thickness of the CDO film.
5. The method of claim 1, further comprising:
preparing the CDO film on the substrate by using chemical vapor deposition.
6. The method of claim 1, wherein the dielectric film is an interlevel dielectric film, and the method further comprises:
preparing a damascene structure in the CDO film.
7. The method of claim 6, further comprising:
filling the damascene structure with a metal.
8. The method claim 7, further comprising:
removing excess metal by using chemical mechanical polishing (CMP).
9. The method of claim 8, wherein the metal comprises copper.
10-28. (canceled)
29. A method of forming a dielectric, comprising:
forming a carbon doped oxide (CDO) film on a substrate; and
treating the CDO film with an electron beam.
30. The method of claim 29, wherein an energy of electrons in the electron beam is about 3 keV or greater.
31. The method of claim 29, wherein an energy of electrons in the electron beam is about 8 keV or greater.
32. The method of claim 29, wherein an energy of electrons in the electron beam is determined such that a predicted Kanaya-Okayama range of the electrons exceeds a thickness of the CDO film.
33. The method of claim 29, further comprising:
preparing the CDO film on the substrate by using chemical vapor deposition.
34. The method of claim 29, wherein the dielectric comprises an interlevel dielectric film, and the method further comprises:
preparing a damascene structure in the CDO film.
35. The method of claim 34, further comprising:
filling the damascene structure with a metal.
36. The method claim 35, further comprising:
removing excess metal by using chemical mechanical polishing (CMP).
37. The method of claim 36, wherein the metal comprises copper.
US10/802,991 2002-05-30 2004-03-16 Electron-beam treated CDO films Abandoned US20070009717A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US10/802,991 US20070009717A1 (en) 2002-05-30 2004-03-16 Electron-beam treated CDO films

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/161,104 US6734533B2 (en) 2002-05-30 2002-05-30 Electron-beam treated CDO films
US10/802,991 US20070009717A1 (en) 2002-05-30 2004-03-16 Electron-beam treated CDO films

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/161,104 Division US6734533B2 (en) 2002-05-30 2002-05-30 Electron-beam treated CDO films

Publications (1)

Publication Number Publication Date
US20070009717A1 true US20070009717A1 (en) 2007-01-11

Family

ID=29583351

Family Applications (3)

Application Number Title Priority Date Filing Date
US10/161,104 Expired - Lifetime US6734533B2 (en) 2002-05-30 2002-05-30 Electron-beam treated CDO films
US10/802,331 Abandoned US20070007628A1 (en) 2002-05-30 2004-03-16 Electron-beam treated CDO films
US10/802,991 Abandoned US20070009717A1 (en) 2002-05-30 2004-03-16 Electron-beam treated CDO films

Family Applications Before (2)

Application Number Title Priority Date Filing Date
US10/161,104 Expired - Lifetime US6734533B2 (en) 2002-05-30 2002-05-30 Electron-beam treated CDO films
US10/802,331 Abandoned US20070007628A1 (en) 2002-05-30 2004-03-16 Electron-beam treated CDO films

Country Status (1)

Country Link
US (3) US6734533B2 (en)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080150053A1 (en) * 2006-12-22 2008-06-26 Cheon Man Shim Image Sensor and Fabricating Method Thereof
US8611044B2 (en) 2011-06-02 2013-12-17 International Business Machines Corporation Magnetic head having separate protection for read transducers and write transducers
US8611043B2 (en) 2011-06-02 2013-12-17 International Business Machines Corporation Magnetic head having polycrystalline coating
US8780496B2 (en) 2012-09-21 2014-07-15 International Business Machines Corporation Device such as magnetic head having hardened dielectric portions
US8837082B2 (en) 2012-04-27 2014-09-16 International Business Machines Corporation Magnetic recording head having quilted-type coating
US9036297B2 (en) 2012-08-31 2015-05-19 International Business Machines Corporation Magnetic recording head having protected reader sensors and near zero recession writer poles
US20180304628A1 (en) * 2015-09-28 2018-10-25 Kyocera Corporation Nozzle plate, liquid ejection head including nozzle plate, and recording device

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030211244A1 (en) * 2002-04-11 2003-11-13 Applied Materials, Inc. Reacting an organosilicon compound with an oxidizing gas to form an ultra low k dielectric
US6815373B2 (en) * 2002-04-16 2004-11-09 Applied Materials Inc. Use of cyclic siloxanes for hardness improvement of low k dielectric films
US7060330B2 (en) * 2002-05-08 2006-06-13 Applied Materials, Inc. Method for forming ultra low k films using electron beam
US7056560B2 (en) * 2002-05-08 2006-06-06 Applies Materials Inc. Ultra low dielectric materials based on hybrid system of linear silicon precursor and organic porogen by plasma-enhanced chemical vapor deposition (PECVD)
US20040101632A1 (en) * 2002-11-22 2004-05-27 Applied Materials, Inc. Method for curing low dielectric constant film by electron beam
US6936551B2 (en) * 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
US6740579B2 (en) * 2002-06-18 2004-05-25 Intel Corporation Method of making a semiconductor device that includes a dual damascene interconnect
US6964919B2 (en) * 2002-08-12 2005-11-15 Intel Corporation Low-k dielectric film with good mechanical strength
US6897163B2 (en) * 2003-01-31 2005-05-24 Applied Materials, Inc. Method for depositing a low dielectric constant film
US6913992B2 (en) 2003-03-07 2005-07-05 Applied Materials, Inc. Method of modifying interlayer adhesion
US20040253378A1 (en) * 2003-06-12 2004-12-16 Applied Materials, Inc. Stress reduction of SIOC low k film by addition of alkylenes to OMCTS based processes
US20050037153A1 (en) * 2003-08-14 2005-02-17 Applied Materials, Inc. Stress reduction of sioc low k films
US7253524B2 (en) * 2003-11-25 2007-08-07 Taiwan Semiconductor Manufacturing Co., Ltd. Copper interconnects
US7030041B2 (en) * 2004-03-15 2006-04-18 Applied Materials Inc. Adhesion improvement for low k dielectrics
US20050214457A1 (en) * 2004-03-29 2005-09-29 Applied Materials, Inc. Deposition of low dielectric constant films by N2O addition
US20060125102A1 (en) * 2004-12-15 2006-06-15 Zhen-Cheng Wu Back end of line integration scheme
US7297376B1 (en) 2006-07-07 2007-11-20 Applied Materials, Inc. Method to reduce gas-phase reactions in a PECVD process with silicon and organic precursors to deposit defect-free initial layers
WO2021050659A1 (en) * 2019-09-13 2021-03-18 Versum Materials Us, Llc Monoalkoxysilanes and dense organosilica films made therefrom

Citations (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4027052A (en) * 1973-05-09 1977-05-31 Bell Telephone Laboratories, Incorporated Fabrication of iron oxide pattern
US4848141A (en) * 1988-04-06 1989-07-18 Oliver Warren C Method for continuous determination of the elastic stiffness of contact between two bodies
US6132814A (en) * 1995-05-08 2000-10-17 Electron Vision Corporation Method for curing spin-on-glass film utilizing electron beam radiation
US6417098B1 (en) * 1999-12-09 2002-07-09 Intel Corporation Enhanced surface modification of low K carbon-doped oxide
US6432811B1 (en) * 2000-12-20 2002-08-13 Intel Corporation Method of forming structural reinforcement of highly porous low k dielectric films by Cu diffusion barrier structures
US6605549B2 (en) * 2001-09-29 2003-08-12 Intel Corporation Method for improving nucleation and adhesion of CVD and ALD films deposited onto low-dielectric-constant dielectrics
US6703324B2 (en) * 2000-12-21 2004-03-09 Intel Corporation Mechanically reinforced highly porous low dielectric constant films
US6746969B2 (en) * 2000-10-20 2004-06-08 Kabushiki Kaisha Toshiba Method of manufacturing semiconductor device
US6867125B2 (en) * 2002-09-26 2005-03-15 Intel Corporation Creating air gap in multi-level metal interconnects using electron beam to remove sacrificial material
US6936551B2 (en) * 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
US6984581B2 (en) * 2000-12-21 2006-01-10 Intel Corporation Structural reinforcement of highly porous low k dielectric films by ILD posts
US6998216B2 (en) * 2002-09-24 2006-02-14 Intel Corporation Mechanically robust interconnect for low-k dielectric material using post treatment
US20060043591A1 (en) * 2004-08-24 2006-03-02 Applied Materials, Inc. Low temperature process to produce low-K dielectrics with low stress by plasma-enhanced chemical vapor deposition (PECVD)
US7030468B2 (en) * 2004-01-16 2006-04-18 International Business Machines Corporation Low k and ultra low k SiCOH dielectric films and methods to form the same
US20060171653A1 (en) * 2005-02-01 2006-08-03 Applied Materials, Inc. Multi step ebeam process for modifying dielectric materials
US20070059922A1 (en) * 2005-09-13 2007-03-15 International Business Machines Corporation Post-etch removal of fluorocarbon-based residues from a hybrid dielectric structure

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6340628B1 (en) * 2000-12-12 2002-01-22 Novellus Systems, Inc. Method to deposit SiOCH films with dielectric constant below 3.0
US6828884B2 (en) * 2001-05-09 2004-12-07 Science Applications International Corporation Phase change control devices and circuits for guiding electromagnetic waves employing phase change control devices
US6507061B1 (en) * 2001-08-31 2003-01-14 Intel Corporation Multiple layer phase-change memory
WO2004008535A1 (en) * 2002-07-11 2004-01-22 Matsushita Electric Industrial Co., Ltd. Nonvolatile memory and its manufacturing method
US7892648B2 (en) * 2005-01-21 2011-02-22 International Business Machines Corporation SiCOH dielectric material with improved toughness and improved Si-C bonding

Patent Citations (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4027052A (en) * 1973-05-09 1977-05-31 Bell Telephone Laboratories, Incorporated Fabrication of iron oxide pattern
US4848141A (en) * 1988-04-06 1989-07-18 Oliver Warren C Method for continuous determination of the elastic stiffness of contact between two bodies
US6132814A (en) * 1995-05-08 2000-10-17 Electron Vision Corporation Method for curing spin-on-glass film utilizing electron beam radiation
US6417098B1 (en) * 1999-12-09 2002-07-09 Intel Corporation Enhanced surface modification of low K carbon-doped oxide
US6746969B2 (en) * 2000-10-20 2004-06-08 Kabushiki Kaisha Toshiba Method of manufacturing semiconductor device
US6432811B1 (en) * 2000-12-20 2002-08-13 Intel Corporation Method of forming structural reinforcement of highly porous low k dielectric films by Cu diffusion barrier structures
US6703324B2 (en) * 2000-12-21 2004-03-09 Intel Corporation Mechanically reinforced highly porous low dielectric constant films
US6984581B2 (en) * 2000-12-21 2006-01-10 Intel Corporation Structural reinforcement of highly porous low k dielectric films by ILD posts
US6605549B2 (en) * 2001-09-29 2003-08-12 Intel Corporation Method for improving nucleation and adhesion of CVD and ALD films deposited onto low-dielectric-constant dielectrics
US6936551B2 (en) * 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
US6998216B2 (en) * 2002-09-24 2006-02-14 Intel Corporation Mechanically robust interconnect for low-k dielectric material using post treatment
US6867125B2 (en) * 2002-09-26 2005-03-15 Intel Corporation Creating air gap in multi-level metal interconnects using electron beam to remove sacrificial material
US7030468B2 (en) * 2004-01-16 2006-04-18 International Business Machines Corporation Low k and ultra low k SiCOH dielectric films and methods to form the same
US20060043591A1 (en) * 2004-08-24 2006-03-02 Applied Materials, Inc. Low temperature process to produce low-K dielectrics with low stress by plasma-enhanced chemical vapor deposition (PECVD)
US20060171653A1 (en) * 2005-02-01 2006-08-03 Applied Materials, Inc. Multi step ebeam process for modifying dielectric materials
US20070059922A1 (en) * 2005-09-13 2007-03-15 International Business Machines Corporation Post-etch removal of fluorocarbon-based residues from a hybrid dielectric structure

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080150053A1 (en) * 2006-12-22 2008-06-26 Cheon Man Shim Image Sensor and Fabricating Method Thereof
US7883928B2 (en) * 2006-12-22 2011-02-08 Dongbu Hitek Co., Ltd. Image sensor and fabricating method thereof
US8611044B2 (en) 2011-06-02 2013-12-17 International Business Machines Corporation Magnetic head having separate protection for read transducers and write transducers
US8611043B2 (en) 2011-06-02 2013-12-17 International Business Machines Corporation Magnetic head having polycrystalline coating
US9053723B2 (en) 2012-04-27 2015-06-09 International Business Machines Corporation Magnetic recording head having quilted-type coating
US8837082B2 (en) 2012-04-27 2014-09-16 International Business Machines Corporation Magnetic recording head having quilted-type coating
US9036297B2 (en) 2012-08-31 2015-05-19 International Business Machines Corporation Magnetic recording head having protected reader sensors and near zero recession writer poles
US9343097B2 (en) 2012-08-31 2016-05-17 International Business Machines Corporation Method of forming magnetic recording head having protected reader sensors and near zero recession writer poles
US9449620B2 (en) 2012-08-31 2016-09-20 International Business Machines Corporation Magnetic recording head having protected reader sensors and near zero recession writer poles
US9659583B2 (en) 2012-08-31 2017-05-23 International Business Machines Corporation Magnetic recording head having protected reader sensors and near zero recession writer poles
US10199058B2 (en) 2012-08-31 2019-02-05 International Business Machines Corporation Method of forming magnetic recording head having protected reader sensors and near zero recession writer poles
US8780496B2 (en) 2012-09-21 2014-07-15 International Business Machines Corporation Device such as magnetic head having hardened dielectric portions
US20180304628A1 (en) * 2015-09-28 2018-10-25 Kyocera Corporation Nozzle plate, liquid ejection head including nozzle plate, and recording device

Also Published As

Publication number Publication date
US6734533B2 (en) 2004-05-11
US20070007628A1 (en) 2007-01-11
US20030224593A1 (en) 2003-12-04

Similar Documents

Publication Publication Date Title
US6734533B2 (en) Electron-beam treated CDO films
US7030468B2 (en) Low k and ultra low k SiCOH dielectric films and methods to form the same
US7309514B2 (en) Electron beam modification of CVD deposited films, forming low dielectric constant materials
US7851384B2 (en) Method to mitigate impact of UV and E-beam exposure on semiconductor device film properties by use of a bilayer film
US7202564B2 (en) Advanced low dielectric constant organosilicon plasma chemical vapor deposition films
US7094713B1 (en) Methods for improving the cracking resistance of low-k dielectric materials
US7335980B2 (en) Hardmask for reliability of silicon based dielectrics
US6669858B2 (en) Integrated low k dielectrics and etch stops
US7312524B2 (en) Method for fabricating an ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and electronic device made
JP3090476B2 (en) Method of forming silicon fluoride oxide layer using plasma enhanced chemical vapor deposition
US5869149A (en) Method for preparing nitrogen surface treated fluorine doped silicon dioxide films
JP5016067B2 (en) Semiconductor device structure including porous SiCOH film and manufacturing method thereof
US7381662B1 (en) Methods for improving the cracking resistance of low-k dielectric materials
US7132171B2 (en) Low dielectric constant insulating film and method of forming the same
US7622400B1 (en) Method for improving mechanical properties of low dielectric constant materials
US7223670B2 (en) DUV laser annealing and stabilization of SiCOH films
US20080093709A1 (en) Manufacturing method of semiconductor device and semiconductor device
Yanai et al. Mass-analyzed CFx+ (x= 1, 2, 3) ion beam study on selectivity of SiO2-to-SiN etching and aC: F film deposition
EP1432843B1 (en) Method for making carbon doped oxide film
EP1035568B1 (en) Method of plasma processing
Takeishi et al. Stabilizing Dielectric Constants of Fluorine‐Doped SiO2 Films by N 2 O‐Plasma Annealing
US20040266216A1 (en) Method for improving uniformity in deposited low k dielectric material
TWI244507B (en) Method of depositing carbon doped SiO2 films and fabricating metal interconnects
JP3723085B2 (en) Semiconductor device manufacturing method and manufacturing apparatus
KR100248159B1 (en) Method of forming sog layer with ion implantation in semiconductor device

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION