US20060128059A1 - Compact system module with built-in thermoelectric cooling - Google Patents

Compact system module with built-in thermoelectric cooling Download PDF

Info

Publication number
US20060128059A1
US20060128059A1 US11/345,253 US34525306A US2006128059A1 US 20060128059 A1 US20060128059 A1 US 20060128059A1 US 34525306 A US34525306 A US 34525306A US 2006128059 A1 US2006128059 A1 US 2006128059A1
Authority
US
United States
Prior art keywords
semiconductor
chip
silicon interposer
coupling
semiconductor chips
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/345,253
Inventor
Kie Ahn
Leonard Forbes
Eugene Cloud
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Micron Technology Inc
Original Assignee
Micron Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Micron Technology Inc filed Critical Micron Technology Inc
Priority to US11/345,253 priority Critical patent/US20060128059A1/en
Publication of US20060128059A1 publication Critical patent/US20060128059A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/24Coupling light guides
    • G02B6/42Coupling light guides with opto-electronic elements
    • G02B6/4201Packages, e.g. shape, construction, internal or external details
    • G02B6/4204Packages, e.g. shape, construction, internal or external details the coupling comprising intermediate optical elements, e.g. lenses, holograms
    • G02B6/4214Packages, e.g. shape, construction, internal or external details the coupling comprising intermediate optical elements, e.g. lenses, holograms the intermediate optical element having redirecting reflective means, e.g. mirrors, prisms for deflecting the radiation from horizontal to down- or upward direction toward a device
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • H01L21/4846Leads on or in insulating or insulated substrates, e.g. metallisation
    • H01L21/486Via connections through the substrate with or without pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/12Mountings, e.g. non-detachable insulating substrates
    • H01L23/14Mountings, e.g. non-detachable insulating substrates characterised by the material or its electrical properties
    • H01L23/147Semiconductor insulating substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/34Arrangements for cooling, heating, ventilating or temperature compensation ; Temperature sensing arrangements
    • H01L23/345Arrangements for heating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/34Arrangements for cooling, heating, ventilating or temperature compensation ; Temperature sensing arrangements
    • H01L23/38Cooling arrangements using the Peltier effect
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49827Via connections through the substrates, e.g. pins going through the substrate, coaxial cables
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/73Means for bonding being of different types provided for in two or more of groups H01L24/10, H01L24/18, H01L24/26, H01L24/34, H01L24/42, H01L24/50, H01L24/63, H01L24/71
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0652Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00 the devices being arranged next and on each other, i.e. mixed assemblies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/16Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof the devices being of types provided for in two or more different main groups of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. forming hybrid circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/50Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/24Coupling light guides
    • G02B6/42Coupling light guides with opto-electronic elements
    • G02B6/4201Packages, e.g. shape, construction, internal or external details
    • G02B6/4219Mechanical fixtures for holding or positioning the elements relative to each other in the couplings; Alignment methods for the elements, e.g. measuring or observing methods especially used therefor
    • G02B6/4228Passive alignment, i.e. without a detection of the degree of coupling or the position of the elements
    • G02B6/4232Passive alignment, i.e. without a detection of the degree of coupling or the position of the elements using the surface tension of fluid solder to align the elements, e.g. solder bump techniques
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • H01L2224/16235Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation the bump connector connecting to a via metallisation of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • H01L2224/16237Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation the bump connector connecting to a bonding area disposed in a recess of the surface of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/44Structure, shape, material or disposition of the wire connectors prior to the connecting process
    • H01L2224/45Structure, shape, material or disposition of the wire connectors prior to the connecting process of an individual wire connector
    • H01L2224/45001Core members of the connector
    • H01L2224/45099Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • H01L2224/4805Shape
    • H01L2224/4809Loop shape
    • H01L2224/48091Arched
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • H01L2224/481Disposition
    • H01L2224/48151Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/48221Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/48225Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • H01L2224/48227Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation connecting the wire to a bond pad of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73251Location after the connecting process on different surfaces
    • H01L2224/73257Bump and wire connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73251Location after the connecting process on different surfaces
    • H01L2224/73265Layer and wire connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/85Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a wire connector
    • H01L2224/8538Bonding interfaces outside the semiconductor or solid-state body
    • H01L2224/85399Material
    • H01L2224/854Material with a principal constituent of the material being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/42Wire connectors; Manufacturing methods related thereto
    • H01L24/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L24/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/16Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof the devices being of types provided for in two or more different main groups of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. forming hybrid circuits
    • H01L25/167Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof the devices being of types provided for in two or more different main groups of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. forming hybrid circuits comprising optoelectronic devices, e.g. LED, photodiodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/00014Technical content checked by a classifier the subject-matter covered by the group, the symbol of which is combined with the symbol of this group, being disclosed without further technical details
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01006Carbon [C]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01013Aluminum [Al]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01023Vanadium [V]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01029Copper [Cu]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01032Germanium [Ge]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01033Arsenic [As]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01038Strontium [Sr]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01045Rhodium [Rh]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01051Antimony [Sb]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01052Tellurium [Te]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01077Iridium [Ir]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01078Platinum [Pt]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01082Lead [Pb]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/102Material of the semiconductor or solid state bodies
    • H01L2924/1025Semiconducting materials
    • H01L2924/10251Elemental semiconductors, i.e. Group IV
    • H01L2924/10253Silicon [Si]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/102Material of the semiconductor or solid state bodies
    • H01L2924/1025Semiconducting materials
    • H01L2924/1026Compound semiconductors
    • H01L2924/1032III-V
    • H01L2924/10329Gallium arsenide [GaAs]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/12Passive devices, e.g. 2 terminal devices
    • H01L2924/1204Optical Diode
    • H01L2924/12041LED
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/12Passive devices, e.g. 2 terminal devices
    • H01L2924/1204Optical Diode
    • H01L2924/12042LASER
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/13Discrete devices, e.g. 3 terminal devices
    • H01L2924/1301Thyristor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/1517Multilayer substrate
    • H01L2924/15172Fan-out arrangement of the internal vias
    • H01L2924/15173Fan-out arrangement of the internal vias in a single layer of the multilayer substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/19Details of hybrid assemblies other than the semiconductor or other solid state devices to be connected
    • H01L2924/1901Structure
    • H01L2924/1904Component type
    • H01L2924/19041Component type being a capacitor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/19Details of hybrid assemblies other than the semiconductor or other solid state devices to be connected
    • H01L2924/191Disposition
    • H01L2924/19101Disposition of discrete passive components
    • H01L2924/19107Disposition of discrete passive components off-chip wires
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L33/00Semiconductor devices with at least one potential-jump barrier or surface barrier specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L33/48Semiconductor devices with at least one potential-jump barrier or surface barrier specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by the semiconductor body packages
    • H01L33/62Arrangements for conducting electric current to or from the semiconductor body, e.g. lead-frames, wire-bonds or solder balls
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L33/00Semiconductor devices with at least one potential-jump barrier or surface barrier specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L33/48Semiconductor devices with at least one potential-jump barrier or surface barrier specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by the semiconductor body packages
    • H01L33/64Heat extraction or cooling elements
    • H01L33/645Heat extraction or cooling elements the elements being electrically controlled, e.g. Peltier elements
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S257/00Active solid-state devices, e.g. transistors, solid-state diodes
    • Y10S257/93Thermoelectric, e.g. peltier effect cooling

Definitions

  • the present invention relates generally to semiconductor integrated circuits. More particularly, it pertains to a compact system module with built-in thermoelectric cooling.
  • Integrated circuit technology relies on transistors to formulate vast arrays of functional circuits.
  • the complexity of these circuits requires the use of an ever increasing number of linked transistors.
  • the semiconductor industry seeks to increase the speed and power offered by integrated circuits.
  • One approach to the latter challenge is through the development of improved methods for electrically connecting and packaging circuit devices which are fabricated on the same or on different silicon chips.
  • Chip-on-chip structure most commonly utilizes micro bump bonding technology (MBB) to electrically connect the two chips.
  • MBB micro bump bonding technology
  • the cooling of such a package is accomplished by forced air.
  • forced air cooling is not feasible or practical. Examples of such applications include computers to be used in outer space, in a vacuum environment on earth, or in clean rooms where air circulation is not desirable.
  • a different method of cooling is required.
  • Another cooling method includes liquid cooling, such as the forced water cooling used in the thermal conduction modules of 113 M main frame computers and forced freon cooling used in Cray supercomputers. Still, liquid cooling methods can also prove too bulky, costly, and not easily adapted for use in compact high-performance integrated circuit systems, e.g. portable devices.
  • the improved structure and method should accommodate a dense integration and packaging for semiconductor chips, e.g. logic and memory chips.
  • an improved integrated circuit package for providing built-in heating or cooling to a semiconductor chip.
  • the improved integrated circuit package provides increased operational bandwidth between different circuit devices, e.g. logic and memory chips.
  • the improved integrated circuit package does not require changes in current CMOS processing techniques.
  • the structure includes the use of a silicon interposer.
  • the silicon interposer can consist of recycled rejected wafers-from the front-end semiconductor processing.
  • Micro-machined vias are formed through the silicon interposer.
  • the micro-machined vias include electrical contacts which couple various integrated circuit devices located on the opposing surfaces of the silicon interposer.
  • the packaging includes a Peltier element.
  • the Peltier element using semiconductor-based materials, functions as a small heat pump. By applying a low-voltage d-c current to the Peltier element thermal energy is transferred with the effect that one portion of the Peltier element is cooled and another heated. In one embodiment, the heated portion of the Peltier element is in contact with a heat sink or the outer cover of the integrated circuit package and the cooled portion is in contact with a semiconductor chip. Thus providing improved cooling for high frequency, high speed microprocessor chip components. In an alternative embodiment, the arrangement is reversed. This design has no moving parts, is small in size and lightweight, and has the ability to cool below or heat above the ambient temperature surrounding integrated circuit devices.
  • FIG. 1A is a cross-sectional view illustrating an electronic packaging assembly according to the teachings of the present invention.
  • FIG. 1B is a cross-sectional view illustrating in greater detail a portion of a particular embodiment for the present invention.
  • FIG. 2 is a cross-sectional view illustrating an embodiment of a Peltier element according to the teachings of the present invention.
  • FIG. 3 is a block diagram illustrating an electronic system according to an embodiment of the present invention.
  • FIGS. 4A-4G illustrate an embodiment of a process of fabrication for a portion of an embodiment of the present invention.
  • FIG. 5 illustrates, in flow diagram form, a methodical aspect according to the teachings of the present invention.
  • FIG. 6 illustrates, in flow diagram form, a methodical aspect for forming an electronic packaging assembly according to the teachings of the present invention.
  • FIG. 7 illustrates, in flow diagram form, a methodical aspect for packaging an integrated circuit according to the teachings of the present invention.
  • FIG. 8 illustrates, in flow diagram form, an embodiment for the method of cooling an integrated circuit according to the teachings of the present invention.
  • FIG. 9 illustrates, in flow diagram form, an embodiment for the method of heating an integrated circuit according to the teachings of the present invention.
  • wafer and substrate used in the following description include any structure having an exposed surface with which to form the integrated circuit (IC) structure of the invention.
  • substrate is understood to include semiconductor wafers.
  • substrate is also used to refer to semiconductor structures during processing, and may include other layers that have been fabricated thereupon. Both wafer and substrate include doped and undoped semiconductors, epitaxial semiconductor layers supported by a base semiconductor or insulator, as well as other semiconductor structures well known to one skilled in the art.
  • conductor is understood to include semiconductors
  • insulator is defined to include any material that is less electrically conductive than the materials referred to as conductors.
  • horizontal as used in this application is defined as a plane parallel to the conventional plane or surface of a wafer or substrate, regardless of the orientation of the wafer or substrate.
  • vertical refers to a direction perpendicular to the horizonal as defined above. Prepositions, such as “on”, “side” (as in “sidewall”), “higher”, “lower”, “over” and “under” are defined with respect to the conventional plane or surface being on the top surface of the wafer or substrate, regardless of the orientation of the wafer or substrate.
  • n+ refers to semiconductor material that is heavily doped n-type semiconductor material, e.g., monocrystalline silicon or polycrystalline silicon.
  • p+ refers to semiconductor material that is heavily doped p-type semiconductor material.
  • n ⁇ and p ⁇ refer to lightly doped n and p-type semiconductor materials, respectively.
  • the electronic packaging assembly includes a silicon interposer which has a first and second, or opposing sides. At least one semiconductor chip is provided on a first side of the silicon interposer. The semiconductor chip on the first side of the silicon interposer is coupled to a metal-to-semiconductor junction. At least one semiconductor chip is similarly provided on a second side of the silicon interposer. A number of electrical connections are formed through the silicon interposer and couple the semiconductor chips located on each side of the silicon interposer.
  • an electronic system module in another embodiment, includes a silicon interposer having opposing surfaces.
  • a microprocessor which has a circuit side, faces a first one of the opposing surfaces of the silicon interposer.
  • the microprocessor on the first side of the silicon interposer is coupled to a metal-to-semiconductor junction.
  • a memory chip which has a circuit side, faces a second one of the opposing surfaces of the silicon interposer.
  • a number of electrical connections extend through the silicon interposer and couple the circuit side of the microprocessor to the circuit side of the memory chip.
  • a computer system in another embodiment, includes an electronic packaging assembly as presented and described above.
  • a number of external devices are connected to the electronic packaging assembly by a system bus.
  • a method for cooling an integrated circuit includes using, or providing, a silicon interposer with opposing sides and coupling a first semiconductor chip to a first side and coupling a second semiconductor chip to a second side of the silicon interposer. A number of electrical connections through the silicon interposer electrically connect the first semiconductor chip to the second semiconductor. The method further includes forming a metal-to-semiconductor junction connected to the first semiconductor chip. A current is then passed through the metal-to-semiconductor junction in a direction so as to draw thermal energy away from the first semiconductor chip.
  • a method for heating an integrated circuit includes using, or providing, a silicon interposer with opposing sides and coupling a first semiconductor chip to a first side and coupling a second semiconductor chip to a second side of the silicon interposer. A number of electrical connections through the silicon interposer electrically connect the first semiconductor chip to the second semiconductor. The method further includes forming a metal-to-semiconductor junction connected to the first semiconductor chip. A current is then passed through the metal-to-semiconductor junction in a direction so as to draw thermal energy to the first semiconductor chip.
  • FIG. 1A is a cross-sectional view illustrating an electronic packaging assembly 100 , or electronic system module 100 , according to the teachings of the present invention.
  • FIG. 1A includes a silicon interposer 110 .
  • the silicon interposer 110 has opposing surfaces which include a first one 115 of the opposing surfaces, or first side 115 and a second one 120 of opposing surfaces, or second side 120 .
  • the silicon interposer 110 includes rejected silicon wafers which have been recycled from the front-end of the semiconductor fabrication process.
  • the silicon interposer 110 may have active and passive devices built on one or both sides, 115 and 120 .
  • the active and passive devices built on one or both sides, 115 and 120 can also include simple capacitors using the insulator and metallurgy on one side of the interposer, and can include devices such as, for example driver circuits.
  • the electronic packaging assembly 100 includes a number of flip chips, 125 A, 125 B, . . . , 125 N respectively, located on the first side 115 of the silicon interposer 110 .
  • the electronic packaging assembly 100 additionally includes a number of flip chips, 125 AA, 125 BB, . . . , 125 NN respectively, located on the second side 120 of the silicon interposer 110 .
  • the first side 115 includes at least one semiconductor chip which is a microprocessor chip or other suitable logic chip.
  • the number of flip chips, 125 AA, 125 BB, . . . , 125 NN respectively, located on the second side 120 includes at least one semiconductor chip which is a memory chip.
  • the memory chip can include a dynamic random access memory (DRAM)-type chip.
  • the memory chip can include a static random access memory (SRAM)-type chip or flash electrically erasable program read only memory (flash EEPROM)-type chip.
  • capacitors are similarly included amongst the number of flip-chips, 125 A, 125 B, . . . , 125 N, or, 125 AA, 125 BB, . . . 125 NN, and coupled to the first or second side, 115 and 120 respectively.
  • microprocessors and memory chips may be coupled to the same side of the silicon interposer.
  • a second or even multiple microprocessors, capacitors, and memory chips are included in the number of flip-chips, 125 A, 125 B, . . . , 125 N, or, 125 AA, 125 BB, . . . , 125 NN, and are coupled with their circuit side 130 facing the first or second side, 115 and 120 respectively, of the silicon interposer 110 .
  • the microprocessors or logic chips are included amongst the number of flip-chips, 125 A, 125 B, . . . , 125 N, and are mounted with the circuit side 130 face-down (active circuit facing downward) to the silicon interposer 110 by a ball-grid array (BGA) 131 , micro-bump bonding (MBB) 131 , or controlled collapse chip connections (C-4) 131 .
  • BGA ball-grid array
  • MBB micro-bump bonding
  • C-4 controlled collapse chip connections
  • DRAM chips which are included amongst the number of flip-chips, 125 AA, 125 BB, . . .
  • % Sb is used for the chips, 125 AA, 125 BB, . . . , 125 NN, mounted on the second side 120 , and Pb-62 Wt % Sn is used for card soldering.
  • Pb-62 Wt % Sn is used for card soldering.
  • other lower-melting point alloys for example, Ga-based alloys can also be used.
  • FIG. 1A further illustrates that a number of electrical connections 135 couple the number of semiconductor chips, 125 A, 125 B, . . . , 125 N, mounted on the first side 115 to the number of semiconductor chips, 125 AA, 125 BB, . . . , 125 NN, mounted on the second side 120 of the silicon interposer 110 .
  • the number of electrical connections 135 include micro-machined vias which are formed according to the detailed description provided below in connection with FIGS. 4A-4G . The detailed description below for the number of electrical connections 135 is provided according to techniques taught in co-pending application Ser. No. 08/917,443, entitled “Integrated Circuitry and Methods of Forming Integrated Circuitry,” filed on Aug.
  • At least one of the number of semiconductor chips, 125 A, 125 B, . . . , 125 N, is further coupled to a metal-to-semiconductor junction 160 , or any other suitable Peltier Junction 160 which will produce a Peltier effect.
  • a current passed through a Peltier Junction 160 is defined to produce a Peltier effect.
  • the Peltier effect is essentially the reverse of a thermocouple effect.
  • a current is passed through a circuit formed from two dissimilar metals or from a metal and a semiconductor, or even certain other alloys and compounds, one junction gives off heat and is cooled and the other absorbs heat and becomes warm.
  • the effect is reversible, e.g., if the current is reversed, thermal energy will be drawn in the opposite direction, the cool junction becomes warm and the hot junction cools. Larger temperature differences are produced with metal-to-semiconductor junctions than with metal-to-metal junctions.
  • a metal-n-type junction produces a temperature difference in the opposite sense to that of a metal-p-type junction for the same direction of current flow.
  • a number of such junctions can be used to form a Peltier element, an example of which is shown in FIG. 2 .
  • the amount of thermal energy transferred, for a given current, depends on the conductors.
  • the thermal energy that is emitted or absorbed with the passage of current through a junction of dissimilar conductors is called the Peltier heat.
  • the quotient of the Peltier heat and the current is called the Peltier coefficient.
  • a coefficient of performance (COP) is defined in terms of the ratio of the quantity of absorbed heat to the inputted power.
  • FIG. 1B is a cross-sectional view illustrating in greater detail a portion of an exemplary embodiment of the present invention.
  • Peltier element 160 includes a semiconductor material layer 128 sandwiched between a first metal layer 127 and a second metal layer 129 .
  • the arrangement forms a first metal-to-semiconductor junction 171 between the first metal layer 127 and the semiconductor material layer 128 .
  • a second metal-to-semiconductor junction 174 is formed between the second metal layer 129 and the semiconductor material 128 .
  • the semiconductor material can include a range of semiconductor materials.
  • the semiconductor material layer 128 includes an alloy of Bismuth Telluride (Bi 2 Te 3 ) that has been suitably doped to provide either distinct “n” or “p” characteristics.
  • an alloy of Bismuth Telluride (Bi 2 Te 3 ) can be suitably doped and organized to provide individual blocks of elements having distinct “n” and “p” characteristics, as illustrated by n-type blocks, 202 A, 202 B, . . . , 202 C, etc. and by p-type blocks, 203 A, 203 B, . . . , 203 C, etc. in FIG. 2 .
  • Bi 2 Te 3 is used as the doped semiconductor material for near-room-temperature applications.
  • Lead Telluride (PbTe) and Silicon Germanium (SiGe) are frequently used as the doped semiconductor material in higher temperature applications.
  • suited doping techniques are employed to create the individual layers, or blocks, of Lead Telluride (PbTe) and Silicon Germanium (SiGe) compounds having distinct “n” and “p” characteristics.
  • FIG. 1B illustrates one embodiment in which the silicon interposer 110 is further connected to an integrated circuit package 136 , or chip package 136 .
  • an exemplary embodiment for constructing the same is provided in U.S. Pat. No. 5,598,031, G.L. Groover, et al, “Electrically and thermally enhanced package using a separate silicon substrate.”
  • Another exemplary embodiment for constructing the same is provided in U.S. Pat. No. 5,061,987, Hsia, Yukun, “Silicon substrate multichip assembly.” In the embodiment of FIG.
  • the chip package 136 has first and second electrical leads, 137 and 138 respectively.
  • FIG. 1B illustrates that the electronic packaging assembly 100 includes electrical coupling 139 between the first electrical lead 137 and the silicon interposer 110 , and hence the semiconductor chip 125 .
  • FIG. 1B further illustrates an electrical coupling 133 between the semiconductor chip 125 and the first metal layer 127 .
  • electrical coupling 139 is provided between the second metal layer 129 and a second electrical lead 138 on chip package 136 .
  • the electrical coupling, 139 , 133 , and 131 respectively includes wire bonding.
  • the electrical coupling, 139 , 133 , and 131 respectively includes any suitable coupling such as, for example, tape automated bonding (TAB).
  • TAB tape automated bonding
  • FIG. 1A illustrated an alternative chip package embodiment for transmitting and receiving signals from the silicon interposer 110 .
  • signal connections from the electronic packaging assembly 100 to other components in an extended electronic system is provided by energy-efficient optical fiber interconnections.
  • the Peltier Junction 160 included in FIG. 1A includes the “sandwich type” configuration illustrated by FIG. 1B , or an alternatively suited configuration such as, for example, the embodiment illustrated by FIG. 2 .
  • the Peltier Junction 160 is coupled to at least one of the number of semiconductor chips, 125 A, 125 B, . . . , 125 N, 125 AA, 125 BB, . . . , 125 NN, found on the silicon interposer 110 .
  • the Peltier junction 160 is shown coupled to chip 125 A.
  • the Peltier Junction 160 functions according to operating techniques taught in this application or according to equivalents of the same.
  • FIG. 1A illustrates an optical receiver 140 adapted to receiving input signals from a fiber optical network 165 .
  • the optical receiver 140 is further coupled to a sense amplifier 145 .
  • the sense amplifier 145 and optical receiver 140 are located on the silicon interposer 110 .
  • the sense amplifier 145 is further coupled to at least one of the multiple semiconductor chips, 125 A, 125 B, . . . , 125 N, mounted on the first side 115 of the silicon interposer 110 .
  • Also illustrated in FIG. 1A is an optical emitter 150 .
  • the optical emitter 150 couples signals from at least one of the multiple semiconductor chips, 125 A, 125 B, . . . , 125 N, mounted on the first side 115 of the silicon interposer 110 to the fiber optical network.
  • FIG. 1A illustrates an optical receiver 140 adapted to receiving input signals from a fiber optical network 165 .
  • the optical receiver 140 is further coupled to a sense amplifier 145 .
  • the optical receiver 140 includes a thyristor detector 140 .
  • the thyristor detector 140 includes a silicon thyristor detector 140 .
  • the thyristor detector 140 includes a gallium arsenide (GaAs) thyristor detector 140 .
  • the optical emitter 150 includes a light-emitting diode (LED) 150 .
  • the light-emitting diode (LED) 150 can be, for instance, a gallium arsenide (GaAs) emitter.
  • the sense amplifier 145 includes a current sense amplifier 145 .
  • the coupling between the optical emitter 150 and the fiber optical network 165 includes optical fibers 156 mounted in V-grooves 155 on the silicon interposer 110 . This optical coupling can be achieved in any suitable manner and can include two (2) way channel individual optical fibers 156 .
  • One exemplary embodiment for such optical coupling is provided by the method described in V. Vusirikala, et al., “Flip-chip optical fiber attachment to a monolithic optical receiver chip,” Proc.
  • the optical emitter 150 is flip chip bonded to the silicon interposer and an end 157 in a V-groove 155 is adapted to reflect light from the optical emitter 150 into the optical fibers 156 .
  • This technique too can be achieved in any suitable manner such as, for example, the method described in O. Vendier et al., “A 155 Mbps digital transmitter using GaAs thin film LEDs bonded to silicon driver circuits,” Dig. IEEE/LEOS Summer Topical Mtg., Keystone Colo., 5-9 Aug. 1996, pp. 15-16.
  • the semiconductor material includes a p-type semiconductor material 128 .
  • a positive lead of a voltage supply is provided to electrical lead 137 and a negative lead of a voltage supply is provided to electrical lead 138 .
  • current is passed from positive lead 137 in the direction of the arrow 170 across a metal-p-type semiconductor junction 171 located between the first metal layer 127 and p-type semiconductor material 128 .
  • thermal energy is thus drawn in, or follows, the direction of the current flow through the p-type semiconductor.
  • thermo energy is drawn away from chip 125 A and the chip 125 A is cooled.
  • thermal energy continues to be drawn in, or follow, the direction of current flow, but the direction is now in the direction of arrow 172 .
  • heat is drawn toward first metal layer 127 with a resultant heating effect at junction 171 and a cooling effect at junction 174 .
  • thermal energy, or Peltier heat is drawn toward chip 125 A and chip 125 A is heated.
  • semiconductor layer 128 is an n-type semiconductor material 128 .
  • the positive lead of a voltage supply is provided to electrical lead 137 and a negative lead of a voltage supply is provided to electrical lead 138 .
  • Current is passed from positive lead 137 in the direction of the arrow 170 across a metal-to n-type semiconductor junction 171 located between the first metal layer 127 and the n-type semiconductor material 128 .
  • Current flow through the metal-to n-type semiconductor results in thermal energy being transmitted in the direction of arrow 172 , opposite to the direction of current flow.
  • heat is drawn in the direction of junction 171 and away from junction 174 .
  • the resultant effect is that junction 171 is heated and junction 174 is cooled. Consequently, thermal energy, or heat, is drawn to chip 125 A and chip 125 A is heated.
  • thermal energy continues to be drawn opposite the direction of current flow, but the direction is now in the direction of arrow 170 .
  • heat is drawn away from first metal layer 127 with a resultant cooling effect at junction 171 and a heating effect at junction 174 .
  • thermal energy, or Peltier heat is drawn away from chip 125 A and chip 125 A is cooled.
  • an insulator layer 126 separates the first metal layer 127 from the semiconductor chip 125 A.
  • the adhesive insulator layer 126 includes any suitable epoxy.
  • the insulator layer 126 can include any suitable material, as the same will be understood upon reading this disclosure by one of ordinary skill in the art of semiconductor fabrication.
  • the first and second metal layers, 127 and 129 respectively are formed of copper (Cu).
  • first and second metal layers, 127 and 129 are formed from any suitable metal conductor as will by understood upon reading this disclosure by one of ordinary skill in the art of semiconductor fabrication.
  • FIG. 2 is a cross-sectional view illustrating another embodiment of a Peltier element 200 according to the teachings of the present invention.
  • the direction in which the current, indicated by arrows 219 , is passed through the n-type, 202 A, 202 B, . . . , 202 C, etc. and the p-type, 203 A, 203 B, . . . , 203 C, etc. semiconductor blocks determines which direction heat energy is transferred.
  • the direction in which the heat energy, or Peltier heat, is transferred determines which surfaces 201 A, 201 B, . . . , 201 C, etc. or surfaces 204 A, 204 B, . . . , 204 C, etc.
  • Peltier elements can be formed from a range of other metal-to-metal, or metal-to-semiconductor combinations.
  • FIG. 2 aids in illustrating alternative embodiments of a Peltier element constructed from such other combinations. As will be understood by one of ordinary skill in the art of semiconductor fabrication from reading this disclosure, substitution of these other combinations is included within the scope of the present invention.
  • the following alternative embodiments provide example of this and all offer a high coefficient of performance (COP). In one exemplary embodiment each of the following combinations is adapted to provide a COP of at least 0.6 or better.
  • Peltier element 200 includes interfaces, or junctions, of metal-to-metal, or metal-to-semiconductor materials.
  • the Peltier element 200 of FIG. 2 can be suitably fabricated upon a semiconductor wafer.
  • the n-type layers, or blocks, 202 A, 202 B, . . . , 202 C, etc. and the p-type layers, or blocks, 203 A, 203 B, . . . , 203 C, etc. include appropriately doped complex oxide semiconductors.
  • the complex oxide semiconductors include strontium (Sr) and titanium (Ti). In this embodiment the complex oxide semiconductors possess an oxygen deficiency.
  • the following materials are also well suited for inclusion as the n-type semiconductor layers, or blocks, 202 A, 202 B, . . . , 202 C, etc. and the p-type semiconductor layers, or blocks, 203 A, 203 B, . . . , 203 C, etc.
  • the semiconductor alloy includes semiconductor alloys formed between Antimony (Sb) and a transition metal (T) of Group VIII, including Cobalt, Rhodium, and Iridium (Co, Rh, and Ir), and wherein the alloy has the general formula Tsb 3 .
  • the semiconductor alloy includes a skutterudite-type crystal lattice.
  • Peltier elements 200 fabricated by thin film technology onto the backside of an semiconductor chip.
  • An optimization of Bi 2 Te 3 films includes forming a Copper (Cu) and doped Bismuth Telluride (Bi 2 Te 3 ) junction using vacuum evaporation to form a thin film of p or n-doped Bismuth Telluride (Bi 2 Te 3 ).
  • An alternate thin film junction is formed using vacuum evaporation to form a thin film of p or n-doped Antimony Telluride (Sb 2 Te 3 ).
  • the method for such an embodiments is provided, for example, according to the methods taught by C. Shafai and M.J.
  • doping and current flow direction determine the direction in which thermal energy will be transported in these various alternative embodiments.
  • the doping and current flow direction are arranged in accordance to the Peltier effect physical laws to either draw Peltier heat to or away from a chosen component. The effect being that the selected component is either cooled or heated as desired.
  • FIG. 3 is a block diagram illustrating an electronic system 300 according to an embodiment of the present invention.
  • the electronic system 300 includes an electronic packaging assembly 305 .
  • the electronic packaging system 305 includes the electronic packaging assembly 305 presented and described in detail above.
  • the electronic packaging assembly 305 specifically includes a semiconductor chip which is further coupled to a metal-to-semiconductor junction.
  • the electronic system 300 includes a number of external devices 310 .
  • the number of external devices 310 include, for example, memory controllers, microprocessors and input/output bus units.
  • the electronic system 300 includes a system bus 320 .
  • the system bus 320 couples the number of external devices 310 to the electronic packaging assembly 305 .
  • FIGS. 4A-4G illustrate an embodiment of the various processing steps for fabricating the number of electrical connections 135 through the silicon interposer 110 , as illustrated in FIG. 1 .
  • FIGS. 4A-4G illustrate an embodiment for forming salicided connections 135 through the silicon interposer 110 .
  • FIG. 4A is a top view illustrating generally a semiconductor wafer fragment at 10 .
  • FIG. 4B a cross-sectional view of FIG. 4A , taken along cut-lines 4 B- 4 B, is provided.
  • the semiconductor wafer fragment at 10 includes a semiconductor conductive substrate.
  • Wafer fragment 10 includes a front surface 14 and a back surface 16 and a thickness (t) which is defined between the surfaces.
  • An exemplary thickness is around 30 mils or between around 750 to 800 micrometers ( ⁇ m).
  • FIG. 4B illustrates that amounts of the semiconductor conductive wafer material are removed to form holes or passageways 18 , 20 , and 22 . In one embodiment, such holes are formed to a depth of not less than half of thickness (t).
  • holes 18 , 20 and 22 extend perpendicularly through the entirety of wafer fragment 12 and join with front and back surfaces 14 and 16 , respectively.
  • holes 18 , 20 , and 22 have aspect ratios greater than about 50.
  • the holes 18 , 20 , and 22 have aspect ratios between about 75 and 80.
  • holes 18 , 20 , and 22 are formed or otherwise provided prior to processing of any integrated circuitry devices over either of surfaces 14 , 16 .
  • the holes are formed prior to patterning any conductive material which is associated with integrated circuitry devices to be formed over either of surfaces 14 and 16 .
  • the holes 18 , 20 , and 22 are formed through suitable etching techniques. Alternatively, such holes are formed or drilled with a suitable laser.
  • very high aspect ratio holes are formed by placing the wafer in a semiconductor wafer processor including a dipole-ring magnetron etching reactor after which, the wafer is exposed to conditions within the dipole ring magnetron etching reactor which are sufficient to form holes which extend through the entirety of the wafer.
  • a suitable dipole ring magnetron (DRM) reactor is described in an article entitled “Trench Storage Node Technology for Gigabit DRAM Generations,” Technical Digest of International Electron Devices Meeting, Dec. 8-11, 1996, pages 507-510, published IEEE, Catalog No. 96CH35961 and authored by Muller et al.
  • a suitable DRM system and exemplary processing conditions are described in an article entitled “A New High-Density Plasma Etching System Using a Dipole-Ring Magnet,” Jpn. J. Appl. Phys., 34, pt. 1, no. 11, Nov. 1995, pages 6274-6278, and authored by Sekine et al.
  • the same can be temporarily filled with any material such as a photoresist to enable subsequent processing of integrated circuitry devices over either or both of surfaces 14 and 16 .
  • integrated circuitry is formed or otherwise processed and supported by wafer fragment 10 .
  • Integrated circuitry 24 can be formed over or proximate front surface 14 , back surface 16 , or both front and back surfaces 14 , 16 , respectively.
  • wafer fragment 10 is exposed to conditions which are effective to form respective dielectric layers 28 , 30 , and 32 within each of the holes 18 , 20 , and 22 , and proximate the respective interior surfaces 19 , 21 and 23 thereof.
  • dielectric layers 28 , 30 , and 32 comprise a nitride-containing layer which is disposed proximate respective interior surfaces 19 , 21 , and 23 .
  • An oxide-containing layer is formed over the nitride-containing layer to provide a dielectric (NO) layer within the hole.
  • a nitride-containing layer is formed through chemical vapor deposition (CVD) and the oxide layer by exposing the substrate to oxidizing conditions.
  • CVD chemical vapor deposition
  • dielectric layers 28 , 30 and 32 can constitute reoxidized, low-pressure, chemical vapor deposition (LPCVD) on nitride film which forms the illustrated and preferred (NO) dielectric layer.
  • LPCVD chemical vapor deposition
  • An exemplary processing implementation includes in situ nitridation in ammonia an 950° C. LPCVD of nitride at 700° C. takes place with dichlorosilane and ammonia. Subsequently, reoxidation of the nitride takes place at a temperature between 900° C. and 950° C.
  • fast thermal processing FTP
  • dielectric layers 28 , 30 and 32 can comprise a thin, silicon dioxide film. A desired and exemplary thickness of such layers is between 50-100 nanometers (nm).
  • interconnect material 34 , 36 and 38 is formed within holes 18 , 20 and 22 respectively. Such material fills each hole and is capable of electrically interconnecting integrated circuitry formed over both front and back surfaces 14 and 16 respectively.
  • interconnect material 34 , 36 and 38 constitute a first material which is formed within each respective hole and comprises polysilicon which is formed through CVD. Excess first material can be removed through conventional steps such as chemical mechanical planarization (CMP).
  • a second layer of electrically conductive material 40 is formed over the first material 34 , 36 and 38 .
  • such material is formed over both front and back surfaces 14 and 16 respectively.
  • second material 40 constitutes comprising a metal material which is different from first material 34 and 36 and 38 .
  • second material 40 constitutes an aluminum comprising layer or film.
  • Such material film can be deposited through suitable sputtering or evaporation techniques. Mechanical mask can be utilized in order to define with more particularity the area over which the preferred aluminum layer is deposited. Alternatively, such a layer can be blanket deposited and subsequently processed as described below.
  • FIG. 4G illustrates the wafer fragment 10 is exposed to processing conditions which are effective to cause the second material 40 to replace the first material 34 , 36 and 38 .
  • the first material 34 , 36 and 38 is completely replaced with the second material 40 and the second material 40 electrically interconnects at least some of the front surface integrated circuitry 24 with at least some back surface integrated circuitry 26 .
  • Exemplary processing conditions include annealing the wafer at a temperature greater than or equal to about 500° C. for a sufficient amount of time.
  • the thickness of the second material 40 will be determined by the size and dimensions of the interconnecting holes or passageways.
  • an aluminum thickness of 0.5 micrometers ( ⁇ m) is sufficient to substitute the preferred polysilicon.
  • Annealing times and temperatures can be decreased by forming a thin, e.g., 0.2 micrometer, titanium (Ti) layer over material 40 prior to annealing.
  • Ti titanium
  • the Ti layer acts as a polysilicon capture layer which accelerates the replacement of polysilicon with aluminum. Exemplary processing methods are described in an article entitled “Novel High-Aspect Ratio Plug for Logic/DRAM LSIs Using Polysilicon-Aluminum Substrate (PAS),” Technical Digest of International Electron Devices Meeting , Dec.
  • a conductive interconnect is provided within wafer fragment 10 between and electrically connecting at least a portion of the front-formed integrated circuitry and the back-formed integrated circuitry.
  • the integrated circuitry is formed in advance of the formation of the conductive interconnect.
  • FIG. 5 illustrates, in flow diagram form, a methodical aspect according to the teachings of the present invention.
  • a silicon interposer is formed at 510 .
  • the silicon interposer includes micro-machined vias formed through the silicon interposer.
  • a number of flip chips are attached to the silicon interposer at 520 .
  • the flip chips couple to the micro-machined vias.
  • a Peltier element is coupled to at least one of the flip chips at 530 .
  • FIG. 6 illustrates, in flow diagram form, a methodical aspect according to the teachings of the present invention.
  • a silicon interposer is provided at 610 .
  • the silicon interposer has opposing sides.
  • a semiconductor chip is coupled to each of the opposing sides of the silicon interposer at 620 .
  • the semiconductor chips on each side of the silicon interposer are coupled to one another by a number of micro-machined vias at 630 .
  • a Peltier element is coupled to at least one of the semiconductors chips at 640 .
  • FIG. 7 illustrates, in flow diagram form, a methodical aspect according to the teachings of the present invention.
  • a silicon interposer is provided at 710 .
  • the silicon interposer has opposing sides.
  • a semiconductor chip is coupled to each of the opposing sides of the silicon interposer at 720 .
  • the semiconductor chips on each side of the silicon interposer are coupled to one another by a number of micro-machined vias at 730 .
  • the micro-machined vias provided electrical connections between the opposing sides of the silicon interposer.
  • a metal-to-semiconductor junction is coupled to at least one of the semiconductor chips at 740 .
  • FIG. 8 illustrates, in flow diagram form, a methodical aspect according to the teachings of the present invention.
  • a silicon interposer is provided at 810 .
  • the silicon interposer has opposing sides.
  • a first semiconductor chip is coupled to a first side of the silicon interposer at 820 .
  • a second semiconductor chip is coupled to a second side of the silicon interposer at 830 .
  • a metal-to-semiconductor junction is formed which couples to the first semiconductor chip at 840 .
  • a current is passed through the metal-to-semiconductor junction in a direction such that a Peltier cooling effect occurs adjacent to the first semiconductor chip.
  • FIG. 9 illustrates, in flow diagram form, a methodical aspect according to the teachings of the present invention.
  • a silicon interposer is provided at 910 .
  • the silicon interposer has opposing sides.
  • a first semiconductor chip is coupled to a first side of the silicon interposer at 920 .
  • a second semiconductor chip is coupled to a second side of the silicon interposer at 930 .
  • a metal-to-semiconductor junction is formed which couples to the first semiconductor chip at 940 .
  • a current is passed through the metal-to-semiconductor junction in a direction such that a Peltier heating effect occurs adjacent to the first semiconductor chip.
  • an improved integrated circuit package for providing built-in heating or cooling to a semiconductor chip.
  • the improved integrated circuit package provides increased operational bandwidth between different circuit devices, e.g. logic and memory chips.
  • the improved integrated circuit package does not require changes in current CMOS processing techniques.
  • the structure includes the use of a silicon interposer.
  • the silicon interposer can consist of recycled rejected wafers from the front-end semiconductor processing.
  • Micro-machined vias are formed through the silicon interposer.
  • the micro-machined vias include electrical contacts which couple various integrated circuit devices located on the opposing surfaces of the silicon interposer.
  • the packaging includes a Peltier element.
  • the Peltier element using semiconductor-based materials, functions as a small heat pump. By applying a low-voltage d-c current to the Peltier element thermal energy is transferred with the effect that one portion of the Peltier element is cooled and another heated. In one embodiment, the heated portion of the Peltier element is in contact with a heat sink or the outer cover of the integrated circuit package and the cooled portion is in contact with a semiconductor chip. In an alternative embodiment, the arrangement is reversed. This design has no moving parts, is small in size and lightweight, and has the ability to cool below or heat above the ambient temperature surrounding integrated circuit devices.

Abstract

An improved integrated circuit package for providing built-in heating or cooling to a semiconductor chip is provided. The improved integrated circuit package provides increased operational bandwidth between different circuit devices, e.g. logic and memory chips. The improved integrated circuit package does not require changes in current CMOS processing techniques. The structure includes the use of a silicon interposer. The silicon interposer can consist of recycled rejected wafers from the front-end semiconductor processing. Micro-machined vias are formed through the silicon interposer. The micro-machined vias include electrical contacts which couple various integrated circuit devices located on the opposing surfaces of the silicon interposer. The packaging includes a Peltier element.

Description

  • This application is a divisional of U.S. application Ser. No. 10/606,539 filed Jun. 26, 2003, which is a divisional of U.S. application Ser. No. 09/144,307 filed on Aug. 31, 1998, now U.S. Pat. No. 6,586,835, which are incorporated herein by reference.
  • 1. Field of the Invention
  • The present invention relates generally to semiconductor integrated circuits. More particularly, it pertains to a compact system module with built-in thermoelectric cooling.
  • 2. Background of the Invention
  • Integrated circuit technology relies on transistors to formulate vast arrays of functional circuits. The complexity of these circuits requires the use of an ever increasing number of linked transistors. As the number of transistors required increases, the integrated circuitry dimensions shrink. It is one objective in the semiconductor industry to construct transistors and other discrete devices which occupy less surface area on a given silicon chip/die. At the same time, the semiconductor industry seeks to increase the speed and power offered by integrated circuits. One approach to the latter challenge is through the development of improved methods for electrically connecting and packaging circuit devices which are fabricated on the same or on different silicon chips.
  • Ideally, we would like to build a computing system by fabricating all the necessary integrated circuits on one wafer or chip, as compared with today's method of fabricating many chips of different functions and packaging them to assemble a system. A true “system on a chip” would greatly improve integrated circuit performance and provide higher bandwidth. Unfortunately, it is very difficult with today's technology to implement a truly high-performance “system on a chip” because of vastly different fabrication processes and different manufacturing yields for the logic and memory circuits.
  • As a compromise, various “system modules” have been introduced that electrically connect and package circuit devices which are fabricated on the same or on different semiconductor chips. These began with simply stacking two semiconductor chips, e.g. a logic and memory chip, one on top of the other in an arrangement commonly referred to as chip-on-chip (COC) structure. Chip-on-chip structure most commonly utilizes micro bump bonding technology (MBB) to electrically connect the two chips. Several problems, however, remain inherent with this design structure. One serious complication includes the heating which occurs most seriously in connection with a logic chip such as a microprocessor. In high-performance microprocessors, where CPUs are running at 500 MHz and dissipating up to 85 watts of power, cooling becomes a crucial issue.
  • Usually, the cooling of such a package is accomplished by forced air. In certain applications, however, forced air cooling is not feasible or practical. Examples of such applications include computers to be used in outer space, in a vacuum environment on earth, or in clean rooms where air circulation is not desirable. For these and other instances, a different method of cooling is required. Another cooling method includes liquid cooling, such as the forced water cooling used in the thermal conduction modules of 113M main frame computers and forced freon cooling used in Cray supercomputers. Still, liquid cooling methods can also prove too bulky, costly, and not easily adapted for use in compact high-performance integrated circuit systems, e.g. portable devices.
  • Thus, it is desirable to develop an improved structure and method for cooling high performance integrated circuit systems. Additionally, the improved structure and method should accommodate a dense integration and packaging for semiconductor chips, e.g. logic and memory chips.
  • SUMMARY OF THE INVENTION
  • The above mentioned problems with integrated circuits and other problems are addressed by the present invention and will be understood by reading and studying the following specification. An integrated circuit package which accords improved performance is provided.
  • In particular, an improved integrated circuit package for providing built-in heating or cooling to a semiconductor chip is provided. The improved integrated circuit package provides increased operational bandwidth between different circuit devices, e.g. logic and memory chips. The improved integrated circuit package does not require changes in current CMOS processing techniques. The structure includes the use of a silicon interposer. The silicon interposer can consist of recycled rejected wafers-from the front-end semiconductor processing. Micro-machined vias are formed through the silicon interposer. The micro-machined vias include electrical contacts which couple various integrated circuit devices located on the opposing surfaces of the silicon interposer. The packaging includes a Peltier element.
  • The Peltier element, using semiconductor-based materials, functions as a small heat pump. By applying a low-voltage d-c current to the Peltier element thermal energy is transferred with the effect that one portion of the Peltier element is cooled and another heated. In one embodiment, the heated portion of the Peltier element is in contact with a heat sink or the outer cover of the integrated circuit package and the cooled portion is in contact with a semiconductor chip. Thus providing improved cooling for high frequency, high speed microprocessor chip components. In an alternative embodiment, the arrangement is reversed. This design has no moving parts, is small in size and lightweight, and has the ability to cool below or heat above the ambient temperature surrounding integrated circuit devices.
  • These and other embodiments, aspects, advantages, and features of the present invention will be set forth in part in the description which follows, and in part will become apparent to those skilled in the art by reference to the following description of the invention and referenced drawings or by practice of the invention. The aspects, advantages, and features of the invention are realized and attained by means of the instrumentalities, procedures, and combinations particularly pointed out in the appended claims.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1A is a cross-sectional view illustrating an electronic packaging assembly according to the teachings of the present invention.
  • FIG. 1B is a cross-sectional view illustrating in greater detail a portion of a particular embodiment for the present invention.
  • FIG. 2 is a cross-sectional view illustrating an embodiment of a Peltier element according to the teachings of the present invention.
  • FIG. 3 is a block diagram illustrating an electronic system according to an embodiment of the present invention.
  • FIGS. 4A-4G illustrate an embodiment of a process of fabrication for a portion of an embodiment of the present invention.
  • FIG. 5 illustrates, in flow diagram form, a methodical aspect according to the teachings of the present invention.
  • FIG. 6 illustrates, in flow diagram form, a methodical aspect for forming an electronic packaging assembly according to the teachings of the present invention.
  • FIG. 7 illustrates, in flow diagram form, a methodical aspect for packaging an integrated circuit according to the teachings of the present invention.
  • FIG. 8 illustrates, in flow diagram form, an embodiment for the method of cooling an integrated circuit according to the teachings of the present invention.
  • FIG. 9 illustrates, in flow diagram form, an embodiment for the method of heating an integrated circuit according to the teachings of the present invention.
  • DETAILED DESCRIPTION
  • In the following detailed description of the invention, reference is made to the accompanying drawings which form a part hereof, and in which is shown, by way of illustration, specific embodiments in which the invention may be practiced. In the drawings, like numerals describe substantially similar components throughout the several views. These embodiments are described in sufficient detail to enable those skilled in the art to practice the invention. Other embodiments may be utilized and structural, logical, and electrical changes may be made without departing from the scope of the present invention.
  • The terms wafer and substrate used in the following description include any structure having an exposed surface with which to form the integrated circuit (IC) structure of the invention. The term substrate is understood to include semiconductor wafers. The term substrate is also used to refer to semiconductor structures during processing, and may include other layers that have been fabricated thereupon. Both wafer and substrate include doped and undoped semiconductors, epitaxial semiconductor layers supported by a base semiconductor or insulator, as well as other semiconductor structures well known to one skilled in the art. The term conductor is understood to include semiconductors, and the term insulator is defined to include any material that is less electrically conductive than the materials referred to as conductors. The following detailed description is, therefore, not to be taken in a limiting sense, and the scope of the present invention is defined only by the appended claims, along with the full scope of equivalents to which such claims are entitled.
  • The term “horizontal” as used in this application is defined as a plane parallel to the conventional plane or surface of a wafer or substrate, regardless of the orientation of the wafer or substrate. The term “vertical” refers to a direction perpendicular to the horizonal as defined above. Prepositions, such as “on”, “side” (as in “sidewall”), “higher”, “lower”, “over” and “under” are defined with respect to the conventional plane or surface being on the top surface of the wafer or substrate, regardless of the orientation of the wafer or substrate.
  • Throughout this specification the designation “n+” refers to semiconductor material that is heavily doped n-type semiconductor material, e.g., monocrystalline silicon or polycrystalline silicon. Similarly, the designation “p+” refers to semiconductor material that is heavily doped p-type semiconductor material. The designations “n−” and “p−” refer to lightly doped n and p-type semiconductor materials, respectively.
  • Several illustrative embodiments of the present invention are provided below. In one illustrative embodiment of the present invention includes an electronic packaging assembly. The electronic packaging assembly includes a silicon interposer which has a first and second, or opposing sides. At least one semiconductor chip is provided on a first side of the silicon interposer. The semiconductor chip on the first side of the silicon interposer is coupled to a metal-to-semiconductor junction. At least one semiconductor chip is similarly provided on a second side of the silicon interposer. A number of electrical connections are formed through the silicon interposer and couple the semiconductor chips located on each side of the silicon interposer.
  • In another embodiment, an electronic system module is provided the electronic system module includes a silicon interposer having opposing surfaces. A microprocessor, which has a circuit side, faces a first one of the opposing surfaces of the silicon interposer. The microprocessor on the first side of the silicon interposer is coupled to a metal-to-semiconductor junction. A memory chip, which has a circuit side, faces a second one of the opposing surfaces of the silicon interposer. A number of electrical connections extend through the silicon interposer and couple the circuit side of the microprocessor to the circuit side of the memory chip.
  • In another embodiment, a computer system is provided. The computer system includes an electronic packaging assembly as presented and described above. A number of external devices are connected to the electronic packaging assembly by a system bus.
  • In another embodiment of the present invention, a method for cooling an integrated circuit is provided. The method includes using, or providing, a silicon interposer with opposing sides and coupling a first semiconductor chip to a first side and coupling a second semiconductor chip to a second side of the silicon interposer. A number of electrical connections through the silicon interposer electrically connect the first semiconductor chip to the second semiconductor. The method further includes forming a metal-to-semiconductor junction connected to the first semiconductor chip. A current is then passed through the metal-to-semiconductor junction in a direction so as to draw thermal energy away from the first semiconductor chip.
  • In another embodiment of the present invention, a method for heating an integrated circuit is provided. The method includes using, or providing, a silicon interposer with opposing sides and coupling a first semiconductor chip to a first side and coupling a second semiconductor chip to a second side of the silicon interposer. A number of electrical connections through the silicon interposer electrically connect the first semiconductor chip to the second semiconductor. The method further includes forming a metal-to-semiconductor junction connected to the first semiconductor chip. A current is then passed through the metal-to-semiconductor junction in a direction so as to draw thermal energy to the first semiconductor chip.
  • FIG. 1A is a cross-sectional view illustrating an electronic packaging assembly 100, or electronic system module 100, according to the teachings of the present invention. FIG. 1A includes a silicon interposer 110. The silicon interposer 110 has opposing surfaces which include a first one 115 of the opposing surfaces, or first side 115 and a second one 120 of opposing surfaces, or second side 120. In one exemplary embodiment, the silicon interposer 110 includes rejected silicon wafers which have been recycled from the front-end of the semiconductor fabrication process. The silicon interposer 110 may have active and passive devices built on one or both sides, 115 and 120. Further, the active and passive devices built on one or both sides, 115 and 120, can also include simple capacitors using the insulator and metallurgy on one side of the interposer, and can include devices such as, for example driver circuits. The electronic packaging assembly 100 includes a number of flip chips, 125A, 125B, . . . , 125N respectively, located on the first side 115 of the silicon interposer 110. The electronic packaging assembly 100 additionally includes a number of flip chips, 125AA, 125BB, . . . , 125NN respectively, located on the second side 120 of the silicon interposer 110. In one embodiment, the a number of flip chips, 125A, 125B, . . . , 125N respectively, located on the first side 115 includes at least one semiconductor chip which is a microprocessor chip or other suitable logic chip. In one embodiment, the number of flip chips, 125AA, 125BB, . . . , 125NN respectively, located on the second side 120 includes at least one semiconductor chip which is a memory chip. The memory chip can include a dynamic random access memory (DRAM)-type chip. Likewise, the memory chip can include a static random access memory (SRAM)-type chip or flash electrically erasable program read only memory (flash EEPROM)-type chip.
  • In alternative embodiments, the nature of the flip, or semiconductor, chips coupled to the first and second sides, 115 and 120 respectively, can be reversed or varied in any desired order. In one embodiment, capacitors are similarly included amongst the number of flip-chips, 125A, 125B, . . . , 125N, or, 125AA, 125BB, . . . 125NN, and coupled to the first or second side, 115 and 120 respectively. Likewise, microprocessors and memory chips may be coupled to the same side of the silicon interposer. In one embodiment, a second or even multiple microprocessors, capacitors, and memory chips are included in the number of flip-chips, 125A, 125B, . . . , 125N, or, 125AA, 125BB, . . . , 125NN, and are coupled with their circuit side 130 facing the first or second side, 115 and 120 respectively, of the silicon interposer 110.
  • In constructing the silicon interposer 110 it is of course necessary to complete all the high-temperature process steps prior to the deposition of the interconnection metallurgy. In one embodiment, the microprocessors or logic chips are included amongst the number of flip-chips, 125A, 125B, . . . , 125N, and are mounted with the circuit side 130 face-down (active circuit facing downward) to the silicon interposer 110 by a ball-grid array (BGA) 131, micro-bump bonding (MBB) 131, or controlled collapse chip connections (C-4) 131. In the same embodiment, DRAM chips which are included amongst the number of flip-chips, 125AA, 125BB, . . . , 125NN and are mounted on the other side of the silicon interposer 110 with the circuit side 130 facing upward using the same or similar connection technology. Since chips will be mounted on both sides of the interposer one must be cognizant of the effects of high temperature on the solder joints previously made. This problem may be solved either by using only localized heating to reflow the solder on the second surface 120 or by using an appropriate solder hierarchy. In one embodiment, lead 2.5% (by weight) Pb—Sn solder is used on the C-4 joints of the chips, 125A, 125B, . . . , 125N, mounted on the first side 115. In the same embodiment then, Pb-11 wt. % Sb is used for the chips, 125AA, 125BB, . . . , 125NN, mounted on the second side 120, and Pb-62 Wt % Sn is used for card soldering. In alternative embodiments, other lower-melting point alloys, for example, Ga-based alloys can also be used.
  • FIG. 1A further illustrates that a number of electrical connections 135 couple the number of semiconductor chips, 125A, 125B, . . . , 125N, mounted on the first side 115 to the number of semiconductor chips, 125AA, 125BB, . . . , 125NN, mounted on the second side 120 of the silicon interposer 110. The number of electrical connections 135 include micro-machined vias which are formed according to the detailed description provided below in connection with FIGS. 4A-4G. The detailed description below for the number of electrical connections 135 is provided according to techniques taught in co-pending application Ser. No. 08/917,443, entitled “Integrated Circuitry and Methods of Forming Integrated Circuitry,” filed on Aug. 22, 1997 or according to techniques taught in application Ser. No. 08/917,449, entitled “Methods of Forming Coaxial Integrated Circuitry Interconnect Lines, and Integrated Circuitry,” filed on Aug. 22, 1997, which applications are incorporated herein by reference.
  • In one embodiment, as illustrate by FIG. 1A, at least one of the number of semiconductor chips, 125A, 125B, . . . , 125N, is further coupled to a metal-to-semiconductor junction 160, or any other suitable Peltier Junction 160 which will produce a Peltier effect. In this application, a current passed through a Peltier Junction 160 is defined to produce a Peltier effect.
  • The Peltier effect is essentially the reverse of a thermocouple effect. When a current is passed through a circuit formed from two dissimilar metals or from a metal and a semiconductor, or even certain other alloys and compounds, one junction gives off heat and is cooled and the other absorbs heat and becomes warm. The effect is reversible, e.g., if the current is reversed, thermal energy will be drawn in the opposite direction, the cool junction becomes warm and the hot junction cools. Larger temperature differences are produced with metal-to-semiconductor junctions than with metal-to-metal junctions. A metal-n-type junction produces a temperature difference in the opposite sense to that of a metal-p-type junction for the same direction of current flow. A number of such junctions can be used to form a Peltier element, an example of which is shown in FIG. 2.
  • The amount of thermal energy transferred, for a given current, depends on the conductors. The thermal energy that is emitted or absorbed with the passage of current through a junction of dissimilar conductors is called the Peltier heat. The quotient of the Peltier heat and the current is called the Peltier coefficient. Also, a coefficient of performance (COP) is defined in terms of the ratio of the quantity of absorbed heat to the inputted power.
  • FIG. 1B is a cross-sectional view illustrating in greater detail a portion of an exemplary embodiment of the present invention. In FIG. 1B, Peltier element 160 includes a semiconductor material layer 128 sandwiched between a first metal layer 127 and a second metal layer 129. The arrangement forms a first metal-to-semiconductor junction 171 between the first metal layer 127 and the semiconductor material layer 128. A second metal-to-semiconductor junction 174 is formed between the second metal layer 129 and the semiconductor material 128. In this embodiment the semiconductor material can include a range of semiconductor materials. In one such embodiment, the semiconductor material layer 128 includes an alloy of Bismuth Telluride (Bi2Te3) that has been suitably doped to provide either distinct “n” or “p” characteristics. Alternatively an alloy of Bismuth Telluride (Bi2Te3) can be suitably doped and organized to provide individual blocks of elements having distinct “n” and “p” characteristics, as illustrated by n-type blocks, 202A, 202B, . . . , 202C, etc. and by p-type blocks, 203A, 203B, . . . , 203C, etc. in FIG. 2.
  • Often, Bi2Te3 is used as the doped semiconductor material for near-room-temperature applications. Lead Telluride (PbTe) and Silicon Germanium (SiGe) are frequently used as the doped semiconductor material in higher temperature applications. Similarly suited doping techniques are employed to create the individual layers, or blocks, of Lead Telluride (PbTe) and Silicon Germanium (SiGe) compounds having distinct “n” and “p” characteristics.
  • FIG. 1B illustrates one embodiment in which the silicon interposer 110 is further connected to an integrated circuit package 136, or chip package 136. One of ordinary skill in the art of semiconductor fabrication will understand the manner by which the silicon interposer 110 may be connected to the chip package 136. An exemplary embodiment for constructing the same is provided in U.S. Pat. No. 5,598,031, G.L. Groover, et al, “Electrically and thermally enhanced package using a separate silicon substrate.” Another exemplary embodiment for constructing the same is provided in U.S. Pat. No. 5,061,987, Hsia, Yukun, “Silicon substrate multichip assembly.” In the embodiment of FIG. 1B, the chip package 136 has first and second electrical leads, 137 and 138 respectively. Further, FIG. 1B illustrates that the electronic packaging assembly 100 includes electrical coupling 139 between the first electrical lead 137 and the silicon interposer 110, and hence the semiconductor chip 125. FIG. 1B, further illustrates an electrical coupling 133 between the semiconductor chip 125 and the first metal layer 127. Also electrical coupling 139 is provided between the second metal layer 129 and a second electrical lead 138 on chip package 136. In one embodiment, the electrical coupling, 139, 133, and 131 respectively, includes wire bonding. In an alternative embodiment, the electrical coupling, 139, 133, and 131 respectively, includes any suitable coupling such as, for example, tape automated bonding (TAB).
  • FIG. 1A, illustrated an alternative chip package embodiment for transmitting and receiving signals from the silicon interposer 110. In FIG. 1A, signal connections from the electronic packaging assembly 100 to other components in an extended electronic system is provided by energy-efficient optical fiber interconnections. The Peltier Junction 160 included in FIG. 1A includes the “sandwich type” configuration illustrated by FIG. 1B, or an alternatively suited configuration such as, for example, the embodiment illustrated by FIG. 2. The Peltier Junction 160 is coupled to at least one of the number of semiconductor chips, 125A, 125B, . . . , 125N, 125AA, 125BB, . . . , 125NN, found on the silicon interposer 110. In the embodiment of FIG. 1A, the Peltier junction 160 is shown coupled to chip 125A. The Peltier Junction 160 functions according to operating techniques taught in this application or according to equivalents of the same.
  • FIG. 1A illustrates an optical receiver 140 adapted to receiving input signals from a fiber optical network 165. The optical receiver 140 is further coupled to a sense amplifier 145. The sense amplifier 145 and optical receiver 140 are located on the silicon interposer 110. The sense amplifier 145 is further coupled to at least one of the multiple semiconductor chips, 125A, 125B, . . . , 125N, mounted on the first side 115 of the silicon interposer 110. Also illustrated in FIG. 1A is an optical emitter 150. The optical emitter 150 couples signals from at least one of the multiple semiconductor chips, 125A, 125B, . . . , 125N, mounted on the first side 115 of the silicon interposer 110 to the fiber optical network. FIG. 1A provides an illustration of the V-grooves 155 located on the silicon interposer 110. Optical fibers 156 are mounted in the V-grooves 155 on the silicon interposer 110. In one embodiment, the optical receiver 140 includes a thyristor detector 140. Further, in one particular embodiment, the thyristor detector 140 includes a silicon thyristor detector 140. In an alternative embodiment, the thyristor detector 140 includes a gallium arsenide (GaAs) thyristor detector 140. In one embodiment, the optical emitter 150 includes a light-emitting diode (LED) 150. The light-emitting diode (LED) 150 can be, for instance, a gallium arsenide (GaAs) emitter. In one embodiment, the sense amplifier 145 includes a current sense amplifier 145. In one embodiment, as illustrated in FIG. 1A, the coupling between the optical emitter 150 and the fiber optical network 165 includes optical fibers 156 mounted in V-grooves 155 on the silicon interposer 110. This optical coupling can be achieved in any suitable manner and can include two (2) way channel individual optical fibers 156. One exemplary embodiment for such optical coupling is provided by the method described in V. Vusirikala, et al., “Flip-chip optical fiber attachment to a monolithic optical receiver chip,” Proc. SPIE Emerging Components and Technologies for all Optical Networks, Philadelphia, Pa. USA, 24 Oct. 1995, pp. 52-58. In one embodiment, the optical emitter 150 is flip chip bonded to the silicon interposer and an end 157 in a V-groove 155 is adapted to reflect light from the optical emitter 150 into the optical fibers 156. This technique, too can be achieved in any suitable manner such as, for example, the method described in O. Vendier et al., “A 155 Mbps digital transmitter using GaAs thin film LEDs bonded to silicon driver circuits,” Dig. IEEE/LEOS Summer Topical Mtg., Keystone Colo., 5-9 Aug. 1996, pp. 15-16.
  • As stated previously the direction of the current flow through the Peltier junction 160 will determine the direction in which heat energy is transported. The following provides several exemplary embodiments of operation with reference to FIG. 1B. In one operational embodiment of FIG. 1B, the semiconductor material includes a p-type semiconductor material 128. In this embodiment, a positive lead of a voltage supply is provided to electrical lead 137 and a negative lead of a voltage supply is provided to electrical lead 138. Thus, current is passed from positive lead 137 in the direction of the arrow 170 across a metal-p-type semiconductor junction 171 located between the first metal layer 127 and p-type semiconductor material 128. According to the Peltier effect, thermal energy is thus drawn in, or follows, the direction of the current flow through the p-type semiconductor. Thus, in this operational embodiment of FIG. 1B, heat is drawn away from first metal layer 127 with a resultant cooling effect at junction 171 and a heating effect at junction 174. In this embodiment, thermal energy, or Peltier heat, is drawn away from chip 125A and the chip 125A is cooled.
  • Conversely, if the layer 128 remains p-type but the current flow is reversed, e.g., the polarity of the voltage supply is reversed, then thermal energy continues to be drawn in, or follow, the direction of current flow, but the direction is now in the direction of arrow 172. In this operational embodiment of FIG. 1B, heat is drawn toward first metal layer 127 with a resultant heating effect at junction 171 and a cooling effect at junction 174. In this embodiment, thermal energy, or Peltier heat is drawn toward chip 125A and chip 125A is heated.
  • In another exemplary embodiment of FIG. 1B, semiconductor layer 128 is an n-type semiconductor material 128. The positive lead of a voltage supply is provided to electrical lead 137 and a negative lead of a voltage supply is provided to electrical lead 138. Current is passed from positive lead 137 in the direction of the arrow 170 across a metal-to n-type semiconductor junction 171 located between the first metal layer 127 and the n-type semiconductor material 128. Current flow through the metal-to n-type semiconductor results in thermal energy being transmitted in the direction of arrow 172, opposite to the direction of current flow. Thus, in this operational embodiment of FIG. 1B, heat is drawn in the direction of junction 171 and away from junction 174. The resultant effect is that junction 171 is heated and junction 174 is cooled. Consequently, thermal energy, or heat, is drawn to chip 125A and chip 125A is heated.
  • Conversely, if the layer 128 remains n-type but the current flow is reversed, e.g., the polarity of the voltage supply is reversed, then thermal energy continues to be drawn opposite the direction of current flow, but the direction is now in the direction of arrow 170. In this operational embodiment of FIG. 1B, heat is drawn away from first metal layer 127 with a resultant cooling effect at junction 171 and a heating effect at junction 174. In this embodiment, thermal energy, or Peltier heat is drawn away from chip 125A and chip 125A is cooled.
  • As is evident from the illustrations presented above, the choice of semiconductor type material, e.g. n or p-type, and the choice of current direction can be varied without departing from the scope of the present invention. And, these above stated variables can thus be coordinated to achieve a desired objective of either heating or cooling chip 125A.
  • In one embodiment, shown in FIG. 1B, an insulator layer 126 separates the first metal layer 127 from the semiconductor chip 125A. In one embodiment, the adhesive insulator layer 126 includes any suitable epoxy. In an alternative embodiment, the insulator layer 126 can include any suitable material, as the same will be understood upon reading this disclosure by one of ordinary skill in the art of semiconductor fabrication. In one embodiment, the first and second metal layers, 127 and 129 respectively, are formed of copper (Cu). In an alternate embodiment, first and second metal layers, 127 and 129, are formed from any suitable metal conductor as will by understood upon reading this disclosure by one of ordinary skill in the art of semiconductor fabrication.
  • FIG. 2 is a cross-sectional view illustrating another embodiment of a Peltier element 200 according to the teachings of the present invention. In FIG. 2, the direction in which the current, indicated by arrows 219, is passed through the n-type, 202A, 202B, . . . , 202C, etc. and the p-type, 203A, 203B, . . . , 203C, etc. semiconductor blocks determines which direction heat energy is transferred. The direction in which the heat energy, or Peltier heat, is transferred determines which surfaces 201A, 201B, . . . , 201C, etc. or surfaces 204A, 204B, . . . , 204C, etc. are cooled and heated respectively. In example, with the current passing in the direction of arrows 219, heat is drawn in the direction of the current for current passing through a p-type semiconductor material, 203A, 203B, . . . , 203C, etc. and heat is drawn opposite to the direction of current for current passing through an n-type semiconductor material, 202A, 202B, . . . , 202C, etc. Thus, in the embodiment of FIG. 2, surfaces 201A, 201B, . . . , 201C, etc. are heated, and surfaces 204A, 204B, . . . , 204C, etc. are cooled. Conversely, if the current is reversed from the direction of arrows 219, then surfaces 201A, 201B, . . . , 201C, etc. are cooled, and surfaces 204A, 204B, . . . , 204C, etc. are heated.
  • In addition to the semiconductor materials presented earlier, Peltier elements can be formed from a range of other metal-to-metal, or metal-to-semiconductor combinations. FIG. 2 aids in illustrating alternative embodiments of a Peltier element constructed from such other combinations. As will be understood by one of ordinary skill in the art of semiconductor fabrication from reading this disclosure, substitution of these other combinations is included within the scope of the present invention. The following alternative embodiments provide example of this and all offer a high coefficient of performance (COP). In one exemplary embodiment each of the following combinations is adapted to provide a COP of at least 0.6 or better.
  • In FIG. 2 an embodiment of an isolated illustration of a Peltier element 200 is provided. In one embodiment, Peltier element 200 includes interfaces, or junctions, of metal-to-metal, or metal-to-semiconductor materials. In the exemplary embodiment, the Peltier element 200 of FIG. 2 can be suitably fabricated upon a semiconductor wafer. In one exemplary alternative embodiment, the n-type layers, or blocks, 202A, 202B, . . . , 202C, etc. and the p-type layers, or blocks, 203A, 203B, . . . , 203C, etc. include appropriately doped complex oxide semiconductors. In one embodiment, the complex oxide semiconductors include strontium (Sr) and titanium (Ti). In this embodiment the complex oxide semiconductors possess an oxygen deficiency.
  • The following materials are also well suited for inclusion as the n-type semiconductor layers, or blocks, 202A, 202B, . . . , 202C, etc. and the p-type semiconductor layers, or blocks, 203A, 203B, . . . , 203C, etc. In one embodiment, the n-type semiconductor layers, or blocks, 202A, 202B, . . . , 202C, etc. and the p-type semiconductor layers, or blocks, 203A, 203B, . . . , 203C, etc. are formed from a superlattice comprising alternating layers of (PbTeSe)m and (BiSb)n where m and n are the number of PbTeSe and BiSb monolayers per superlattice period. In another embodiment, the n-type semiconductor layers, or blocks, 202A, 202B, . . . , 202C, etc. and the p-type semiconductor layers, or blocks, 203A, 203B, . . . , 203C, etc. include semiconductor alloys formed between Antimony (Sb) and a transition metal (T) of Group VIII, including Cobalt, Rhodium, and Iridium (Co, Rh, and Ir), and wherein the alloy has the general formula Tsb3. In this embodiment, the semiconductor alloy includes a skutterudite-type crystal lattice.
  • Similarly well suited to the teachings of the present invention are Peltier elements 200 fabricated by thin film technology onto the backside of an semiconductor chip. An optimization of Bi2Te3 films includes forming a Copper (Cu) and doped Bismuth Telluride (Bi2Te3) junction using vacuum evaporation to form a thin film of p or n-doped Bismuth Telluride (Bi2Te3). An alternate thin film junction is formed using vacuum evaporation to form a thin film of p or n-doped Antimony Telluride (Sb2Te3). The method for such an embodiments, is provided, for example, according to the methods taught by C. Shafai and M.J. Brett, “Optimization of Bi2Te3 thin films for microintegrated Peltier heat pumps”, Journal of Vacuum Science and Technology A, vol.15, no. 5, p. 2798-801, 1997, and C. Shafai and M.J. Brett, “A micro-integrated Peltier heat pump for localized on-chip temperature control”, Canadian Journal of Physics, vol. 74, no. 1, p. S139-42, 1996.
  • One of ordinary skill in the art of semiconductor fabrication will, upon reading this disclosure, understand the manner in which to construct the same. As explained above in detail relative to FIGS. 1B and 2, doping and current flow direction determine the direction in which thermal energy will be transported in these various alternative embodiments. The doping and current flow direction are arranged in accordance to the Peltier effect physical laws to either draw Peltier heat to or away from a chosen component. The effect being that the selected component is either cooled or heated as desired.
  • FIG. 3 is a block diagram illustrating an electronic system 300 according to an embodiment of the present invention. The electronic system 300 includes an electronic packaging assembly 305. The electronic packaging system 305 includes the electronic packaging assembly 305 presented and described in detail above. The electronic packaging assembly 305, specifically includes a semiconductor chip which is further coupled to a metal-to-semiconductor junction. The electronic system 300 includes a number of external devices 310. The number of external devices 310 include, for example, memory controllers, microprocessors and input/output bus units. The electronic system 300 includes a system bus 320. The system bus 320 couples the number of external devices 310 to the electronic packaging assembly 305.
  • FIGS. 4A-4G illustrate an embodiment of the various processing steps for fabricating the number of electrical connections 135 through the silicon interposer 110, as illustrated in FIG. 1. FIGS. 4A-4G illustrate an embodiment for forming salicided connections 135 through the silicon interposer 110. FIG. 4A, is a top view illustrating generally a semiconductor wafer fragment at 10.
  • In FIG. 4B, a cross-sectional view of FIG. 4A, taken along cut-lines 4B-4B, is provided. The semiconductor wafer fragment at 10 includes a semiconductor conductive substrate. Wafer fragment 10 includes a front surface 14 and a back surface 16 and a thickness (t) which is defined between the surfaces. An exemplary thickness is around 30 mils or between around 750 to 800 micrometers (μm). FIG. 4B illustrates that amounts of the semiconductor conductive wafer material are removed to form holes or passageways 18, 20, and 22. In one embodiment, such holes are formed to a depth of not less than half of thickness (t). In one exemplary embodiment, holes 18, 20 and 22 extend perpendicularly through the entirety of wafer fragment 12 and join with front and back surfaces 14 and 16, respectively. In the exemplary embodiment, holes 18, 20, and 22 have aspect ratios greater than about 50. In another exemplary embodiment, the holes 18, 20, and 22 have aspect ratios between about 75 and 80.
  • In a preferred implementation, holes 18, 20, and 22 are formed or otherwise provided prior to processing of any integrated circuitry devices over either of surfaces 14, 16. In other words, the holes are formed prior to patterning any conductive material which is associated with integrated circuitry devices to be formed over either of surfaces 14 and 16. The holes 18, 20, and 22 are formed through suitable etching techniques. Alternatively, such holes are formed or drilled with a suitable laser. In one exemplary embodiment, very high aspect ratio holes are formed by placing the wafer in a semiconductor wafer processor including a dipole-ring magnetron etching reactor after which, the wafer is exposed to conditions within the dipole ring magnetron etching reactor which are sufficient to form holes which extend through the entirety of the wafer. A suitable dipole ring magnetron (DRM) reactor is described in an article entitled “Trench Storage Node Technology for Gigabit DRAM Generations,” Technical Digest of International Electron Devices Meeting, Dec. 8-11, 1996, pages 507-510, published IEEE, Catalog No. 96CH35961 and authored by Muller et al. Likewise, a suitable DRM system and exemplary processing conditions are described in an article entitled “A New High-Density Plasma Etching System Using a Dipole-Ring Magnet,” Jpn. J. Appl. Phys., 34, pt. 1, no. 11, Nov. 1995, pages 6274-6278, and authored by Sekine et al. After formation of the holes 18, 20, and 22, the same can be temporarily filled with any material such as a photoresist to enable subsequent processing of integrated circuitry devices over either or both of surfaces 14 and 16.
  • As shown in FIG. 4C and in accordance with an exemplary embodiment, integrated circuitry is formed or otherwise processed and supported by wafer fragment 10. Integrated circuitry 24 can be formed over or proximate front surface 14, back surface 16, or both front and back surfaces 14, 16, respectively.
  • In FIG. 4D, wafer fragment 10 is exposed to conditions which are effective to form respective dielectric layers 28, 30, and 32 within each of the holes 18, 20, and 22, and proximate the respective interior surfaces 19, 21 and 23 thereof. In one embodiment, dielectric layers 28, 30, and 32 comprise a nitride-containing layer which is disposed proximate respective interior surfaces 19, 21, and 23. An oxide-containing layer is formed over the nitride-containing layer to provide a dielectric (NO) layer within the hole. In an exemplary embodiment, a nitride-containing layer is formed through chemical vapor deposition (CVD) and the oxide layer by exposing the substrate to oxidizing conditions. In one exemplary embodiment, dielectric layers 28, 30 and 32 can constitute reoxidized, low-pressure, chemical vapor deposition (LPCVD) on nitride film which forms the illustrated and preferred (NO) dielectric layer. An exemplary processing implementation includes in situ nitridation in ammonia an 950° C. LPCVD of nitride at 700° C. takes place with dichlorosilane and ammonia. Subsequently, reoxidation of the nitride takes place at a temperature between 900° C. and 950° C. Alternatively, fast thermal processing (FTP) can implement the above-described three processing steps into a single processing run. Exemplary processing methods and systems are described in the Mueller et al. article referenced above. Alternatively, dielectric layers 28, 30 and 32 can comprise a thin, silicon dioxide film. A desired and exemplary thickness of such layers is between 50-100 nanometers (nm).
  • In FIG. 4E, electrical interconnect material 34, 36 and 38 is formed within holes 18, 20 and 22 respectively. Such material fills each hole and is capable of electrically interconnecting integrated circuitry formed over both front and back surfaces 14 and 16 respectively. In a preferred implementation, interconnect material 34, 36 and 38 constitute a first material which is formed within each respective hole and comprises polysilicon which is formed through CVD. Excess first material can be removed through conventional steps such as chemical mechanical planarization (CMP).
  • In FIG. 4F, a second layer of electrically conductive material 40 is formed over the first material 34, 36 and 38. In one embodiment, such material is formed over both front and back surfaces 14 and 16 respectively. In another embodiment, second material 40 constitutes comprising a metal material which is different from first material 34 and 36 and 38. In an exemplary embodiment, second material 40 constitutes an aluminum comprising layer or film. Such material film can be deposited through suitable sputtering or evaporation techniques. Mechanical mask can be utilized in order to define with more particularity the area over which the preferred aluminum layer is deposited. Alternatively, such a layer can be blanket deposited and subsequently processed as described below.
  • FIG. 4G illustrates the wafer fragment 10 is exposed to processing conditions which are effective to cause the second material 40 to replace the first material 34, 36 and 38. In an exemplary embodiment, the first material 34, 36 and 38 is completely replaced with the second material 40 and the second material 40 electrically interconnects at least some of the front surface integrated circuitry 24 with at least some back surface integrated circuitry 26. Exemplary processing conditions include annealing the wafer at a temperature greater than or equal to about 500° C. for a sufficient amount of time. The thickness of the second material 40 will be determined by the size and dimensions of the interconnecting holes or passageways. As a guideline, and for a 0.175 micron diameter and 1.7 micron deep hole with an aspect ratio of 10, an aluminum thickness of 0.5 micrometers (μm) is sufficient to substitute the preferred polysilicon. Annealing times and temperatures can be decreased by forming a thin, e.g., 0.2 micrometer, titanium (Ti) layer over material 40 prior to annealing. The Ti layer acts as a polysilicon capture layer which accelerates the replacement of polysilicon with aluminum. Exemplary processing methods are described in an article entitled “Novel High-Aspect Ratio Plug for Logic/DRAM LSIs Using Polysilicon-Aluminum Substrate (PAS),” Technical Digest of International Electron Devices Meeting, Dec. 8-11, 1996, pages 946-948, published by IEEE, catalog number 96CH35961 and authored by Horie et al. Excess aluminum in the substitute for polysilicon can be removed through suitable processing techniques such as CMP. Alternately considered, a conductive interconnect is provided within wafer fragment 10 between and electrically connecting at least a portion of the front-formed integrated circuitry and the back-formed integrated circuitry. In the illustrated example, the integrated circuitry is formed in advance of the formation of the conductive interconnect.
  • In an alternate embodiment of the present invention, a method of forming coaxial integrated circuitry and interconnect lines is provided in application Ser. No. 08/917,449 entitled, “Methods of Forming Coaxial Integrated Circuitry Interconnect Lines, and Integrated Circuitry” filed on Aug. 22, 1997, which application is incorporated herein by reference.
  • FIG. 5 illustrates, in flow diagram form, a methodical aspect according to the teachings of the present invention. A silicon interposer is formed at 510. The silicon interposer includes micro-machined vias formed through the silicon interposer. A number of flip chips are attached to the silicon interposer at 520. The flip chips couple to the micro-machined vias. A Peltier element is coupled to at least one of the flip chips at 530.
  • FIG. 6 illustrates, in flow diagram form, a methodical aspect according to the teachings of the present invention. A silicon interposer is provided at 610. The silicon interposer has opposing sides. A semiconductor chip is coupled to each of the opposing sides of the silicon interposer at 620. Next, the semiconductor chips on each side of the silicon interposer are coupled to one another by a number of micro-machined vias at 630. A Peltier element is coupled to at least one of the semiconductors chips at 640.
  • FIG. 7 illustrates, in flow diagram form, a methodical aspect according to the teachings of the present invention. A silicon interposer is provided at 710. The silicon interposer has opposing sides. A semiconductor chip is coupled to each of the opposing sides of the silicon interposer at 720. Next, the semiconductor chips on each side of the silicon interposer are coupled to one another by a number of micro-machined vias at 730. The micro-machined vias provided electrical connections between the opposing sides of the silicon interposer. A metal-to-semiconductor junction is coupled to at least one of the semiconductor chips at 740.
  • FIG. 8 illustrates, in flow diagram form, a methodical aspect according to the teachings of the present invention. A silicon interposer is provided at 810. The silicon interposer has opposing sides. A first semiconductor chip is coupled to a first side of the silicon interposer at 820. Next, a second semiconductor chip is coupled to a second side of the silicon interposer at 830. A metal-to-semiconductor junction is formed which couples to the first semiconductor chip at 840. At 850, a current is passed through the metal-to-semiconductor junction in a direction such that a Peltier cooling effect occurs adjacent to the first semiconductor chip.
  • FIG. 9 illustrates, in flow diagram form, a methodical aspect according to the teachings of the present invention. A silicon interposer is provided at 910. The silicon interposer has opposing sides. A first semiconductor chip is coupled to a first side of the silicon interposer at 920. Next, a second semiconductor chip is coupled to a second side of the silicon interposer at 930. A metal-to-semiconductor junction is formed which couples to the first semiconductor chip at 940. At 950, a current is passed through the metal-to-semiconductor junction in a direction such that a Peltier heating effect occurs adjacent to the first semiconductor chip.
  • CONCLUSION
  • Thus, an improved integrated circuit package for providing built-in heating or cooling to a semiconductor chip is provided. The improved integrated circuit package provides increased operational bandwidth between different circuit devices, e.g. logic and memory chips. The improved integrated circuit package does not require changes in current CMOS processing techniques. The structure includes the use of a silicon interposer. The silicon interposer can consist of recycled rejected wafers from the front-end semiconductor processing. Micro-machined vias are formed through the silicon interposer. The micro-machined vias include electrical contacts which couple various integrated circuit devices located on the opposing surfaces of the silicon interposer. The packaging includes a Peltier element.
  • The Peltier element, using semiconductor-based materials, functions as a small heat pump. By applying a low-voltage d-c current to the Peltier element thermal energy is transferred with the effect that one portion of the Peltier element is cooled and another heated. In one embodiment, the heated portion of the Peltier element is in contact with a heat sink or the outer cover of the integrated circuit package and the cooled portion is in contact with a semiconductor chip. In an alternative embodiment, the arrangement is reversed. This design has no moving parts, is small in size and lightweight, and has the ability to cool below or heat above the ambient temperature surrounding integrated circuit devices.
  • Although specific embodiments have been illustrated and described herein, it will be appreciated by those of ordinary skill in the art that any arrangement which is calculated to achieve the same purpose may be substituted for the specific embodiment shown. This application is intended to cover any adaptations or variations of the present invention. It is to be understood that the above description is intended to be illustrative, and not restrictive. Combinations of the above embodiments, and other embodiments will be apparent to those of skill in the art upon reviewing the above description. The scope of the invention includes any other applications in which the above structures and fabrication methods are used. The scope of the invention should be determined with reference to the appended claims, along with the full scope of equivalents to which such claims are entitled.

Claims (31)

1. A method for packaging an integrated circuit, comprising:
providing a silicon interposer having opposing sides;
coupling a semiconductor chip to each of the opposing sides of the silicon interposer;
coupling the semiconductor chips on each side of the silicon interposer to one another through the silicon interposer by a number of micro-machined vias, wherein the micro-machined vias provide electrical connections between the opposing sides of the silicon interposer;
coupling a metal-to-semiconductor junction to at least one of the semiconductor chips, wherein the semiconductor includes a doped complex oxide semiconductor.
2. The method of claim 1, wherein coupling a doped complex oxide semiconductor includes coupling an n-doped complex oxide semiconductor comprising Strontium (Sr) and Titanium (Ti).
3. The method of claim 1, wherein coupling a doped complex oxide semiconductor includes coupling an oxygen deficient an n-doped complex oxide semiconductor.
4. The method of claim 1, wherein the semiconductor chips include a flip chip.
5. The method of claim 4, wherein a Peltier element is coupled to a flip chip.
6. The method of claim 1, wherein the semiconductor chips includes a memory chip.
7. The method of claim 6, wherein the memory chip includes at least one of a dynamic random access memory (DRAM) chip, a flash memory chip and a static random access memory (SRAM) chip.
8. The method of claim 6, wherein the semiconductor chips include capacitors.
9. A method for packaging an integrated circuit, comprising:
providing a silicon interposer having opposing sides;
coupling a semiconductor chip to each of the opposing sides of the silicon interposer;
coupling the semiconductor chips on each side of the silicon interposer to one another through the silicon interposer by a number of micro-machined vias, wherein the micro-machined vias provide electrical connections between the opposing sides of the silicon interposer;
coupling a metal-to-semiconductor junction to at least one of the semiconductor chips, wherein the semiconductor includes an n-doped superlattice comprising alternating layers of (PbTeSe)m and (BiSb)n, where m and n are the number of PbTeSe and BiSb monolayers per superlattice period.
10. The method of claim 9, wherein the semiconductor chips include a flip chip.
11. The method of claim 10, wherein a Peltier element is coupled to a flip chip.
12. The method of claim 9, wherein the semiconductor chips includes a memory chip.
13. The method of claim 12, wherein the memory chip includes at least one of a dynamic random access memory (DRAM) chip, a flash memory chip and a static random access memory (SRAM) chip.
14. The method of claim 12, wherein the semiconductor chips include capacitors.
15. A method for packaging an integrated circuit, comprising:
providing a silicon interposer having opposing sides;
coupling a semiconductor chip to each of the opposing sides of the silicon interposer;
coupling the semiconductor chips on each side of the silicon interposer to one another through the silicon interposer by a number of micro-machined vias, wherein the micro-machined vias provide electrical connections between the opposing sides of the silicon interposer;
coupling a metal-to-semiconductor junction to at least one of the semiconductor chips, wherein the semiconductor includes either an n or p-doped semiconductor alloy formed between Antimony (Sb) and transition metal (T) from Group VIII, including Cobalt (Co), Rhodium (Rh), and Iridium (Ir), and wherein the alloy has the general formula TSb3.
16. The method of claim 15, wherein the semiconductor chips include a flip chip.
17. The method of claim 16, wherein a Peltier element is coupled to a flip chip.
18. The method of claim 15, wherein the semiconductor chips includes a memory chip.
19. The method of claim 16, wherein the memory chip includes at least one of a dynamic random access memory (DRAM) chip, a flash memory chip and a static random access memory (SRAM) chip.
20. The method of claim 16, wherein the semiconductor chips include capacitors.
21. A method for cooling an integrated circuit, comprising:
providing a silicon interposer having opposing sides;
coupling a first semiconductor chip to a first side of the silicon interposer;
coupling a second semiconductor chip to a second side of the silicon interposer, wherein a number of electrical connections through the silicon interposer couple the first semiconductor chip to the second semiconductor chip;
forming a metal-to-semiconductor junction which couples to the first semiconductor chip on the first side of the silicon interposer, wherein forming the metal-to-semiconductor junction includes forming a Copper (Cu) and n or p-doped semiconductor junction, wherein the semiconductor is selected from Bismuth Telluride (Bi2Te3), Lead Telluride (PbTe), and Silicon Germanium (SiGe); and
passing current through the metal-to-semiconductor junction in a direction such that a Peltier cooling effect occurs adjacent to the first semiconductor chip.
22. The method of claim 21, wherein the semiconductor chips include a flip chip.
23. The method of claim 22, wherein a Peltier element is coupled to a flip chip.
24. The method of claim 21, wherein the semiconductor chips includes a memory chip.
25. The method of claim 24, wherein the memory chip includes at least one of a dynamic random access memory (DRAM) chip, a flash memory chip and a static random access memory (SRAM) chip.
26. The method of claim 21, wherein the semiconductor chips include capacitors.
27. A method for cooling an integrated circuit, comprising:
providing a silicon interposer having opposing sides;
coupling a first plurality of semiconductor chips to a first side of the silicon interposer;
coupling at least one Peltier element to one of the first side of the silicon interposer and on of the first plurality of semiconductor chips; and
coupling a second plurality of semiconductor chips to a second side of the silicon interposer wherein a number of electrical connections through the silicon interposer couple the first plurality of semiconductor chips to the second plurality of semiconductor chips.
28. The method of claim 27, wherein the first plurality of semiconductor chips includes flip chips, and the at least one Peltier element is coupled to a selected one of the flip chips.
29. The method of claim 27, wherein the second plurality of semiconductor chips includes a memory chip.
30. The method of claim 29, wherein the memory chip includes at least one of a dynamic random access memory (DRAM) chip, a flash memory chip and a static random access memory (SRAM) chip.
31. The method of claim 29, wherein the second plurality includes semiconductor chip capacitors.
US11/345,253 1998-08-31 2006-02-01 Compact system module with built-in thermoelectric cooling Abandoned US20060128059A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/345,253 US20060128059A1 (en) 1998-08-31 2006-02-01 Compact system module with built-in thermoelectric cooling

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/144,307 US6586835B1 (en) 1998-08-31 1998-08-31 Compact system module with built-in thermoelectric cooling
US10/606,539 US7022553B2 (en) 1998-08-31 2003-06-26 Compact system module with built-in thermoelectric cooling
US11/345,253 US20060128059A1 (en) 1998-08-31 2006-02-01 Compact system module with built-in thermoelectric cooling

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/606,539 Division US7022553B2 (en) 1998-08-31 2003-06-26 Compact system module with built-in thermoelectric cooling

Publications (1)

Publication Number Publication Date
US20060128059A1 true US20060128059A1 (en) 2006-06-15

Family

ID=22508012

Family Applications (3)

Application Number Title Priority Date Filing Date
US09/144,307 Expired - Lifetime US6586835B1 (en) 1998-08-31 1998-08-31 Compact system module with built-in thermoelectric cooling
US10/606,539 Expired - Fee Related US7022553B2 (en) 1998-08-31 2003-06-26 Compact system module with built-in thermoelectric cooling
US11/345,253 Abandoned US20060128059A1 (en) 1998-08-31 2006-02-01 Compact system module with built-in thermoelectric cooling

Family Applications Before (2)

Application Number Title Priority Date Filing Date
US09/144,307 Expired - Lifetime US6586835B1 (en) 1998-08-31 1998-08-31 Compact system module with built-in thermoelectric cooling
US10/606,539 Expired - Fee Related US7022553B2 (en) 1998-08-31 2003-06-26 Compact system module with built-in thermoelectric cooling

Country Status (1)

Country Link
US (3) US6586835B1 (en)

Cited By (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060225773A1 (en) * 2002-11-25 2006-10-12 Rama Venkatasubramanian Trans-thermoelectric device
US20060289887A1 (en) * 2005-06-24 2006-12-28 Jabil Circuit, Inc. Surface mount light emitting diode (LED) assembly with improved power dissipation
US20070253167A1 (en) * 2004-07-26 2007-11-01 Chiang Kuo C Transparent substrate heat dissipater
US20080138009A1 (en) * 2003-04-22 2008-06-12 Intel Corporation Efficient Light Coupler From Off-Chip to On-Chip Waveguides
US20090000652A1 (en) * 2007-06-26 2009-01-01 Nextreme Thermal Solutions, Inc. Thermoelectric Structures Including Bridging Thermoelectric Elements
US20090072385A1 (en) * 2007-09-14 2009-03-19 Nextreme Thermal Solutions, Inc. Electronic Assemblies Providing Active Side Heat Pumping and Related Methods and Structures
US20100123233A1 (en) * 2008-11-18 2010-05-20 In Sang Yoon Integrated circuit package system and method of package stacking
US20100163090A1 (en) * 2008-12-31 2010-07-01 Industrial Technology Research Institute Thermoelectric device and fabrication method thereof, chip stack structure, and chip package structure
US20100207573A1 (en) * 2009-02-11 2010-08-19 Anthony Mo Thermoelectric feedback circuit
US20100219525A1 (en) * 2009-03-02 2010-09-02 Oki Semiconductor Co., Ltd. Semiconductor device
US20100252087A1 (en) * 2009-04-02 2010-10-07 Deane Philip A Thermoelectric devices including thermoelectric elements having off-set metal pads and related structures, methods, and systems
US20110089557A1 (en) * 2009-10-19 2011-04-21 Jeng-Jye Shau Area reduction for die-scale surface mount package chips
EP2315243A1 (en) * 2009-10-23 2011-04-27 STmicroelectronics SA Interposer between integrated circuits
US20110220162A1 (en) * 2010-03-15 2011-09-15 Siivola Edward P Thermoelectric (TE) Devices/Structures Including Thermoelectric Elements with Exposed Major Surfaces
US20130207133A1 (en) * 2010-07-07 2013-08-15 Osram Opto Semiconductors Gmbh Light-emitting diode
US8823133B2 (en) 2011-03-29 2014-09-02 Xilinx, Inc. Interposer having an inductor
US20140357021A1 (en) * 2011-04-22 2014-12-04 Tessera, Inc. Multi-chip module with stacked face-down connected dies
US9330823B1 (en) * 2011-12-19 2016-05-03 Xilinx, Inc. Integrated circuit structure with inductor in silicon interposer
US9337138B1 (en) 2012-03-09 2016-05-10 Xilinx, Inc. Capacitors within an interposer coupled to supply and ground planes of a substrate
US9406738B2 (en) 2011-07-20 2016-08-02 Xilinx, Inc. Inductive structure formed using through silicon vias
US9798088B2 (en) * 2015-11-05 2017-10-24 Globalfoundries Inc. Barrier structures for underfill blockout regions
US10468330B2 (en) 2013-12-12 2019-11-05 Samsung Electronics Co., Ltd. Semiconductor chip and electronic system including the same
US11239222B2 (en) * 2019-10-28 2022-02-01 Electronics And Telecommunications Research Institute Cooled optical transmission module device and method of manufacturing the same

Families Citing this family (87)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000164800A (en) * 1998-11-30 2000-06-16 Mitsubishi Electric Corp Semiconductor module
US6444560B1 (en) * 2000-09-26 2002-09-03 International Business Machines Corporation Process for making fine pitch connections between devices and structure made by the process
US6930256B1 (en) * 2002-05-01 2005-08-16 Amkor Technology, Inc. Integrated circuit substrate having laser-embedded conductive patterns and method therefor
JP2003283086A (en) * 2002-01-21 2003-10-03 Hitachi Cable Ltd Wiring board, manufacturing method of the wiring board and electronic component using the wiring board
WO2003083543A1 (en) * 2002-04-01 2003-10-09 Ibiden Co., Ltd. Ic chip mounting substrate, ic chip mounting substrate manufacturing method, optical communication device, and optical communication device manufacturing method
US6730540B2 (en) * 2002-04-18 2004-05-04 Tru-Si Technologies, Inc. Clock distribution networks and conductive lines in semiconductor integrated circuits
US6933597B1 (en) * 2002-07-09 2005-08-23 National Semiconductor Corporation Spacer with passive components for use in multi-chip modules
US6943446B2 (en) * 2002-11-08 2005-09-13 Lsi Logic Corporation Via construction for structural support
US7446002B2 (en) * 2003-06-26 2008-11-04 Mears Technologies, Inc. Method for making a semiconductor device comprising a superlattice dielectric interface layer
US7229902B2 (en) * 2003-06-26 2007-06-12 Rj Mears, Llc Method for making a semiconductor device including a superlattice with regions defining a semiconductor junction
US7491587B2 (en) * 2003-06-26 2009-02-17 Mears Technologies, Inc. Method for making a semiconductor device having a semiconductor-on-insulator (SOI) configuration and including a superlattice on a thin semiconductor layer
US20060292765A1 (en) * 2003-06-26 2006-12-28 Rj Mears, Llc Method for Making a FINFET Including a Superlattice
US20070020833A1 (en) * 2003-06-26 2007-01-25 Rj Mears, Llc Method for Making a Semiconductor Device Including a Channel with a Non-Semiconductor Layer Monolayer
US7153763B2 (en) 2003-06-26 2006-12-26 Rj Mears, Llc Method for making a semiconductor device including band-engineered superlattice using intermediate annealing
US7598515B2 (en) * 2003-06-26 2009-10-06 Mears Technologies, Inc. Semiconductor device including a strained superlattice and overlying stress layer and related methods
US7531828B2 (en) * 2003-06-26 2009-05-12 Mears Technologies, Inc. Semiconductor device including a strained superlattice between at least one pair of spaced apart stress regions
US20060289049A1 (en) * 2003-06-26 2006-12-28 Rj Mears, Llc Semiconductor Device Having a Semiconductor-on-Insulator (SOI) Configuration and Including a Superlattice on a Thin Semiconductor Layer
US20060220118A1 (en) * 2003-06-26 2006-10-05 Rj Mears, Llc Semiconductor device including a dopant blocking superlattice
US20050279991A1 (en) * 2003-06-26 2005-12-22 Rj Mears, Llc Semiconductor device including a superlattice having at least one group of substantially undoped layers
US20060011905A1 (en) * 2003-06-26 2006-01-19 Rj Mears, Llc Semiconductor device comprising a superlattice dielectric interface layer
US20060273299A1 (en) * 2003-06-26 2006-12-07 Rj Mears, Llc Method for making a semiconductor device including a dopant blocking superlattice
US7586116B2 (en) * 2003-06-26 2009-09-08 Mears Technologies, Inc. Semiconductor device having a semiconductor-on-insulator configuration and a superlattice
US20060231857A1 (en) * 2003-06-26 2006-10-19 Rj Mears, Llc Method for making a semiconductor device including a memory cell with a negative differential resistance (ndr) device
US7659539B2 (en) 2003-06-26 2010-02-09 Mears Technologies, Inc. Semiconductor device including a floating gate memory cell with a superlattice channel
US7045377B2 (en) * 2003-06-26 2006-05-16 Rj Mears, Llc Method for making a semiconductor device including a superlattice and adjacent semiconductor layer with doped regions defining a semiconductor junction
US6897472B2 (en) * 2003-06-26 2005-05-24 Rj Mears, Llc Semiconductor device including MOSFET having band-engineered superlattice
US20060267130A1 (en) * 2003-06-26 2006-11-30 Rj Mears, Llc Semiconductor Device Including Shallow Trench Isolation (STI) Regions with a Superlattice Therebetween
US7586165B2 (en) * 2003-06-26 2009-09-08 Mears Technologies, Inc. Microelectromechanical systems (MEMS) device including a superlattice
US20070020860A1 (en) * 2003-06-26 2007-01-25 Rj Mears, Llc Method for Making Semiconductor Device Including a Strained Superlattice and Overlying Stress Layer and Related Methods
US7531850B2 (en) * 2003-06-26 2009-05-12 Mears Technologies, Inc. Semiconductor device including a memory cell with a negative differential resistance (NDR) device
US7535041B2 (en) * 2003-06-26 2009-05-19 Mears Technologies, Inc. Method for making a semiconductor device including regions of band-engineered semiconductor superlattice to reduce device-on resistance
US7202494B2 (en) * 2003-06-26 2007-04-10 Rj Mears, Llc FINFET including a superlattice
US7227174B2 (en) * 2003-06-26 2007-06-05 Rj Mears, Llc Semiconductor device including a superlattice and adjacent semiconductor layer with doped regions defining a semiconductor junction
US7531829B2 (en) * 2003-06-26 2009-05-12 Mears Technologies, Inc. Semiconductor device including regions of band-engineered semiconductor superlattice to reduce device-on resistance
US20070063186A1 (en) * 2003-06-26 2007-03-22 Rj Mears, Llc Method for making a semiconductor device including a front side strained superlattice layer and a back side stress layer
US20050282330A1 (en) * 2003-06-26 2005-12-22 Rj Mears, Llc Method for making a semiconductor device including a superlattice having at least one group of substantially undoped layers
US7612366B2 (en) * 2003-06-26 2009-11-03 Mears Technologies, Inc. Semiconductor device including a strained superlattice layer above a stress layer
US20070063185A1 (en) * 2003-06-26 2007-03-22 Rj Mears, Llc Semiconductor device including a front side strained superlattice layer and a back side stress layer
US20070015344A1 (en) * 2003-06-26 2007-01-18 Rj Mears, Llc Method for Making a Semiconductor Device Including a Strained Superlattice Between at Least One Pair of Spaced Apart Stress Regions
US7514328B2 (en) * 2003-06-26 2009-04-07 Mears Technologies, Inc. Method for making a semiconductor device including shallow trench isolation (STI) regions with a superlattice therebetween
US7045813B2 (en) * 2003-06-26 2006-05-16 Rj Mears, Llc Semiconductor device including a superlattice with regions defining a semiconductor junction
US20070010040A1 (en) * 2003-06-26 2007-01-11 Rj Mears, Llc Method for Making a Semiconductor Device Including a Strained Superlattice Layer Above a Stress Layer
US20060243964A1 (en) * 2003-06-26 2006-11-02 Rj Mears, Llc Method for making a semiconductor device having a semiconductor-on-insulator configuration and a superlattice
US7218523B2 (en) * 2003-09-10 2007-05-15 Qnx Cooling Systems Inc Liquid cooling system
US7224059B2 (en) * 2003-10-21 2007-05-29 Intel Corporation Method and apparatus for thermo-electric cooling
KR100784454B1 (en) * 2003-11-07 2007-12-11 신꼬오덴기 고교 가부시키가이샤 Electronic device and process for manufacturing same
TWI260196B (en) * 2003-11-14 2006-08-11 Qnx Cooling Systems Inc Liquid cooling system
US20050133929A1 (en) * 2003-12-18 2005-06-23 Howard Gregory E. Flexible package with rigid substrate segments for high density integrated circuit systems
JP3917133B2 (en) * 2003-12-26 2007-05-23 株式会社東芝 LSI package with interface module and interposer, interface module, connection monitor circuit, signal processing LSI used therefor
US7250327B2 (en) * 2004-06-30 2007-07-31 Intel Corporation Silicon die substrate manufacturing process and silicon die substrate with integrated cooling mechanism
US7268019B2 (en) * 2004-09-22 2007-09-11 Halliburton Energy Services, Inc. Method and apparatus for high temperature operation of electronics
US20060151801A1 (en) * 2005-01-11 2006-07-13 Doan Trung T Light emitting diode with thermo-electric cooler
US20070101737A1 (en) * 2005-11-09 2007-05-10 Masao Akei Refrigeration system including thermoelectric heat recovery and actuation
US7310953B2 (en) * 2005-11-09 2007-12-25 Emerson Climate Technologies, Inc. Refrigeration system including thermoelectric module
US7517702B2 (en) * 2005-12-22 2009-04-14 Mears Technologies, Inc. Method for making an electronic device including a poled superlattice having a net electrical dipole moment
US20070166928A1 (en) * 2005-12-22 2007-07-19 Rj Mears, Llc Method for making an electronic device including a selectively polable superlattice
US7700447B2 (en) * 2006-02-21 2010-04-20 Mears Technologies, Inc. Method for making a semiconductor device comprising a lattice matching layer
US7732233B2 (en) * 2006-07-24 2010-06-08 Touch Micro-System Technology Corp. Method for making light emitting diode chip package
TWI320237B (en) * 2006-07-24 2010-02-01 Si-substrate and structure of opto-electronic package having the same
US20090273004A1 (en) * 2006-07-24 2009-11-05 Hung-Yi Lin Chip package structure and method of making the same
US7779811B1 (en) 2006-09-13 2010-08-24 General Electric Company Thermoelectrically cooled components for distributed electronics control system for gas turbine engines
US7781827B2 (en) 2007-01-24 2010-08-24 Mears Technologies, Inc. Semiconductor device with a vertical MOSFET including a superlattice and related methods
US7928425B2 (en) * 2007-01-25 2011-04-19 Mears Technologies, Inc. Semiconductor device including a metal-to-semiconductor superlattice interface layer and related methods
US7863066B2 (en) * 2007-02-16 2011-01-04 Mears Technologies, Inc. Method for making a multiple-wavelength opto-electronic device including a superlattice
US7880161B2 (en) 2007-02-16 2011-02-01 Mears Technologies, Inc. Multiple-wavelength opto-electronic device including a superlattice
US7812339B2 (en) * 2007-04-23 2010-10-12 Mears Technologies, Inc. Method for making a semiconductor device including shallow trench isolation (STI) regions with maskless superlattice deposition following STI formation and related structures
US7982137B2 (en) * 2007-06-27 2011-07-19 Hamilton Sundstrand Corporation Circuit board with an attached die and intermediate interposer
US8230589B2 (en) * 2008-03-25 2012-07-31 Intel Corporation Method of mounting an optical device
US8598700B2 (en) 2008-06-27 2013-12-03 Qualcomm Incorporated Active thermal control for stacked IC devices
US7987591B2 (en) * 2009-08-13 2011-08-02 International Business Machines Corporation Method of forming silicon chicklet pedestal
KR20120034410A (en) * 2010-10-01 2012-04-12 삼성전자주식회사 Semiconductor device and fabrication method thereof
GB201018558D0 (en) 2010-11-03 2010-12-15 Belron Hungary Kft Zug Branch Windscreen installation apparatus and method
DE102012108627B4 (en) * 2012-09-14 2021-06-10 OSRAM Opto Semiconductors Gesellschaft mit beschränkter Haftung Optoelectronic semiconductor device and carrier assembly
EP3072158A1 (en) 2013-11-22 2016-09-28 Atomera Incorporated Vertical semiconductor devices including superlattice punch through stop layer and related methods
WO2015077580A1 (en) 2013-11-22 2015-05-28 Mears Technologies, Inc. Semiconductor devices including superlattice depletion layer stack and related methods
US9716147B2 (en) 2014-06-09 2017-07-25 Atomera Incorporated Semiconductor devices with enhanced deterministic doping and related methods
US9722046B2 (en) 2014-11-25 2017-08-01 Atomera Incorporated Semiconductor device including a superlattice and replacement metal gate structure and related methods
WO2016187042A1 (en) 2015-05-15 2016-11-24 Atomera Incorporated Semiconductor devices with superlattice layers providing halo implant peak confinement and related methods
WO2016196600A1 (en) 2015-06-02 2016-12-08 Atomera Incorporated Method for making enhanced semiconductor structures in single wafer processing chamber with desired uniformity control
US9553071B1 (en) * 2016-01-11 2017-01-24 Invensas Corporation Multi-chip package with interconnects extending through logic chip
US9558939B1 (en) 2016-01-15 2017-01-31 Atomera Incorporated Methods for making a semiconductor device including atomic layer structures using N2O as an oxygen source
CN112180520A (en) * 2016-01-28 2021-01-05 申泰公司 Optical transceiver
EP3211404B1 (en) 2016-02-25 2018-08-22 ams AG Cmos-compatible dew point sensor device and method of determining a dew point
WO2018182598A1 (en) * 2017-03-29 2018-10-04 Intel Corporation Side mounted interconnect bridges
US20200148137A1 (en) * 2018-11-09 2020-05-14 Magna Electronics Inc. Thermal management system
CN112151401B (en) * 2020-10-12 2023-08-18 电子科技大学 Grain orientation control method based on semiconductor temperature control
KR20220071399A (en) 2020-11-24 2022-05-31 삼성전자주식회사 Semiconductor module

Citations (87)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3923567A (en) * 1974-08-09 1975-12-02 Silicon Materials Inc Method of reclaiming a semiconductor wafer
US3959047A (en) * 1974-09-30 1976-05-25 International Business Machines Corporation Method for constructing a rom for redundancy and other applications
US3982268A (en) * 1973-10-30 1976-09-21 General Electric Company Deep diode lead throughs
US4081701A (en) * 1976-06-01 1978-03-28 Texas Instruments Incorporated High speed sense amplifier for MOS random access memory
US4343366A (en) * 1980-09-22 1982-08-10 Robert Walker Rotor tiller with reversely directed plow
US4394712A (en) * 1981-03-18 1983-07-19 General Electric Company Alignment-enhancing feed-through conductors for stackable silicon-on-sapphire wafers
US4595428A (en) * 1984-01-03 1986-06-17 General Electric Company Method for producing high-aspect ratio hollow diffused regions in a semiconductor body
US4631636A (en) * 1984-03-26 1986-12-23 Harris Corporation High density packaging technique for electronic systems
US4653025A (en) * 1983-12-23 1987-03-24 Hitachi, Ltd. Random access memory with high density and low power
US4664309A (en) * 1983-06-30 1987-05-12 Raychem Corporation Chip mounting device
US4710798A (en) * 1985-09-10 1987-12-01 Northern Telecom Limited Integrated circuit chip package
US4739446A (en) * 1986-05-05 1988-04-19 Itt Defense Communications, A Division Of Itt Corporation Header for a wafer scale assembly
US4811082A (en) * 1986-11-12 1989-03-07 International Business Machines Corporation High performance integrated circuit packaging structure
US4855810A (en) * 1987-06-02 1989-08-08 Gelb Allan S Thermoelectric heat pump
US4870470A (en) * 1987-10-16 1989-09-26 International Business Machines Corporation Non-volatile memory cell having Si rich silicon nitride charge trapping layer
US4935864A (en) * 1989-06-20 1990-06-19 Digital Equipment Corporation Localized cooling apparatus for cooling integrated circuit devices
US4977439A (en) * 1987-04-03 1990-12-11 Esquivel Agerico L Buried multilevel interconnect system
US5006505A (en) * 1988-08-08 1991-04-09 Hughes Aircraft Company Peltier cooling stage utilizing a superconductor-semiconductor junction
US5061987A (en) * 1990-01-09 1991-10-29 Northrop Corporation Silicon substrate multichip assembly
US5079618A (en) * 1990-06-12 1992-01-07 Micron Technology, Inc. Semiconductor device structures cooled by Peltier junctions and electrical interconnect assemblies
US5135889A (en) * 1991-12-09 1992-08-04 Micron Technology, Inc. Method for forming a shielding structure for decoupling signal traces in a semiconductor
US5153814A (en) * 1990-08-03 1992-10-06 Siemens Nixdorf Informationssysteme Ag Mounting system for electrical function units, particularly for data technology
US5168339A (en) * 1990-04-20 1992-12-01 Matsushita Electrical Industrial Co., Ltd. Thermoelectric semiconductor having a porous structure deaerated in a vacuum and thermoelectric panel using p-type and n-type thermoelectric semiconductors
US5229327A (en) * 1990-06-12 1993-07-20 Micron Technology, Inc. Process for manufacturing semiconductor device structures cooled by Peltier junctions and electrical interconnect assemblies therefor
US5239198A (en) * 1989-09-06 1993-08-24 Motorola, Inc. Overmolded semiconductor device having solder ball and edge lead connective structure
US5258658A (en) * 1991-07-26 1993-11-02 Sharp Kabushiki Kaisha Gamma correction circuit
US5258648A (en) * 1991-06-27 1993-11-02 Motorola, Inc. Composite flip chip semiconductor device with an interposer having test contacts formed along its periphery
US5313361A (en) * 1993-03-29 1994-05-17 The Charles Stark Draper Laboratory, Inc. Ceramic substrate
US5317197A (en) * 1992-10-20 1994-05-31 Micron Semiconductor, Inc. Semiconductor device
US5352998A (en) * 1992-10-09 1994-10-04 Mitsubishi Denki Kabushiki Kaisha Microwave integrated circuit having a passive circuit substrate mounted on a semiconductor circuit substrate
US5371654A (en) * 1992-10-19 1994-12-06 International Business Machines Corporation Three dimensional high performance interconnection package
US5392407A (en) * 1992-12-24 1995-02-21 Ncr Corporation Multi-port processor with peripheral component interconnect port and rambus port
US5391917A (en) * 1993-05-10 1995-02-21 International Business Machines Corporation Multiprocessor module packaging
US5409547A (en) * 1992-10-05 1995-04-25 Thermovonics Co., Ltd. Thermoelectric cooling device for thermoelectric refrigerator, process for the fabrication of semiconductor suitable for use in the thermoelectric cooling device, and thermoelectric refrigerator using the thermoelectric cooling device
US5415699A (en) * 1993-01-12 1995-05-16 Massachusetts Institute Of Technology Superlattice structures particularly suitable for use as thermoelectric cooling materials
US5419780A (en) * 1994-04-29 1995-05-30 Ast Research, Inc. Method and apparatus for recovering power from semiconductor circuit using thermoelectric device
US5432823A (en) * 1992-03-06 1995-07-11 Rambus, Inc. Method and circuitry for minimizing clock-data skew in a bus system
US5438224A (en) * 1992-04-23 1995-08-01 Motorola, Inc. Integrated circuit package having a face-to-face IC chip arrangement
US5457342A (en) * 1994-03-30 1995-10-10 Herbst, Ii; Gerhardt G. Integrated circuit cooling apparatus
US5468681A (en) * 1989-08-28 1995-11-21 Lsi Logic Corporation Process for interconnecting conductive substrates using an interposer having conductive plastic filled vias
US5480840A (en) * 1992-07-14 1996-01-02 At&T Global Information Solutions Company Multi-chip module with multiple compartments
US5530288A (en) * 1994-10-12 1996-06-25 International Business Machines Corporation Passive interposer including at least one passive electronic component
US5532506A (en) * 1994-08-31 1996-07-02 Texas Instruments Incorporated Integrated circuit adapted for improved thermal impedance
US5567654A (en) * 1994-09-28 1996-10-22 International Business Machines Corporation Method and workpiece for connecting a thin layer to a monolithic electronic module's surface and associated module packaging
US5587119A (en) * 1994-09-14 1996-12-24 E-Systems, Inc. Method for manufacturing a coaxial interconnect
US5587341A (en) * 1987-06-24 1996-12-24 Hitachi, Ltd. Process for manufacturing a stacked integrated circuit package
US5598031A (en) * 1993-06-23 1997-01-28 Vlsi Technology, Inc. Electrically and thermally enhanced package using a separate silicon substrate
US5610366A (en) * 1993-08-03 1997-03-11 California Institute Of Technology High performance thermoelectric materials and methods of preparation
US5618752A (en) * 1995-06-05 1997-04-08 Harris Corporation Method of fabrication of surface mountable integrated circuits
US5622875A (en) * 1994-05-06 1997-04-22 Kobe Precision, Inc. Method for reclaiming substrate from semiconductor wafers
US5637828A (en) * 1993-10-08 1997-06-10 Texas Instruments Inc. High density semiconductor package
US5637921A (en) * 1995-04-21 1997-06-10 Sun Microsystems, Inc. Sub-ambient temperature electronic package
US5646067A (en) * 1995-06-05 1997-07-08 Harris Corporation Method of bonding wafers having vias including conductive material
US5656548A (en) * 1993-09-30 1997-08-12 Kopin Corporation Method for forming three dimensional processor using transferred thin film circuits
US5657481A (en) * 1990-04-18 1997-08-12 Rambus, Inc. Memory device with a phase locked loop circuitry
US5662976A (en) * 1994-10-24 1997-09-02 Avery Dennison Corporation Laminated card assembly
US5682062A (en) * 1995-06-05 1997-10-28 Harris Corporation System for interconnecting stacked integrated circuits
US5692558A (en) * 1996-07-22 1997-12-02 Northrop Grumman Corporation Microchannel cooling using aviation fuels for airborne electronics
US5699291A (en) * 1996-03-29 1997-12-16 Mitsubishi Denki Kabushiki Kaisha Semiconductor memory device and manufacturing method thereof
US5714791A (en) * 1995-12-22 1998-02-03 International Business Machines Corporation On-chip Peltier cooling devices on a micromachined membrane structure
US5724818A (en) * 1995-07-27 1998-03-10 Aisin Seiki Kabushiki Kaisha Thermoelectric cooling module and method for manufacturing the same
US5753529A (en) * 1994-05-05 1998-05-19 Siliconix Incorporated Surface mount and flip chip technology for total integrated circuit isolation
US5767001A (en) * 1993-05-05 1998-06-16 Siemens Aktiengesellschaft Process for producing semiconductor components between which contact is made vertically
US5781746A (en) * 1990-07-20 1998-07-14 Siemens Aktiengesellschaft Microprocessor with multiple bus configurations
US5807783A (en) * 1996-10-07 1998-09-15 Harris Corporation Surface mount die by handle replacement
US5824561A (en) * 1994-05-23 1998-10-20 Seiko Instruments Inc. Thermoelectric device and a method of manufacturing thereof
US5834799A (en) * 1989-08-28 1998-11-10 Lsi Logic Optically transmissive preformed planar structures
US5855735A (en) * 1995-10-03 1999-01-05 Kobe Precision, Inc. Process for recovering substrates
US5861666A (en) * 1995-08-30 1999-01-19 Tessera, Inc. Stacked chip assembly
US5887435A (en) * 1995-12-08 1999-03-30 Litton Systems, Inc. Environmentally protected module
US5892656A (en) * 1993-10-19 1999-04-06 Bass; John C. Thermoelectric generator
US5901050A (en) * 1996-08-21 1999-05-04 Ngk Spark Plug Co., Ltd. Wired base plate and package for electronic parts
US5903045A (en) * 1996-04-30 1999-05-11 International Business Machines Corporation Self-aligned connector for stacked chip module
US5902118A (en) * 1994-07-05 1999-05-11 Siemens Aktiengesellschaft Method for production of a three-dimensional circuit arrangement
US5915167A (en) * 1997-04-04 1999-06-22 Elm Technology Corporation Three dimensional structure memory
US5959341A (en) * 1996-07-26 1999-09-28 Technova Inc. And Engineering Advancement Association Of Japan Thermoelectric semiconductor having a sintered semiconductor layer and fabrication process thereof
US5977479A (en) * 1993-10-22 1999-11-02 Sumitomo Electric Industries, Ltd. Structure for coupling between low temperature circuitry and room temperature circuitry
US5977640A (en) * 1998-06-26 1999-11-02 International Business Machines Corporation Highly integrated chip-on-chip packaging
US5990550A (en) * 1997-03-28 1999-11-23 Nec Corporation Integrated circuit device cooling structure
US5991161A (en) * 1997-12-19 1999-11-23 Intel Corporation Multi-chip land grid array carrier
US6110823A (en) * 1993-11-16 2000-08-29 Formfactor, Inc. Method of modifying the thickness of a plating on a member by creating a temperature gradient on the member, applications for employing such a method, and structures resulting from such a method
US6137164A (en) * 1998-03-16 2000-10-24 Texas Instruments Incorporated Thin stacked integrated circuit device
US6150724A (en) * 1998-03-02 2000-11-21 Motorola, Inc. Multi-chip semiconductor device and method for making the device by using multiple flip chip interfaces
US6219237B1 (en) * 1998-08-31 2001-04-17 Micron Technology, Inc. Structure and method for an electronic assembly
US6223273B1 (en) * 1991-02-28 2001-04-24 Hitachi, Ltd. Electronic circuit package
US6281042B1 (en) * 1998-08-31 2001-08-28 Micron Technology, Inc. Structure and method for a high performance electronic packaging assembly
US20020081774A1 (en) * 2000-03-29 2002-06-27 Chunlin Liang Flip-chip on flex for high performance packaging applications

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02142170A (en) * 1988-11-22 1990-05-31 Fujitsu Ltd Package for semiconductor device
JPH04133472A (en) 1990-09-26 1992-05-07 Toshiba Corp Compound semiconductor device and manufacture thereof
US5275001A (en) * 1991-10-07 1994-01-04 Matsushita Electric Industrial Co., Ltd. Thermoelectric cooling device
JP2692461B2 (en) 1991-10-26 1997-12-17 日本電気株式会社 Semiconductor device
JPH05129666A (en) * 1991-11-02 1993-05-25 Shinko Electric Ind Co Ltd Semiconductor device
JPH0677366A (en) * 1992-04-27 1994-03-18 Nec Corp Semiconductor device
US5343366A (en) 1992-06-24 1994-08-30 International Business Machines Corporation Packages for stacked integrated circuit chip cubes
WO1994005039A1 (en) 1992-08-20 1994-03-03 Capps David A Semiconductor wafer for lamination applications
JP3521521B2 (en) * 1994-06-20 2004-04-19 ヤマハ株式会社 Method for manufacturing semiconductor device
JP3246199B2 (en) * 1994-07-18 2002-01-15 富士通株式会社 Multi-chip module
US6829149B1 (en) * 1997-08-18 2004-12-07 International Business Machines Corporation Placement of sacrificial solder balls underneath the PBGA substrate
US6016256A (en) 1997-11-14 2000-01-18 The Panda Project Multi-chip module having interconnect dies
US6392296B1 (en) * 1998-08-31 2002-05-21 Micron Technology, Inc. Silicon interposer with optical connections

Patent Citations (90)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3982268A (en) * 1973-10-30 1976-09-21 General Electric Company Deep diode lead throughs
US3923567A (en) * 1974-08-09 1975-12-02 Silicon Materials Inc Method of reclaiming a semiconductor wafer
US3959047A (en) * 1974-09-30 1976-05-25 International Business Machines Corporation Method for constructing a rom for redundancy and other applications
US4081701A (en) * 1976-06-01 1978-03-28 Texas Instruments Incorporated High speed sense amplifier for MOS random access memory
US4343366A (en) * 1980-09-22 1982-08-10 Robert Walker Rotor tiller with reversely directed plow
US4394712A (en) * 1981-03-18 1983-07-19 General Electric Company Alignment-enhancing feed-through conductors for stackable silicon-on-sapphire wafers
US4664309A (en) * 1983-06-30 1987-05-12 Raychem Corporation Chip mounting device
US4653025A (en) * 1983-12-23 1987-03-24 Hitachi, Ltd. Random access memory with high density and low power
US4595428A (en) * 1984-01-03 1986-06-17 General Electric Company Method for producing high-aspect ratio hollow diffused regions in a semiconductor body
US4631636A (en) * 1984-03-26 1986-12-23 Harris Corporation High density packaging technique for electronic systems
US4710798A (en) * 1985-09-10 1987-12-01 Northern Telecom Limited Integrated circuit chip package
US4739446A (en) * 1986-05-05 1988-04-19 Itt Defense Communications, A Division Of Itt Corporation Header for a wafer scale assembly
US4811082A (en) * 1986-11-12 1989-03-07 International Business Machines Corporation High performance integrated circuit packaging structure
US4977439A (en) * 1987-04-03 1990-12-11 Esquivel Agerico L Buried multilevel interconnect system
US4855810A (en) * 1987-06-02 1989-08-08 Gelb Allan S Thermoelectric heat pump
US5587341A (en) * 1987-06-24 1996-12-24 Hitachi, Ltd. Process for manufacturing a stacked integrated circuit package
US4870470A (en) * 1987-10-16 1989-09-26 International Business Machines Corporation Non-volatile memory cell having Si rich silicon nitride charge trapping layer
US5006505A (en) * 1988-08-08 1991-04-09 Hughes Aircraft Company Peltier cooling stage utilizing a superconductor-semiconductor junction
US4935864A (en) * 1989-06-20 1990-06-19 Digital Equipment Corporation Localized cooling apparatus for cooling integrated circuit devices
US5468681A (en) * 1989-08-28 1995-11-21 Lsi Logic Corporation Process for interconnecting conductive substrates using an interposer having conductive plastic filled vias
US5834799A (en) * 1989-08-28 1998-11-10 Lsi Logic Optically transmissive preformed planar structures
US5821624A (en) * 1989-08-28 1998-10-13 Lsi Logic Corporation Semiconductor device assembly techniques using preformed planar structures
US5239198A (en) * 1989-09-06 1993-08-24 Motorola, Inc. Overmolded semiconductor device having solder ball and edge lead connective structure
US5061987A (en) * 1990-01-09 1991-10-29 Northrop Corporation Silicon substrate multichip assembly
US5657481A (en) * 1990-04-18 1997-08-12 Rambus, Inc. Memory device with a phase locked loop circuitry
US5168339A (en) * 1990-04-20 1992-12-01 Matsushita Electrical Industrial Co., Ltd. Thermoelectric semiconductor having a porous structure deaerated in a vacuum and thermoelectric panel using p-type and n-type thermoelectric semiconductors
US5079618A (en) * 1990-06-12 1992-01-07 Micron Technology, Inc. Semiconductor device structures cooled by Peltier junctions and electrical interconnect assemblies
US5229327A (en) * 1990-06-12 1993-07-20 Micron Technology, Inc. Process for manufacturing semiconductor device structures cooled by Peltier junctions and electrical interconnect assemblies therefor
US5781746A (en) * 1990-07-20 1998-07-14 Siemens Aktiengesellschaft Microprocessor with multiple bus configurations
US5153814A (en) * 1990-08-03 1992-10-06 Siemens Nixdorf Informationssysteme Ag Mounting system for electrical function units, particularly for data technology
US6223273B1 (en) * 1991-02-28 2001-04-24 Hitachi, Ltd. Electronic circuit package
US5258648A (en) * 1991-06-27 1993-11-02 Motorola, Inc. Composite flip chip semiconductor device with an interposer having test contacts formed along its periphery
US5258658A (en) * 1991-07-26 1993-11-02 Sharp Kabushiki Kaisha Gamma correction circuit
US5135889A (en) * 1991-12-09 1992-08-04 Micron Technology, Inc. Method for forming a shielding structure for decoupling signal traces in a semiconductor
US5432823A (en) * 1992-03-06 1995-07-11 Rambus, Inc. Method and circuitry for minimizing clock-data skew in a bus system
US5438224A (en) * 1992-04-23 1995-08-01 Motorola, Inc. Integrated circuit package having a face-to-face IC chip arrangement
US5480840A (en) * 1992-07-14 1996-01-02 At&T Global Information Solutions Company Multi-chip module with multiple compartments
US5409547A (en) * 1992-10-05 1995-04-25 Thermovonics Co., Ltd. Thermoelectric cooling device for thermoelectric refrigerator, process for the fabrication of semiconductor suitable for use in the thermoelectric cooling device, and thermoelectric refrigerator using the thermoelectric cooling device
US5352998A (en) * 1992-10-09 1994-10-04 Mitsubishi Denki Kabushiki Kaisha Microwave integrated circuit having a passive circuit substrate mounted on a semiconductor circuit substrate
US5371654A (en) * 1992-10-19 1994-12-06 International Business Machines Corporation Three dimensional high performance interconnection package
US5317197A (en) * 1992-10-20 1994-05-31 Micron Semiconductor, Inc. Semiconductor device
US5392407A (en) * 1992-12-24 1995-02-21 Ncr Corporation Multi-port processor with peripheral component interconnect port and rambus port
US5415699A (en) * 1993-01-12 1995-05-16 Massachusetts Institute Of Technology Superlattice structures particularly suitable for use as thermoelectric cooling materials
US5313361A (en) * 1993-03-29 1994-05-17 The Charles Stark Draper Laboratory, Inc. Ceramic substrate
US5767001A (en) * 1993-05-05 1998-06-16 Siemens Aktiengesellschaft Process for producing semiconductor components between which contact is made vertically
US5391917A (en) * 1993-05-10 1995-02-21 International Business Machines Corporation Multiprocessor module packaging
US5598031A (en) * 1993-06-23 1997-01-28 Vlsi Technology, Inc. Electrically and thermally enhanced package using a separate silicon substrate
US5747728A (en) * 1993-08-03 1998-05-05 California Institute Of Technology Advanced thermoelectric materials with enhanced crystal lattice structure and methods of preparation
US5610366A (en) * 1993-08-03 1997-03-11 California Institute Of Technology High performance thermoelectric materials and methods of preparation
US5656548A (en) * 1993-09-30 1997-08-12 Kopin Corporation Method for forming three dimensional processor using transferred thin film circuits
US5637828A (en) * 1993-10-08 1997-06-10 Texas Instruments Inc. High density semiconductor package
US5892656A (en) * 1993-10-19 1999-04-06 Bass; John C. Thermoelectric generator
US5977479A (en) * 1993-10-22 1999-11-02 Sumitomo Electric Industries, Ltd. Structure for coupling between low temperature circuitry and room temperature circuitry
US6110823A (en) * 1993-11-16 2000-08-29 Formfactor, Inc. Method of modifying the thickness of a plating on a member by creating a temperature gradient on the member, applications for employing such a method, and structures resulting from such a method
US5457342A (en) * 1994-03-30 1995-10-10 Herbst, Ii; Gerhardt G. Integrated circuit cooling apparatus
US5419780A (en) * 1994-04-29 1995-05-30 Ast Research, Inc. Method and apparatus for recovering power from semiconductor circuit using thermoelectric device
US5753529A (en) * 1994-05-05 1998-05-19 Siliconix Incorporated Surface mount and flip chip technology for total integrated circuit isolation
US5622875A (en) * 1994-05-06 1997-04-22 Kobe Precision, Inc. Method for reclaiming substrate from semiconductor wafers
US5824561A (en) * 1994-05-23 1998-10-20 Seiko Instruments Inc. Thermoelectric device and a method of manufacturing thereof
US5902118A (en) * 1994-07-05 1999-05-11 Siemens Aktiengesellschaft Method for production of a three-dimensional circuit arrangement
US5532506A (en) * 1994-08-31 1996-07-02 Texas Instruments Incorporated Integrated circuit adapted for improved thermal impedance
US5587119A (en) * 1994-09-14 1996-12-24 E-Systems, Inc. Method for manufacturing a coaxial interconnect
US5786628A (en) * 1994-09-28 1998-07-28 International Business Machines Corporation Method and workpiece for connecting a thin layer to a monolithic electronic modules surface and associated module packaging
US5567654A (en) * 1994-09-28 1996-10-22 International Business Machines Corporation Method and workpiece for connecting a thin layer to a monolithic electronic module's surface and associated module packaging
US5530288A (en) * 1994-10-12 1996-06-25 International Business Machines Corporation Passive interposer including at least one passive electronic component
US5662976A (en) * 1994-10-24 1997-09-02 Avery Dennison Corporation Laminated card assembly
US5637921A (en) * 1995-04-21 1997-06-10 Sun Microsystems, Inc. Sub-ambient temperature electronic package
US5618752A (en) * 1995-06-05 1997-04-08 Harris Corporation Method of fabrication of surface mountable integrated circuits
US5682062A (en) * 1995-06-05 1997-10-28 Harris Corporation System for interconnecting stacked integrated circuits
US5646067A (en) * 1995-06-05 1997-07-08 Harris Corporation Method of bonding wafers having vias including conductive material
US5724818A (en) * 1995-07-27 1998-03-10 Aisin Seiki Kabushiki Kaisha Thermoelectric cooling module and method for manufacturing the same
US5861666A (en) * 1995-08-30 1999-01-19 Tessera, Inc. Stacked chip assembly
US5855735A (en) * 1995-10-03 1999-01-05 Kobe Precision, Inc. Process for recovering substrates
US5887435A (en) * 1995-12-08 1999-03-30 Litton Systems, Inc. Environmentally protected module
US5714791A (en) * 1995-12-22 1998-02-03 International Business Machines Corporation On-chip Peltier cooling devices on a micromachined membrane structure
US5699291A (en) * 1996-03-29 1997-12-16 Mitsubishi Denki Kabushiki Kaisha Semiconductor memory device and manufacturing method thereof
US5903045A (en) * 1996-04-30 1999-05-11 International Business Machines Corporation Self-aligned connector for stacked chip module
US5692558A (en) * 1996-07-22 1997-12-02 Northrop Grumman Corporation Microchannel cooling using aviation fuels for airborne electronics
US5959341A (en) * 1996-07-26 1999-09-28 Technova Inc. And Engineering Advancement Association Of Japan Thermoelectric semiconductor having a sintered semiconductor layer and fabrication process thereof
US5901050A (en) * 1996-08-21 1999-05-04 Ngk Spark Plug Co., Ltd. Wired base plate and package for electronic parts
US5807783A (en) * 1996-10-07 1998-09-15 Harris Corporation Surface mount die by handle replacement
US5990550A (en) * 1997-03-28 1999-11-23 Nec Corporation Integrated circuit device cooling structure
US5915167A (en) * 1997-04-04 1999-06-22 Elm Technology Corporation Three dimensional structure memory
US5991161A (en) * 1997-12-19 1999-11-23 Intel Corporation Multi-chip land grid array carrier
US6150724A (en) * 1998-03-02 2000-11-21 Motorola, Inc. Multi-chip semiconductor device and method for making the device by using multiple flip chip interfaces
US6137164A (en) * 1998-03-16 2000-10-24 Texas Instruments Incorporated Thin stacked integrated circuit device
US5977640A (en) * 1998-06-26 1999-11-02 International Business Machines Corporation Highly integrated chip-on-chip packaging
US6219237B1 (en) * 1998-08-31 2001-04-17 Micron Technology, Inc. Structure and method for an electronic assembly
US6281042B1 (en) * 1998-08-31 2001-08-28 Micron Technology, Inc. Structure and method for a high performance electronic packaging assembly
US20020081774A1 (en) * 2000-03-29 2002-06-27 Chunlin Liang Flip-chip on flex for high performance packaging applications

Cited By (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060225773A1 (en) * 2002-11-25 2006-10-12 Rama Venkatasubramanian Trans-thermoelectric device
US7838760B2 (en) 2002-11-25 2010-11-23 Nextreme Thermal Solutions, Inc. Trans-thermoelectric device
US7801397B2 (en) * 2003-04-22 2010-09-21 Intel Corporation Efficient light coupler from off-chip to on-chip waveguides
US20080138009A1 (en) * 2003-04-22 2008-06-12 Intel Corporation Efficient Light Coupler From Off-Chip to On-Chip Waveguides
US20100304514A1 (en) * 2003-04-22 2010-12-02 Block Bruce A Efficient light coupler from off-chip to on-chip waveguides
US20070253167A1 (en) * 2004-07-26 2007-11-01 Chiang Kuo C Transparent substrate heat dissipater
US20060289887A1 (en) * 2005-06-24 2006-12-28 Jabil Circuit, Inc. Surface mount light emitting diode (LED) assembly with improved power dissipation
US20090000652A1 (en) * 2007-06-26 2009-01-01 Nextreme Thermal Solutions, Inc. Thermoelectric Structures Including Bridging Thermoelectric Elements
US20090072385A1 (en) * 2007-09-14 2009-03-19 Nextreme Thermal Solutions, Inc. Electronic Assemblies Providing Active Side Heat Pumping and Related Methods and Structures
US7855397B2 (en) 2007-09-14 2010-12-21 Nextreme Thermal Solutions, Inc. Electronic assemblies providing active side heat pumping
US20100123233A1 (en) * 2008-11-18 2010-05-20 In Sang Yoon Integrated circuit package system and method of package stacking
US8130512B2 (en) * 2008-11-18 2012-03-06 Stats Chippac Ltd. Integrated circuit package system and method of package stacking
US20100163090A1 (en) * 2008-12-31 2010-07-01 Industrial Technology Research Institute Thermoelectric device and fabrication method thereof, chip stack structure, and chip package structure
US20100207573A1 (en) * 2009-02-11 2010-08-19 Anthony Mo Thermoelectric feedback circuit
US20100219525A1 (en) * 2009-03-02 2010-09-02 Oki Semiconductor Co., Ltd. Semiconductor device
US8319331B2 (en) * 2009-03-02 2012-11-27 Lapis Semiconductor Co., Ltd. Semiconductor device
US20100252087A1 (en) * 2009-04-02 2010-10-07 Deane Philip A Thermoelectric devices including thermoelectric elements having off-set metal pads and related structures, methods, and systems
US8525016B2 (en) 2009-04-02 2013-09-03 Nextreme Thermal Solutions, Inc. Thermoelectric devices including thermoelectric elements having off-set metal pads and related structures, methods, and systems
US20110089557A1 (en) * 2009-10-19 2011-04-21 Jeng-Jye Shau Area reduction for die-scale surface mount package chips
FR2951871A1 (en) * 2009-10-23 2011-04-29 St Microelectronics Sa INTERFACE PLATE BETWEEN INTEGRATED CIRCUITS
US20110095437A1 (en) * 2009-10-23 2011-04-28 Stmicroelectronics S.A. Interface plate between integrated circuits
EP2315243A1 (en) * 2009-10-23 2011-04-27 STmicroelectronics SA Interposer between integrated circuits
US8704363B2 (en) 2009-10-23 2014-04-22 Stmicroelectronics S.A. Interface plate between integrated circuits
US20110220162A1 (en) * 2010-03-15 2011-09-15 Siivola Edward P Thermoelectric (TE) Devices/Structures Including Thermoelectric Elements with Exposed Major Surfaces
US9601677B2 (en) 2010-03-15 2017-03-21 Laird Durham, Inc. Thermoelectric (TE) devices/structures including thermoelectric elements with exposed major surfaces
US20150041834A1 (en) * 2010-07-07 2015-02-12 Osram Opto Semiconductors Gmbh Light-emitting diodes
US9431378B2 (en) * 2010-07-07 2016-08-30 Osram Opto Semiconductors Gmbh Light-emitting diodes
US20130207133A1 (en) * 2010-07-07 2013-08-15 Osram Opto Semiconductors Gmbh Light-emitting diode
US8890306B2 (en) * 2010-07-07 2014-11-18 Osram Opto Semiconductor Gmbh Light-emitting diode
US8823133B2 (en) 2011-03-29 2014-09-02 Xilinx, Inc. Interposer having an inductor
US8956916B2 (en) * 2011-04-22 2015-02-17 Tessera, Inc. Multi-chip module with stacked face-down connected dies
US9484333B2 (en) 2011-04-22 2016-11-01 Tessera, Inc. Multi-chip module with stacked face-down connected dies
US20140357021A1 (en) * 2011-04-22 2014-12-04 Tessera, Inc. Multi-chip module with stacked face-down connected dies
US9406738B2 (en) 2011-07-20 2016-08-02 Xilinx, Inc. Inductive structure formed using through silicon vias
US9330823B1 (en) * 2011-12-19 2016-05-03 Xilinx, Inc. Integrated circuit structure with inductor in silicon interposer
US9337138B1 (en) 2012-03-09 2016-05-10 Xilinx, Inc. Capacitors within an interposer coupled to supply and ground planes of a substrate
US10468330B2 (en) 2013-12-12 2019-11-05 Samsung Electronics Co., Ltd. Semiconductor chip and electronic system including the same
US9798088B2 (en) * 2015-11-05 2017-10-24 Globalfoundries Inc. Barrier structures for underfill blockout regions
US11239222B2 (en) * 2019-10-28 2022-02-01 Electronics And Telecommunications Research Institute Cooled optical transmission module device and method of manufacturing the same

Also Published As

Publication number Publication date
US20040084781A1 (en) 2004-05-06
US7022553B2 (en) 2006-04-04
US6586835B1 (en) 2003-07-01

Similar Documents

Publication Publication Date Title
US6586835B1 (en) Compact system module with built-in thermoelectric cooling
US6392296B1 (en) Silicon interposer with optical connections
US6686532B1 (en) Heat sink/heat spreader structures and methods of manufacture
US6727422B2 (en) Heat sink/heat spreader structures and methods of manufacture
US8030113B2 (en) Thermoelectric 3D cooling
US7523617B2 (en) Thin film thermoelectric devices for hot-spot thermal management in microprocessors and other electronics
US6219237B1 (en) Structure and method for an electronic assembly
US10014279B2 (en) Methods of forming 3-D integrated semiconductor devices having intermediate heat spreading capabilities
US6614109B2 (en) Method and apparatus for thermal management of integrated circuits
US6281042B1 (en) Structure and method for a high performance electronic packaging assembly
US6743972B2 (en) Heat dissipating IC devices
EP1834354B1 (en) Microelectronic assembly with built-in thermoelectric cooler and method of fabricating same
US7855397B2 (en) Electronic assemblies providing active side heat pumping
US20100257871A1 (en) Thin film thermoelectric devices for power conversion and cooling
US20070087528A1 (en) Method and structure for vertically-stacked device contact
CN102856278A (en) Adapter plate structure and manufacturing method thereof
US10043962B2 (en) Thermoelectric cooling using through-silicon vias
US11533825B2 (en) Systems with indium application to heat transfer surfaces

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION