US20050141325A1 - Efficent column redundancy techniques - Google Patents

Efficent column redundancy techniques Download PDF

Info

Publication number
US20050141325A1
US20050141325A1 US11/064,218 US6421805A US2005141325A1 US 20050141325 A1 US20050141325 A1 US 20050141325A1 US 6421805 A US6421805 A US 6421805A US 2005141325 A1 US2005141325 A1 US 2005141325A1
Authority
US
United States
Prior art keywords
memory
multiplexer
local
line
bank
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US11/064,218
Other versions
US7230872B2 (en
Inventor
Gil Winograd
Esin Terzioglu
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Avago Technologies International Sales Pte Ltd
Original Assignee
Winograd Gil I.
Esin Terzioglu
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US10/100,757 external-priority patent/US6646954B2/en
Application filed by Winograd Gil I., Esin Terzioglu filed Critical Winograd Gil I.
Priority to US11/064,218 priority Critical patent/US7230872B2/en
Publication of US20050141325A1 publication Critical patent/US20050141325A1/en
Application granted granted Critical
Publication of US7230872B2 publication Critical patent/US7230872B2/en
Assigned to BANK OF AMERICA, N.A., AS COLLATERAL AGENT reassignment BANK OF AMERICA, N.A., AS COLLATERAL AGENT PATENT SECURITY AGREEMENT Assignors: BROADCOM CORPORATION
Assigned to AVAGO TECHNOLOGIES GENERAL IP (SINGAPORE) PTE. LTD. reassignment AVAGO TECHNOLOGIES GENERAL IP (SINGAPORE) PTE. LTD. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: BROADCOM CORPORATION
Assigned to BROADCOM CORPORATION reassignment BROADCOM CORPORATION TERMINATION AND RELEASE OF SECURITY INTEREST IN PATENTS Assignors: BANK OF AMERICA, N.A., AS COLLATERAL AGENT
Assigned to AVAGO TECHNOLOGIES INTERNATIONAL SALES PTE. LIMITED reassignment AVAGO TECHNOLOGIES INTERNATIONAL SALES PTE. LIMITED MERGER (SEE DOCUMENT FOR DETAILS). Assignors: AVAGO TECHNOLOGIES GENERAL IP (SINGAPORE) PTE. LTD.
Assigned to AVAGO TECHNOLOGIES INTERNATIONAL SALES PTE. LIMITED reassignment AVAGO TECHNOLOGIES INTERNATIONAL SALES PTE. LIMITED CORRECTIVE ASSIGNMENT TO CORRECT THE EXECUTION DATE PREVIOUSLY RECORDED AT REEL: 047196 FRAME: 0097. ASSIGNOR(S) HEREBY CONFIRMS THE MERGER. Assignors: AVAGO TECHNOLOGIES GENERAL IP (SINGAPORE) PTE. LTD.
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C29/00Checking stores for correct operation ; Subsequent repair; Testing stores during standby or offline operation
    • G11C29/70Masking faults in memories by using spares or by reconfiguring
    • G11C29/78Masking faults in memories by using spares or by reconfiguring using programmable devices
    • G11C29/80Masking faults in memories by using spares or by reconfiguring using programmable devices with improved layout
    • G11C29/808Masking faults in memories by using spares or by reconfiguring using programmable devices with improved layout using a flexible replacement scheme
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F13/00Interconnection of, or transfer of information or other signals between, memories, input/output devices or central processing units
    • G06F13/38Information transfer, e.g. on bus
    • G06F13/40Bus structure
    • G06F13/4063Device-to-bus coupling
    • G06F13/4068Electrical coupling
    • G06F13/4086Bus impedance matching, e.g. termination
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C11/00Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C11/21Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements
    • G11C11/34Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices
    • G11C11/40Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors
    • G11C11/41Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors forming static cells with positive feedback, i.e. cells not needing refreshing or charge regeneration, e.g. bistable multivibrator or Schmitt trigger
    • G11C11/413Auxiliary circuits, e.g. for addressing, decoding, driving, writing, sensing, timing or power reduction
    • G11C11/417Auxiliary circuits, e.g. for addressing, decoding, driving, writing, sensing, timing or power reduction for memory cells of the field-effect type
    • G11C11/419Read-write [R-W] circuits
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C29/00Checking stores for correct operation ; Subsequent repair; Testing stores during standby or offline operation
    • G11C29/70Masking faults in memories by using spares or by reconfiguring
    • G11C29/78Masking faults in memories by using spares or by reconfiguring using programmable devices
    • G11C29/84Masking faults in memories by using spares or by reconfiguring using programmable devices with improved access time or stability
    • G11C29/846Masking faults in memories by using spares or by reconfiguring using programmable devices with improved access time or stability by choosing redundant lines at an output stage
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C29/00Checking stores for correct operation ; Subsequent repair; Testing stores during standby or offline operation
    • G11C29/70Masking faults in memories by using spares or by reconfiguring
    • G11C29/78Masking faults in memories by using spares or by reconfiguring using programmable devices
    • G11C29/84Masking faults in memories by using spares or by reconfiguring using programmable devices with improved access time or stability
    • G11C29/848Masking faults in memories by using spares or by reconfiguring using programmable devices with improved access time or stability by adjacent switching
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C7/00Arrangements for writing information into, or reading information out from, a digital store
    • G11C7/18Bit line organisation; Bit line lay-out
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C11/00Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C11/21Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements
    • G11C11/34Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices
    • G11C11/40Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors
    • G11C11/41Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors forming static cells with positive feedback, i.e. cells not needing refreshing or charge regeneration, e.g. bistable multivibrator or Schmitt trigger

Definitions

  • One embodiment of the present invention relates to a programmable device for increasing memory cell, and thus memory architecture, design yield. More specifically, one embodiment of the present invention relates to column redundancy adapted to increase design yields in memory architecture.
  • Memory architectures typically balance power and device area against speed. High-performance memory architectures place a severe strain on the power and area budgets of associated systems, particularly where such components are embedded within a VLSI system, such as a digital signal processing systems for example. Therefore, it is highly desirable to provide memory architectures that are fast, yet power- and area-efficient.
  • Highly integrated, high performance components such as memory cells for example, require complex fabrication and manufacturing processes. These processes may experience unavoidable parameter variations which may impose physical defects upon the units being produced, or may exploit design vulnerabilities to the extent of rendering the affected units unusable or substandard.
  • redundancy may be important because a fabrication flaw or operational failure of even a single bit cell may result in the failure of the system relying upon the memory architecture.
  • process invariant features may be needed to insure that the internal operations of the architecture conforms to precise timing and parametric specifications. Lacking redundancy and process invariant features, the actual manufacturing yield for a particular memory architecture may be unacceptably low.
  • Low-yield memory architectures are particularly unacceptable when embedded within more complex systems, which inherently have more fabrication and manufacturing vulnerabilities.
  • a higher manufacturing yields of the memory cells translate into a lower per-unit cost, while a robust design translates into reliable products having lower operational costs.
  • the aforementioned redundancy aspects of the present invention may render the hierarchical memory structure less susceptible to incapacitation by defects during fabrication or operation, advantageously providing a memory product that is at once more manufacturable and cost-efficient, and thus operationally more robust.
  • the present invention relates to a system and method adapted to increase memory cell and memory architecture design yield using column redundancy.
  • One embodiment relates to a multi-bank memory comprising at least one output data bit adapted to complete a word for a failing bank in the memory.
  • the multi-bank memory may further comprise at least one bank adapted to output the at least one output data bit.
  • Another embodiment relates to a memory device having a decoder and a multi-bank memory.
  • the decoder is adapted to decode addresses.
  • the multi-bank memory interacts with the decoder, wherein the multi-bank memory includes at least one output data bit adapted to complete a word for a failing bank in the multi-bank memory.
  • Yet another embodiment of the present invention relates to a memory device having a multi-bank memory, a multiplexer and a sense amplifier.
  • the multiplexer is adapted to access failing bits in the multi-bank memory while the sense amplifier is adapted to be accessed when a multiplexer is selected.
  • Yet another embodiment of the present invention relates to a memory device comprising at least one multi-bank memory and at least one redundant bank.
  • the at least one redundant bank has at least one output data bit adapted to complete a word for a failing bank in the memory.
  • Still another embodiment relates to a memory architecture comprising a synchronous controlled global element and a self-timed local element including at least one multi-bank memory, where the local element is adapted to interface with the synchronous controlled global element.
  • the multi-bank memory comprises at least one redundant bank having at least one output data bit adapted to complete a word for a failing bank in the memory bank.
  • the local element further comprises at least one decoder adapted to decode addresses, while the multi-bank memory further comprises at least one output data bit adapted to complete a word for a failing bank in the multi-bank memory, and is adapted to interact with the decoder.
  • the local element further comprises at least one multiplexer adapted to access failing bits in the multi-bank memory.
  • the local element may further comprise a multiplexer, a sense amplifier, a routing channel and at least one transmission gate.
  • the sense amplifier is adapted to be accessed when the multiplexer is selected, while the routing channel interfaces with at least the sense amplifier and is adapted to route signals to the multiplexer.
  • the transmission gate (which may be activated by multiplexer signals) is adapted to pass signals to the sense amplifier.
  • Yet another embodiment of the present invention relates to a method for providing column redundancy in multi-bank memories.
  • This embodiment comprises providing at least one extra bank having an output data bit, activating the extra bank during an access to a memory having a failing bit, and providing the output data bit thereby completing a word.
  • FIG. 1 illustrates a block diagram of an exemplary SRAM module
  • FIG. 2 illustrates a block diagram of a SRAM memory core divided into banks
  • FIGS. 3A and 3B illustrate SRAM modules including a block structure or subsystem in accordance with one embodiment of the present invention
  • FIG. 4 illustrates a dimensional block array or subsystem used in a SRAM module in accordance with one embodiment of the present invention
  • FIG. 5 illustrates a cell array comprising a plurality of memory cells in accordance with one embodiment of the present invention
  • FIG. 6A illustrates a memory cell used in accordance with one embodiment of the present invention
  • FIG. 6B illustrates back-to-back inventors representing the memory cell of FIG. 6A in accordance with one embodiment of the present invention
  • FIG. 7 illustrates a SRAM module similar to that illustrated FIGS. 3A and 3B in accordance with one embodiment of the present invention
  • FIG. 8 illustrates a local decoder in accordance with one embodiment of the present invention
  • FIG. 9 illustrates a circuit diagram of a local decoder similar to that illustrated in FIG. 8 in accordance with one embodiment of the present invention.
  • FIG. 10 illustrates a block diagram of the local sense amps and 4:1 muxing in accordance with one embodiment of the present invention
  • FIG. 11 illustrates a block diagram of the local sense amps and global sense amps in accordance with one embodiment of the present invention
  • FIG. 12A illustrates a schematic representation of the local sense amps and global sense amps in accordance with one embodiment of the present invention
  • FIG. 12B illustrates a circuit diagram of an embodiment of a local sense amp (similar to the local sense amp of FIG. 12A ) in accordance with one embodiment of the present invention
  • FIG. 12C illustrates a schematic representation of the amplifier core similar to the amplifier core illustrated in FIG. 12B ;
  • FIG. 13 illustrates a block diagram of another embodiment of the local sense amps and global sense amps in accordance with one embodiment of the present invention
  • FIG. 14 illustrates a circuit diagram including a transmission gate of the 4:1 mux similar to that illustrated in FIG. 10 and 12 in accordance with one embodiment of the present invention
  • FIG. 15 illustrates transmission gates of the 2:1 mux coupled to the inverters of a local sense amp in accordance with one embodiment of the present invention
  • FIG. 16 illustrates the precharge and equalizing portions and transmission gates of the 2:1 mux coupled to the inverters of a local sense amp in accordance with one embodiment of the present invention
  • FIG. 17 illustrates a circuit diagram of the local sense amp in accordance with one embodiment of the present invention.
  • FIG. 18 illustrates a block diagram of a local controller in accordance with one embodiment of the present invention.
  • FIG. 19 illustrates a circuit diagram of the local controller in accordance one embodiment of the present invention.
  • FIG. 20 illustrates the timing for a READ cycle using a SRAM memory module in accordance with one embodiment of the present invention
  • FIG. 21 illustrates the timing for a WRITE cycle using a SRAM memory module in accordance with one embodiment of the present invention
  • FIG. 22A illustrates a block diagram of local sense amp having 4:1 local muxing and precharging incorporated therein in accordance with one embodiment of the present invention
  • FIG. 22B illustrates one example of 16:1 muxing (including 4:1 global muxing and 4:1 local muxing) in accordance with one embodiment of the present invention
  • FIG. 22C illustrates one example of 32:1 muxing (including 8:1 global muxing and 4:1 local muxing) in accordance with one embodiment of the present invention
  • FIG. 23 illustrates a local sense amp used with a cluster circuit in accordance with one embodiment of the present invention
  • FIG. 24 illustrates a memory composed of words having a width of w bits
  • FIG. 25 illustrates a memory composed of words having a width of w+1 bits
  • FIG. 26 illustrates a multi-bank memory composed of b banks
  • FIG. 27 illustrates a multi-bank memory having an extra bank with a single output data bit in accordance with one embodiment of the present invention.
  • FIG. 28 illustrates a memory having a single redundant local sense-amplifier in accordance with one embodiment of the present invention.
  • VLSI systems including communications systems and DSP devices
  • VLSI memory subsystems Modern applications of VLSI memory subsystems almost invariably demand high efficiency, high performance implementations that magnify the design tradeoffs between layout efficiency, speed, power consumption, scalability, design tolerances, and the like.
  • the present invention ameliorates these tradeoffs using a novel synchronous, self-timed hierarchical architecture.
  • the memory module of the present invention also may employ one or more novel components, which further add to the memory module's efficiency and robustness.
  • FIG. 1 illustrates a functional block diagram of one example of a SRAM memory structure 100 providing the basic features of SRAM subsystems.
  • Module 100 includes memory core 102 , word line controller 104 , and memory address inputs 114 .
  • the most common configuration of memory core 102 uses single word lines 106 to connect cells 103 onto paired differential bitlines 118 .
  • core 102 is arranged as an array of 2 P entries based on a set of P memory address in.
  • the p-bit address is decoded by row address decoder 110 and column address decoder 122 .
  • Access to a given memory cell 103 within such a single-core memory 102 is accomplished by activating the column 105 by selecting bitline in the column corresponding to cell 103 .
  • the particular row to be accessed is chosen by selective activation of row address or wordline decoder 110 , which usually corresponds uniquely with a given row, or word line, spanning all cells 103 in that particular row. Also, word line driver 108 can drive a selected word line 106 such that selected memory cell 103 can be written into or read out on a particular pair of bitlines 118 , according to the bit address supplied to memory address inputs 114 .
  • Bitline controller 116 may include precharge cells (not shown), column multiplexers or decoders 122 , sense amplifiers 124 , and input/output buffers (not shown). Because different READ/WRITE schemes are typically used for memory cells, it is desirable that bitlines be placed in a well-defined state before being accessed. Precharge cells may be used to set up the state of bitlines 118 , through a PRECHARGE cycle according to a predefined precharging scheme. In a static precharging scheme, precharge cells may be left continuously on except when accessing a particular block.
  • precharging cells can also be used to effect equalization of differential voltages on bitlines 118 prior to a READ operation.
  • Sense amplifiers 124 enable the size of memory cell 103 to be reduced by sensing the differential voltage on bitlines 118 , which is indicative of its state, translating that differential voltage into a logic-lever signal.
  • a READ operation is performed by enabling row decoder 110 , which selects a particular row.
  • the charge on one of the bitlines 118 from each pair of bitlines on each column will discharge through the enabled memory cell 103 , representing the state of the active cells 103 on that column 105 .
  • Column decoder 122 enables only one of the columns, connecting bitlines 118 to an output.
  • Sense amplifiers 124 provide the driving capability to source current to the output including input/output buffers. When sense amplifier 124 is enabled, the unbalanced bitlines 118 will cause the balanced sense amplifier to trip toward the state of the bitlines, and data will be output.
  • a WRITE operation is performed by applying data to an input including I/O buffers (not shown).
  • bitlines 118 may be precharged to a predetermined value by precharge cells.
  • the application of input data to the inputs tend to discharge the precharge voltage on one of the bitlines 118 , leaving one bitline logic HIGH and one bitline logic LOW.
  • Column decoder 122 selects a particular column 105 , connecting bitlines 118 to the input, thereby discharging one of the bitlines 118 .
  • the row decoder 110 selects a particular row, and the information on bitlines 118 will be written into cell 103 at the intersection of column 105 and row 106 .
  • precharging is disabled. The precharging is not enabled again until the entire operation is completed.
  • Column decoder 122 and row decoder 110 are then activated, followed by the activation of sense amplifier 124 .
  • sense amplifier 124 is deactivated. This is followed by disabling decoders 110 , 122 , at which time precharge cells 120 become active again during a subsequent PRECHARGE cycle.
  • the content of memory cell 103 of memory block 100 is detected in sense amplifier 124 , using a differential line between the paired bitlines 118 .
  • this architecture is not scalable. Also, increasing the memory block 100 may exceed the practical limitations of the sense amplifiers 124 to receive an adequate signal in a timely fashion at the bitlines 118 . Increasing the length of bitlines 118 increases the associated bitline capacitance and, thus, increases the time needed for a voltage to develop thereon. More power must be supplied to lines 104 , 106 to overcome the additional capacitance.
  • reduced power consumption in memory devices such as structure 100 in FIG. 1 can be accomplished by, for example, reducing total switched capacitance, and minimizing voltage swings.
  • the advantages of the power reduction aspects of certain embodiments of the present invention can further be appreciated with the context of switched capacitance reduction and voltage swing limitation.
  • Two well-known approaches for reducing total switched capacitance during a memory structure access include dividing a single-core memory structure into a banked memory structure, and employing divided word line structures. In the former approach, it is necessary to activate only the particular memory bank associated with the memory cell of interest. In the latter approach, localizing word line activation to the greatest practicable extent reduces total switched capacitance.
  • FIG. 2 One approach to reducing switching capacitances is to divide the memory core into separately switchable banks of memory cells.
  • a memory core 200 divided into banks is illustrated in FIG. 2 .
  • the memory core includes two banks of memory cells, bank # 0 and bank # 1 , generally designated 202 and 204 respectively.
  • the memory core 200 includes two local decoders 206 that are communicatively coupled to each other and a global decoder 208 via world line High 210 .
  • Each local decoder 206 includes a local word line High 210 that communicatively couples the decoder 206 to its associated bank.
  • two bank lines 214 are shown communicatively coupled or interfaced to the local decoders 206 . It should be appreciated that, in one embodiment, one bank line 214 is associated with each bank.
  • the total switched capacitance during a given memory access for banked memory cores is inversely proportional to the number of banks employed.
  • the total switching capacitance, and thus the overall power consumed by the memory core can be greatly reduced.
  • Banked design may also realize a higher product yield.
  • the memory banks can be arranged such that a defective bank is rendered inoperable and inaccessible, while the remaining operational banks of the memory core 200 can be packed into a lower-capacity product.
  • banked designs may not be appropriate for certain applications.
  • Divided memory cores demand additional decoding circuitry to permit selective access to individual banks.
  • such divided memory cores may demand an additional local decoder 206 , local bank line 214 and local word line High 210 for example. Delay may occur as a result.
  • many banked designs employ memory segments that are merely scaled-down versions of traditional monolithic core memory designs, with each segment having dedicated control, precharging, decoding, sensing, and driving circuitry. These circuits tend to consume much more power in both standby and operational modes than their associated memory cells.
  • Such banked structures may be simple to design, but the additional complexity and power consumption can reduce overall memory component performance.
  • banked designs are not suitable for scaling-up to accommodate large design requirements.
  • traditional banked designs may not be readily adaptable to applications requiring a memory core configuration that is substantially different from the underlying bank architecture (e.g., a memory structure needing relatively few rows of long word lengths).
  • Traditional bank designs are generally not readily adaptable to a memory structure needing relatively few rows of very long word lengths.
  • one or more embodiments of the present invention provide a hierarchical memory structure that is synthesized using a bottom-up approach.
  • such a basic hierarchical module can include localized bitline sensing.
  • the bit-width of a memory component is sized to accommodate a particular word length. As the word length for a particular design increases, so do the associated word line delays, switched capacitance, power consumption, and the like. To accommodate very long word lines, it may be desirable to divide core-spanning global word lines into local word lines, each consisting of smaller groups of adjacent, word-oriented memory cells. Each local group employs local decoding and driving components to produce the local word lines when the global word line, to which it is coupled, is activated. In long word length applications, the additional overhead incurred by divided word lines can be offset by reduced word line delays.
  • certain embodiments of the invention herein include providing a local word line to the aforementioned basic memory module, which further enhances the local decision making features of the module.
  • a bottom-up approach to hierarchically couple basic memory modules as previously described with the added localized decision-making features of local word lines according to the present invention additional synergies maybe realized, which further reduce overall power consumption and signal propagation times.
  • One alternative to a banked memory core design is to multiplex or mux the memory cells.
  • bits from different words are not stored sequentially.
  • bits from two words are stored in an alternating pattern. For example, if the number 1 represents bits from a first word, while the number 2 represent bits from a second word.
  • the mux selects which column it is looking at (i.e., the left or right bit). It should be appreciated that muxing may save space.
  • Banked designs without muxing require one sense amplifier for every two lines. In 2:1 muxing for example, one sense amplifier is used for every four lines (i.e., one sense amplifier ties two sets of bitlines together). Muxing enables sense amps to be shared between muxed cells, which may increase the layout pitch and area efficiency.
  • muxing consumes more power than the banked memory core design. For example, to read a stored word, the mux accesses or enables an entire row in the cell array, reading all the data stored therein, only sensing the data needed and disregarding the remainder.
  • Power reduction may also be achieved by reducing the voltage swings experienced throughout the structure. By limiting voltage swings, it is possible to reduce the amount of power dissipated as the voltage at a node or on a line decays during a particular event or operation, as well as to reduce the amount of power required to return the various decayed voltages to the desired state after the particular event or operation, or prior to the next access. Two techniques to this end include using pulsed word lines and sense amplifier voltage swing reduction.
  • a self-timed, self-limiting word line device that is responsive to the actual duration of a given READ operation on a selected cell, and that substantially limits word line activation during that duration.
  • a sense amplifier successfully completes a READ operation in less than a memory system clock cycle, it may also be desirable to have asynchronous pulse width activation, relative to the memory system clock.
  • Certain aspects of the present invention may provide a pulsed word line signal, for example, using a cooperative interaction between local decoder and local controller.
  • Sense amplifiers typically are used to detect the value of the data stored in a particular memory cell and to provide the current needed to drive the I/O lines.
  • the sense amplifier detects the small differential signals across a memory cell, which is in an unbalanced state representative of data value stored in the cell, and amplifies the resulting signal to logic level.
  • the bitlines associated with a particular memory column Prior to a READ operation, the bitlines associated with a particular memory column are precharged to a chosen value.
  • a specific memory cell is enabled, a particular row in which the memory cell is located and a sense amplifier associated with the particular column are selected. The charge on one of those bitlines associated with the memory cell is discharged through the enabled memory cell, in a manner corresponding to the value of the data stored in the memory cell. This produces an imbalance between the signals on the paired bitlines, causing a bitline voltage swing.
  • the sense amplifier When enabled, the sense amplifier detects the unbalanced signal and, in response, the usually balanced sense amplifier state changes to a state representative of the value of the data. This state detection and response occurs within a finite period, during which a specific amount of power is dissipated.
  • latch-type sense amps only dissipate power during activation, until the sense amp resolves the data. Power is dissipated as voltage develops on the bitlines. The greater the voltage decay on the precharged bitlines, the more power dissipated during the READ operation.
  • sense amplifiers that automatically shut off once a sense operation is completed may reduce power.
  • a self-latching sense amplifier for example turns off as soon as the sense amplifier indicates the sensed data state.
  • Latch type sense amps require an activation signal which, in one embodiment is generated by a dummy column timing circuit. The sense amp drives a limited swing signal out of the global bitlines to save power.
  • High-performance memory components place a severe strain on the power and area budgets of associated systems, particularly where such components are embedded within a VLSI system such as a digital signal processing system. Therefore, it is highly desirable to provide memory subsystems that are fast, yet power- and area-efficient.
  • redundancy can be important, because a fabrication flaw, or operational failure, of even a single bit cell, for example, may result in the failure of the system relying upon that memory.
  • process invariant features may be needed to insure that the internal operations of the structure conform to precise timing and parametric specifications.
  • Lacking redundancy and process invariant features, the actual manufacturings yield for a particular memory are particularly unacceptable when embedded within more complex systems, which inherently have more fabrication and manufacturing vulnerabilities.
  • a higher manufacturing yield translates into lower per-unit costs, while a robust design translates into reliable products having lower operational costs.
  • Redundancy devices and techniques constitute other certain preferred aspects of the invention herein that, alone or together, enhance the functionality of the hierarchical memory structure.
  • the previously discussed redundancy aspects of the present invention can render the hierarchical memory structure less susceptible to incapacitation by defects during fabrication or operation, advantageously providing a memory product that is at once more manufacturable and cost-efficient, and operationally more robust.
  • Redundancy within a hierarchical memory module can be realized by adding one or more redundant rows, columns, or both, to the basic module structure.
  • a memory structure composed of hierarchical memory modules can employ one or more redundant modules for mapping to failed memory circuits.
  • a redundant module may provide a one-for-one replacement of a failed module, or it can provide one or more memory cell circuits to one or more primary memory modules.
  • the modular, hierarchical memory architecture provides a compact, robust, power-efficient, high-performance memory system having, advantageously, a flexible and extensively scalable architecture.
  • the hierarchical memory structure is composed of fundamental memory modules or blocks which can be cooperatively coupled, and arranged in multiple hierarchical tiers, to devise a composite memory product having arbitrary column depth or row length. This bottom-up modular approach localizes timing considerations, decision-making, and power consumption to the particular unit(s) in which the desired data is stored.
  • the fundamental memory subsystems or blocks may be grouped to form a larger memory structure, that itself can be coupled with similar memory structures to form still larger memory structures.
  • these larger structures can be arranged to create a complex structure, including a SRAM module, at the highest tier of the hierarchy.
  • switching and memory cell power consumption during a READ/WRITE operation are localized to the immediate vicinity of the memory cells being evaluated or written, i.e., those memory cells in selected memory subsystems or blocks, with the exception of a limited number of global word line selectors, sense amplifiers, and support circuitry.
  • the majority of subsystems or blocks that do not contain the memory cells being evaluated or written generally remain inactive.
  • Alternate embodiments of the present invention provide a hierarchical memory module using local bitline sensing, local word line decoding, or both, which intrinsically reduces overall power consumption and signal propagation, and increases overall speed, as well as increasing design flexibility and scalability.
  • aspects of the present invention contemplate apparatus and methods which further limit the overall power dissipation of the hierarchical memory structure, while minimizing the impact of a multi-tier hierarchy. Certain aspects of the present invention are directed to mitigate functional vulnerabilities that may develop from variations in operational parameters, or that related to the fabrication process.
  • One embodiment of the present invention comprehends local bitline sensing, in which a limited number of memory cells are coupled with a single local sense amplifier, thereby forming a basic memory module. Similar memory modules are grouped and arranged to form blocks that, along with the appropriate circuitry, output the local sense amplifier signal to the global sense amplifier. Thus, the bitlines associated with the memory cells in the block are not directly coupled with a global sense amplifier, mitigating the signal propagation delay and power consumption typically associated with global bitline sensing. In this approach, the local bitline sense amplifier quickly and economically sense the state of a selected memory cell in a block and reports the state to the global sense amplifier.
  • a memory block a limited number of memory cells, among other units.
  • Using local word line decoding mitigates the delays and power consumption of global word line decoding. Similar to the local bitline sensing approach, a single global word line decoder can be coupled with the respective local word line decoders of multiple blocks. When the global decoder is activated with an address, only the local word line decoder associated with the desired memory cell of a desired block responds, activating the memory cell. This aspect, too, is particularly power-conservative and fast, because the loading on the global line is limited to the associated local word line decoders, and the global word line signal need be present only as long as required to trigger the relevant local word line.
  • a hierarchical memory block employing both local bitline sensing and local word line decoding is provided, which realizes the advantages of both approaches.
  • FIGS. 3A and 3B One embodiment of a 0.13 ⁇ m SRAM module, generally designated 300 , is illustrated in FIGS. 3A and 3B . It should be appreciated that, while a 0.13 ⁇ m SRAM module is illustrated, other sized SRAM modules are contemplated.
  • the illustrated SRAM embodiment comprises a hierarchical memory that breaks up a large memory into a two-dimensional array of blocks. In this embodiment, a row of blocks is designated a row block while a column of blocks is designated a column block. A pair of adjacent row blocks 302 and column blocks 304 is illustrated.
  • row blocks and block columns are arbitrary designations that are assigned to distinguish the blocks extending in one direction from the blocks extending perpendicular thereto, and that these terms are independent of the orientation of the SRAM 300 . It should also be appreciated that, while four blocks are depicted, any number of column and row blocks are contemplated. The number of blocks in a row block may generally range anywhere from 1 to 16, while the number of blocks in a column block may generally range anywhere from 1 to 16, although larger row and column blocks are contemplated.
  • a block 306 comprises at least four entities: (1) one or more cell arrays 308 ; (2) one or more local decoders 310 (alternatively referred to as “LXDEC 710”); (3) one or more local sense amps 312 (alternatively referred to as “LSA 712”); and (4) one or more local controllers 314 (alternatively referred to as “LXCTRL 714”).
  • the block 306 may include clusters as described below.
  • SRAM 300 illustrated in FIGS. 3A and 3B includes two local predecoders 316 (alternatively referred to as “LxPRED”), three global decoders 318 (alternatively referred to as “GxDEC”), a global predecoder 320 (alternatively referred to as “GxPRED”), two global controllers 322 (alternatively referred to as “GxCTR”), and two global sense amps 324 (alternatively referred to as “GSA 724 ”) in addition to the illustrated block 306 comprising eight cell arrays 308 , six local decoders 310 , eight local sense amps 312 , and two local controllers 314 .
  • each illustrated global controller comprises a plurality of global controllers, one global controller for each local controller, and each illustrated local controller comprises a plurality of local controllers, one for each row of memory cells.
  • block 306 comprising only four cell arrays 308 , two local decoders 310 , two local sense amps 312 , and one local controller 314 is illustrated in FIG. 4 .
  • the blocks range in size from about 2 Kbits to about 150 Kbits.
  • the blocks 306 may be broken down further into smaller entities.
  • One embodiment includes an array of sense amps arranged in the middle of the cell arrays 308 , dividing the cell arrays into top and bottom sub-blocks as discussed below.
  • the external signals that control each block 300 are all synchronous. That is, the pulse duration of the control signals are equal to the clock high period of the SRAM module. Further, the internal timing of each block 300 is self-timed. In other words the pulse duration of the signals are dependent on a bit-line decay time and are independent of the clock period. This scheme is globally robust to RC effects, locally fast and power-efficient as provided below
  • the cell arrays 308 of the SRAM 300 comprises a plurality of memory cells as illustrated in FIG. 5 , where the size of the array (measured in cell units) is determined by rows x cols.
  • a megabit memory cell array comprises a 1024 ⁇ 1024 memory cells.
  • One embodiment of a memory cell used in the SRAM cell array comprises a six-transistor CMOS cell 600 A (alternatively referred to as “6 T cell”) is illustrated in FIG. 6A .
  • 6 T cell 600 includes transistors 601 a, 601 b, 601 c and 601 d.
  • Each 6 T cell 600 interfaces to a local wordline 626 (alternatively referred to as lwlH), shared with all other 6 T cells in the same row in a cell array.
  • a pair of local bitlines, designated bit and bit_n and numbered 628 and 630 respectively, are shared with all other 6 T cells 600 in the same column in the cell array.
  • the local wordline signal enters each 6 T cell 600 directly on a poly line that forms the gate of cell access transistors 632 and 634 as illustrated.
  • a jumper metal line also carries the same local wordline signal. The jumper metal line is shorted to the poly in strap cells that are inserted periodically between every 16 or 32 columns of 6 T cells 600 .
  • the poly in the strap cells is highly resistive and, in one embodiment of the present invention, is shunted by a metal jumper to reduce resistance.
  • the 6 T cell 600 exists in one of three possible states: (1) the STABLE state in which the 6 T cell 600 holds a signal value corresponding to a logic “1” or logic “0”; (2) a READ operation state; or (3) a WRITE operation state.
  • 6 T cell 600 is effectively disconnected from the memory core (e.g., core 102 in FIG. 1 ).
  • the bit lines i.e., bit and bit_n lines 628 , 630 respectively, are precharged HIGH (logic “1”) before any READ or WRITE operation takes place.
  • Row select transistors 632 , 634 are turned off during precharge.
  • Local sense amplifier block (not shown but similar to LSA 712 ) is interfaced to bit line 628 and bit_n line 630 , similar to LSA 712 in FIGS. 3A, 3B and 4 , supply precharge power.
  • a READ operation is initiated by performing a PRECHARGE cycle, precharging bit line 628 and bit_n line 630 to logic HIGH, and activating LwLH 626 using row select transistors 632 , 634 .
  • One of the bitlines discharges through 6 T cell 600 , and a differential voltage is setup between bit line 628 and bit_n line 630 . This voltage is sensed and amplified to logic levels.
  • a WRITE operation to 6 T cell 600 is carried out after another PRECHARGE cycle, by driving bitlines 628 , 630 to the required state, corresponding to write data and activating lwlH 626 .
  • CMOS is a desirable technology because the supply current drawn by such an SRAM cell typically is limited to the leakage current of transistors 601 a - d while in the STABLE state.
  • FIG. 6B illustrates an alternative representation of the 6 T cell illustrated in FIG. 6A .
  • transistors 601 a, 601 b, 601 c and 601 d are represented as back-to-back inventors 636 and 638 respectively as illustrated.
  • FIG. 7 A block diagram of one embodiment of a SRAM module 700 , similar to the SRAM module 300 of FIGS. 3A, 3B and 4 , is illustrated in FIG. 7 .
  • This embodiment includes a one-dimensional array of local x-decoders or LxDEC 710 similar to the LXDEC 310 .
  • the LXDEC 710 array is physically arranged as a vertical array of local x-decoders located proximate the cell array 708 .
  • the LxDEC 710 interfaces with or is communicatively coupled to a global decoder or GxDEC 718 .
  • the LXDEC 710 is located to the left of the cell array 708 . It should be appreciated that the terms “left,” or “right,” “up,” or “down,” “above,” or “below” are arbitrary designations that are assigned to distinguish the units extending in one direction from the units extending in another direction and that these terms are independent of the orientation of the SRAM 700 .
  • LxDEC 710 is in a one-to-one correspondence with a row of the cell array 708 .
  • the LXDEC 710 activates a corresponding local wordline or lwlH 726 not shown of a block.
  • the LXDEC 710 is controlled by, for example, WlH, bnkL and BitR 742 signals on their respective lines.
  • LXDEC 710 is illustrated in FIG. 8 .
  • each LXDEC 710 in a block interfaces to a unique global wordline 750 (alternatively referred to as “WlH”) corresponding to the memory row.
  • the global WlH 750 is shared with other corresponding LxDEC's 710 in the same row block using lwlH 750 .
  • LXDEC 710 only activates the local wordline 726 , if the corresponding global wordline 750 is activated.
  • a plurality of cells 754 similar to the 6 T cells discussed previously, are communicatively coupled to the lwlH 726 as illustrated.
  • every LxDEC 710 in the top or bottom of a sub-block shares the same bank line (alternatively referred to as “bnk Sol H”). It should be appreciated that there are separate bnkL 13 bot 756 and bnkL_top 758 lines for the bottom and top sub-blocks, respectively. LXDEC 710 will only activate lwlH 726 if this line is active.
  • the bank lines are used to selectively activate different blocks within the same row block and synchronize the proper access timing. For example, during a READ operation, the bank line will activate as early as possible to begin the read operation. During a WRITE operation for example, bnkL is synchronized to the availability of the data on the local bitlines.
  • This line is precharged to VDD in the memory idle state.
  • bitR 760 approaches VDD/2 (i.e., one half of VDD)
  • bitR 760 signals the end of a memory access and causes the LXDEC 710 to de-activate lwlH 726 .
  • the bitR signal line 760 is constructed as a replica to the bitlines (i.e, in this embodiment bit line 728 and bit_n line 730 are similar to bit line 628 and bit_n line 630 discussed previously) in the cell array, so the capacitive loading of the bitR 760 line is the same per unit length as in the cell array.
  • a replica local decoder controlled by bnkL, fires the lWlRH.
  • the lWlRH is a synchronization signal that controls the local controller.
  • the lWlRH may fire every time an associated subblock (corresponding to a wlRH) is accessed.
  • a global controller initiates or transmits a READ or WRITE signal.
  • the associated local controller 714 initiates or transmits an appropriate signal based on the signal transmitted by the global controller (not shown).
  • the local controller pulls down bitR line 760 from LxDEC 710 when the proper cell is READ from or WRITTEN to, saving power.
  • bit line 728 and bit_n line 730 When the difference between bit line 728 and bit_n line 730 is high enough to trigger the sense amp portion, the lwlH 726 is turned off to save power.
  • FIG. 9 A circuit diagram of one embodiment of a local x-decoder similar to LXDEC 710 is illustrated in FIG. 9 .
  • One embodiment of the SRAM module includes a one-dimensional array of local sense-amps or LSA's 712 illustrated in FIGS. 10 and 11 , where the outputs of the LSA 712 are coupled to the GSA 724 via line 762 .
  • the outputs of the LSA's are coupled to the GSA via at least a pair of gbit and gbit_n lines.
  • FIG. 12A illustrates one embodiment of LSA 712 comprising a central differential cross-coupled amplifier core 764 , comprising two inverters 764 A and 764 B.
  • the senseH lines 766 , and clusterL 798 are coupled to the amplifier core through transistor 771 .
  • the LSA's 764 are coupled to one or more 4:1 mux's 772 and eight pairs of muxL lines 768 A, four muxLs 768 A located above and four 768 B (best viewed in FIG. 7 ) located below the amplifier core 764 .
  • each of the bitline multiplexers 772 connects a corresponding bitline pair and the amplifier core 764 .
  • the gbit and gbit_n are connected to the amplifier core through a PMOS transistors (transistors 770 for example). When a bitline pair is disconnected from the amplifier core 764 , the bitline multiplexer 772 actively equalizes and precharges the bitline pair to VDD.
  • FIG. 12B illustrates a circuit diagram of an amplifier core 764 having two inverters 764 A and 764 B, where each inverter 764 A and 764 B is coupled to a SenseH line 766 and cluster line 798 through a transistor NMOS 771 . Only one sense H cluster lines are illustrated. In the illustrated embodiment, each of the inverters 764 A and 764 B are represented as coupled PMOS and NMOS transistor as is well known in the art.
  • FIG. 12C illustrates a schematic representation of the amplifier core of FIG. 12B (similar to the amplifier core of FIG. 12A ).
  • the sense-amp array comprises a horizontal array of sense-amps 713 located in the middle of the cell array 708 , splitting the cell array into top 708 A and bottom 708 B sub-blocks as provided previously.
  • the width of a single LSA 712 is four times the width of the cell array, while the number of LSA 712 instances in the array is equal to the number of cols/4. That is, each LSA 712 (and in one embodiment one 4:1 mux) is in a one-to-one correspondence with four columns of the cell array and interfaces with the corresponding local bitline-pairs of the cell array 708 in the top and bottom sub-blocks 708 A, 708 B.
  • 4:1 local multiplexing (alternatively referred to as “4:1 local muxing”). It should be appreciated that the bitline-pairs of the bottom sub-block 708 B are split from the top sub-block 708 A, thereby reducing the capacitive load of each bitline 729 by a factor of two, increasing the speed of the bitline by the same factor and decreasing power.
  • 4:1 mux plus precharge is illustrated in FIGS. 10 and 12 and discussed in greater detail below.
  • intersperse power rails 774 (shown in phantom) between pairs of bitlines to shield the bitline pairs from nearby pairs. This prevents signals on one pair of bitlines from affecting the neighboring bitline pairs.
  • bitlines 729 bit and bit_n, 728 , 730
  • all the neighboring bitlines are precharged to VDD by the 4:1 mux as illustrated in FIG. 12 .
  • Precharging the neighboring bitlines eliminates the need for shields to isolate those bitlines. This means that it is not necessary to isolate pairs of bitlines from each other using with interspersed power rails 774 . This allows for a larger bitline pitch in the same total width, and therefore less capacitance, less power, and higher speed.
  • the LSA 712 interfaces with a pair of global bitlines, designated gbit 776 and gbit_n 778 via a PMOS transistors 770 as illustrated in FIG. 12A .
  • PMOS transistors 770 Two PMOS transistors are illustrated, but any number is contemplated.
  • the global bitlines run vertically in parallel with the local bitlines.
  • the global bitlines are shared with the corresponding local sense-amps 712 in other blocks in the same column block.
  • the local bitlines and global bitlines are routed on different metal layers. Because there are four times fewer global bitlines than local bitlines, the global bitlines are physically wider and placed on a larger pitch. This significantly reduces the resistance and capacitance of the long global bitlines, increasing the speed and reliability of the SRAM module.
  • the PMOS transistors 770 isolate global bitlines 776 , 778 from the sense amp.
  • the 4:1 mux 772 comprises a precharge and equalizing portion or device 773 and two transmission gates per bit/bit_n pair. More specifically, 4:1 muxing may comprise 8 transmission gates and 4 precharge and equalizers, although only 4 transmission gates and 2 precharge and equalizers are illustrated.
  • each precharge and equalizing portion 773 of the 4:1 mux comprises three PFet transistors 773 A, 773 B and 773 C.
  • the precharge portion comprises PFet transistors 773 A and 773 B.
  • the equalizing portion comprises PFet transistor 773 D.
  • each transmission gate comprises one NFet 777 A and one PFet 777 B transistor. While a specific number and arrangement of PMOS and NMOS transistors are discussed, different numbers and arrangements are contemplated.
  • the precharge and equalizing portion 773 is adapted to precharge and equalize the bitlines 728 , 739 as provided previously.
  • the transmission gate 775 is adapted to pass both logic “1”'s and “0”'s as is well understood in the art.
  • the NFet transistors, 777 A and 777 B for example, may pass signals during a WRITE operation, while the PFet transistors 779 A and 779 B may pass signals during a READ operation.
  • FIG. 15 and 16 illustrate embodiments of the 2:1 mux 772 coupled to the amplifier core 764 of the LSA.
  • FIG. 15 also illustrates an alternate representation of the transmission gate.
  • four transmission gates 775 A, 775 B, 775 C and 775 D are illustrated coupled to the inverters 764 A and 764 B of the inverter core.
  • eight transmission gates are contemplated for each LSA, two for each bitline pair.
  • FIG. 16 illustrates the precharge and equalizing portion 773 of the 2:1 coupled to the transmission gates 775 A and 775 B of mux 772 , which in turn is coupled to the amplifier core. While only one precharge and equalizing portion 773 is illustrated, it is contemplated that a second precharge and equalizing portion 773 is coupled to the transmission gates 775 C and 775 D.
  • the LSA 712 is controlled by the following set of lines, or signals on those lines, that are shared across the entire LSA 712 array: (1) muxL_bot 768 B; (2) muxl_top 768 A; (3) senseH 766 ; (4) genL 780 ; and (5) lwlRH 782 .
  • the LSA 712 selects which of the local bitlines to use to initiate or access the cell array 708 .
  • the local bitlines comprise 8 pairs of lines, 4 pairs of mux lines 768 B that interface to the bottom sub-block 708 B (alternatively referred to as “muxL_bot 765B ⁇ 0 : 3 >”) and 4 pairs of mux lines 768 A that interface to the top sub-block 708 A (alternatively referred to as “muxLZ_top 765A ⁇ 0 : 3 >”).
  • the LSA 712 selects which of the 8 pairs of local bitlines to use for the current access.
  • the LSA 712 maintains any local bitline not selected for access in a precharged and equalized state. In one embodiment, the LSA 712 keeps the non-selected bitlines precharged to VDD.
  • the LSA 712 also activates the amplifier portion of the sense-amp 713 using a sense enable line 766 or signal on the line (alternatively referred to as “senseH 766”) connected to transistor 773 .
  • This activation signal is distributed into four separate signals, each signal tapping one out of every four local sense-amps.
  • the local controller 714 may activate all the senseH lines 766 simultaneously (designated “1:1 global multiplexing”or “1:1 global mux”) because every sense-amp 713 is activated by senseH lines 766 for each access.
  • the local controller may activate the senseH lines 766 in pairs (designated “2:1 global multiplexing” or “2:1 global mux”) because every other sense-amp 713 is activated by senseH 766 for each access.
  • the LSA 712 may activate the senseH 766 lines 766 individually (designated “4:1 global multiplexing” or “4:1 global mux”), because every fourth sense-amp is activated for each access. It should be appreciated that connecting or interfacing the senseH 766 to every fourth enabled transistor in 4:1 global multiplexing provides for more configurable arrangements for different memory sizes.
  • the LSA 712 in one embodiment, exposes the sense-amps 713 to the global bitlines.
  • the LSA 712 activates or initiates the genL line 780 , thus exposing the sense amps 713 to the gbit and gbit_n.
  • the LSA 712 replicates the poly local wordline running through each row of each block.
  • This replicated line is referred to as a dummy poly line 782 (alternatively referred to as “lWlRH 782”).
  • the lwlRH line 782 forms the gate of dummy transistors that terminate each column of the cell array 708 .
  • Each dummy transistor replicates the access transistor of the 6 T SRAM cell. The capacitive load of this line is used to replicate the timing characteristics of an actual local wordline.
  • the replica lWlRH line 782 also extends to the metal jumper line (not shown).
  • the replica jumper line has the same width and neighbor metal spacing as any local wordline jumper in the cell array. This line is used strictly as a capacitive load by the local controller 714 and does not impact the function of the LSA 712 in any way. More specifically, the replica jump line is adapted to reduce the resistance of the lWlRH poly line similar to the metal shunt line as provided earlier.
  • a circuit diagram of one embodiment of an LSA 712 is illustrated in FIG. 17 .
  • each block has a single local controller or LXCTRL 714 as illustrated in FIGS. 7 and 18 that coordinates the activities of the local x-decoders 710 and sense-amps 713 .
  • the LxCTRL 714 coordinates such activities by exercising certain lines including: (1) the bitR 760 ; (2) the bnkL 13 bot 756 ; (3) the bnkL_top 758 ; (4) the muxL_bot 765 B; (5) the muxl_top 765 A; (6) the senseH 766 ; (7) the genL 780 ; and (8) the lWlRH 782 control lines as illustrated in FIG. 7 .
  • Each of these lines is activated by a driver and control logic circuit in the LXCTRL circuit 714 .
  • all these lines are normally inactivate when the SRAM module is in the idle state except for the genL line 780 .
  • the genL line 780 is active in the idle state.
  • the LxCTRL 714 circuit is in turn activated by external Vertical and Horizontal signals.
  • Vertical signals include: (1) lmuxL 784 ; (2) gmuxL 786 ; (3) rbankL 788 ; (4) gbitR 760 ; and (5) wbankL 792 signals.
  • Horizontal signals include: (1) wlRH 794 ; (2) blkSelH_bot 756 ; and (3) blkSelH_top 758 .
  • all LxCTRL 714 circuits in the same column block share the Vertical signals.
  • the LxCTRL 714 in each block interfaces with four local mux lines 784 (alternatively referred to as “lmuxL ⁇ 0 : 3 >” or “lmuxl”). Only one of the four lmuxL lines 768 is active at any time.
  • the LxCTRL 714 initiates or activates one lmuxL lines 768 to access a cell array 708 , selecting one of the four cell array columns interfaced to each LSA 712 for access.
  • the LSA 712 may activate the senseH 766 signals individually (i.e., 4:1 global multiplexing).
  • the LxCTRL 714 in each block interfaces with four global mux lines 786 (alternatively referred to as “gmuxL ⁇ 0 : 3 >” or “gmuxl”). It should be appreciated that only one of these four gmuxL lines 768 is active at any time, selecting or activating one out of every four global bitlines for access.
  • the LSA 712 activates the senseH lines 766 in pairs (i.e., 2:1 global multiplexing). In this embodiment only two of the four gmuxL lines 768 are active at any time, selecting one out of every two global bitlines for access. For 1:1 global muxing, all four gmuxL lines 786 are always active, selecting all the global bitlines for access.
  • All LxCTRL circuits 714 in the same column block share the same read bank lines 788 or signals on the lines (alternatively designated “rbankL”).
  • the rbankL line 788 is activated when a READ operation is requested (i.e., data is read from the block).
  • the global bitlines selected by the gmuxL line 768 s 786 contain limited swing differential signals. This limited swing differential signals represent the stored values in the cells selected by the lwlH line 726 and the lmuxL lines 784 .
  • a global bit replica line 790 or signal on the line is shared with all the LxCTRL circuits 714 in the same column block (alternatively designated “gbitR”).
  • the gbitR line 760 is maintained externally at VDD when the SRAM memory is idle.
  • the gbitR line 760 is made floating when a READ access is initiated.
  • the LXCTRL 714 discharges this signal to VSS when a READ access request is concluded synchronous with the availability of READ data on gbit/gbit_n.
  • the LxCTRL 714 activates write bank lines 792 or signals on the line (alternatively referred to as “wbnkL”).
  • Limited swing differential signals are present on the global bitlines when the wbnkL line 792 is activated. The limited swing differential signals represent the data to be written.
  • all the LXCTRL circuits 714 in the same row block column share the Horizontal signals.
  • all the LxCTRL 714 circuits share a replica of the global wordline wlH line 794 (alternatively referred to as “wlRH”) that runs through each row of the memory.
  • the physical layout of the wlRH line 794 replicates the global wordline in each row with respect to metal layer, width, and spacing.
  • the capacitive loading of the wlRH 794 and the global wlH signal are the same.
  • the wlRH line 794 is activated simultaneously with a single global wlH for one row in the block.
  • the LXCTRL 714 indicates to the block whether the bottom or top sub-block 706 B, 706 A is being accessed using either the blkSelH_bot 756 or blkSelH_top 758 line or signals on the lines. Either one of these lines is active upon every memory access to the block, indicating whether the bottom sub-block 706 B or top sub-block 706 A transmission gates in the LSA 712 should be opened.
  • a circuit diagram for one embodiment of the local controller is illustrated in FIG. 19 .
  • One embodiment of the present invention includes one or more global elements or devices that are synchronously controlled while one or more local elements are asynchronously controlled (alternatively referred to as “self-timed”). It should be appreciated that the term synchronous control means that these devices are controlled or synchronous with a clock pulse provided by a clock or some other outside timing device.
  • synchronous control means that these devices are controlled or synchronous with a clock pulse provided by a clock or some other outside timing device.
  • the global controller is synchronous.
  • the global controller, the global decoder and the global sense amps are synchronous.
  • the local devices or elements are asynchronous controlled or self-timed.
  • the self-timed devices are those devices where there is little RC effects.
  • Asynchronous controlled devices are generally faster, consume less power.
  • the local block generally including the local controller, local decoder, local sense amps, the sense enable high and the cell arrays, are asynchronously controlled.
  • Cycle timing for a read operation includes the global controller transmitting or providing a high signal and causing LwlH line to fire and one or more memory cells is selected.
  • one or more of the bit/bit_n line pairs are exposed and decay (alternatively referred to as the “integration time”).
  • bitR begins to decay (i.e. upon receiving a high signal on the lWlRH line). However, the bitR decays approximately 5 to 6 times faster than the bit/bit_n, stopping integration before the bit/bit-n decays completely (i.e., sensing a swing line voltage) and initiates amplifying the voltage.
  • BitR triggers one or more of the SenseH lines. Depending on the muxing, all four SenseH lines fire (1:1 muxing), two SenseH lines fire (2:1 muxing) or one SenseH line fires (4:1 muxing).
  • the global enable Low or genL line is activated (i.e., a low signal is transmitted on genL).
  • Activating the genL line exposes the local sense amp to the global bit and bit_n.
  • the genL signal also starts the decay of the signal on the gbitR line.
  • the gbitR signal decays about 5 to 6 times faster than gbit signal, which turns off the pull down of the gbit. In one embodiment gbitR signal decays about 5 to 6 times faster than gbit signal so that signal on the gbit line only decays to about 10% of VDD before it is turned off.
  • the signal on gbitR shuts off the signal on the SenseH line and triggers the global sense amp. In other words the signal on the gbitR shuts off the local sense amp, stopping the pull down on the gbit and gbit_n lines.
  • the SenseH signal is totally asynchronous.
  • FIG. 20 The cycle timing for a READ operation using one embodiment of the present invention (similar to that of FIG. 7 ) is illustrated in FIG. 20 .
  • one of the four lmuxL ⁇ 0 : 3 > lines 784 are activated, selecting one of the four cell array columns supported by each LSA 712 .
  • One, two, or four gmuxL ⁇ 0 : 3 > lines 786 are activated to select every fourth, every second, or every global bitline for access, depending on the global multiplexing option (i.e., 4:1, 2:1 or 1:1 muxing
  • Either the blkSelH_bot 756 or blkSelH_top 758 is activated to indicate to the block that the bottom or top sub-block 706 B, 706 A respectively is being accessed.
  • the rbankL line 788 line is activated to request a read operation from the block.
  • the wlH line is activated for the memory row that is being accessed, while the wlRH line 794 is activated simultaneously for all the blocks in the row block containing the memory row.
  • the LXCTRL 714 deactivates the genL line 780 to isolate the local sense-amps from the global bitlines.
  • the LxCTRL 714 activates the bnkL line to signal the LxDEC 710 to activate a local wordline.
  • the LXCTRL 714 activates one of the four muxL ⁇ 0 : 3 > line corresponding to the activated muxL signal. This causes the LSA 712 to connect one of the four cell columns to the sense-amp amplifier core 762 .
  • the LXDEC 710 corresponding to the activated global wordline activates the local wordline.
  • the LxCTRL 714 activates the lwlRH line 794 782 . All the cells in the row corresponding to the activated local wordline begin to discharge one bitline in each bitline pair corresponding to the stored value of the 6 T cell.
  • a sufficient differential voltage is developed across each bitline pair.
  • a differential voltage of about 100 mV is sufficient. It should be appreciated that this predetermined period of time is dependant on process corner, junction temperature, power supply, and the height of the cell array.
  • the lwlRH 782 signal causes the LxCTRL 714 to discharge the bitR line 760 with an NMOS transistor that draws a certain current at a fixed multiple of the cell current.
  • the bitR 760 line therefore discharges at a rate that is proportional to the bitline discharge rate. It should be appreciated that the constant of proportionality is invariant (to a first order) with regards to process corner, junction temperature, power supply, and the height of the cell array 708 .
  • the LxDEC 710 deactivates the local wordline and the 6 T cells stop discharging through the bitlines. In this manner, a limited swing differential voltage is generated across the bitlines independent (to a first order) of the process corner, junction temperature, power supply, and the height of the cell array. In one example, a differential voltage of about 100 mV is sufficient. Simultaneously, the LxCTRL 714 deactivates the muxL line 768 so that the corresponding bitlines are disconnected from the amplifier core 762 and are equalized and precharged.
  • the LxCTRL 714 activates the senseH lines 766 and, depending on the global multiplexing, the amplifier core 762 rapidly amplifies the differential signal across the sensing nodes. As soon as the amplifier core 762 has started to sense the differential signal, the LxCTRL 714 activates the genL line 780 so that the local sense-amps are connected to the global bitlines. The amplifier core 762 , depending on the global multiplexing, continues to amplify the differential signals onto the global bitlines. The LXCTRL 714 discharges the gbitR 760 signal to signal the end of the READ operation.
  • the LXCTRL 714 deactivates the senseH 766 signals and the amplifier core 762 of the LSA array stop amplifying. This results in a limited-swing differential signal on the global bitlines representative of the data read from the cells.
  • the LxCTRL 714 precharges the bitR line 760 to prepare for the next access.
  • the LxCTRL 714 deactivates the bnkL line to prepare for the next access.
  • Cycle timing for a write operation in accordance with one embodiment of the present invention includes the global controller and global sense amp receiving data or a signal transmitted on wbnkL, transmitting or providing a high signal on an LWlH line and selecting one or more memory cells.
  • the write operation is complete when the local word line is high.
  • Data to be written into a memory cell is put onto the gbit line synchronously with wbnkL.
  • the wbnkL acts as the gbitR line in the write operation.
  • the wbnkL pulls down at the same time as gbit but about 5 to 6 times faster.
  • the low signal on the wbnkL line triggers a signal on the SenseH and a local sense amp.
  • genL goes high, isolating the local sense amp.
  • a signal on the wbnkL also triggers bnkL, so that lwlH goes high when wlH arrives.
  • the lmux switch opens, so that data from the local sense amplifier onto the local bitlines.
  • BitR is pulled down. In one embodiment, bitR is pulled down at the same rate as bit. In other words bitR and bit are pull down at the same rate storing a full BDT.
  • LWlL goes high and overlaps the data on the bitlines. BitR turns off LWlH and closes the Imux switch and SenseH.
  • FIG. 21 The cycle timing for a WRITE operation using one embodiment of the present invention is illustrated in FIG. 21 .
  • One of four lmuxL ⁇ 0 : 3 > lines 784 is activated to select one of the four cell array columns supported by each LSA 712 .
  • One, two, or four gmuxL ⁇ 0 : 3 > lines 786 are activated to select every fourth, every second, or every global bitline for access (i.e., 4:1, 2:1 or 1:1 muxing) depending on the global multiplexing option.
  • the blkSelH_bot 756 or blkSelH top 758 line is activated to indicate to the block whether the bottom 706 B or top sub-block 706 A is being accessed.
  • the global word line is activated for a particular memory row being accessed.
  • the wlRH line 794 is activated simultaneously for all the blocks in the row block containing the memory row.
  • the GSA 724 presents limited swing or full swing differential data on the global bit lines.
  • the wbnkL line 792 is activated to request a WRITE operation to the block.
  • the LxCTRL 714 immediately activates the senseH lines 766 depending on the global multiplexing, and the amplifier core 762 rapidly amplifies the differential signal across the sensing nodes. Only the data from global bitlines selected by the global multiplexing are amplified.
  • the LxCTRL 714 activates the bnkL line to signal the LXDEC 710 to activate a local wordline.
  • the LxCTRL 714 activates one of the four muxL ⁇ 0 : 3 > lines 768 corresponding to the activated lmuxL line 784 .
  • This causes the LSA 712 to connect one of the four cell columns to the sense-amp amplifier core 762 .
  • the amplifier core 762 discharges one bitline in every select pair to VSS depending on the original data on the global wordlines.
  • the LXDEC 710 corresponding to the activated global wordline activates the local wordline. The data from the local bitlines are written into the cells.
  • the LxCTRL 714 activates the lwlRH line 794 .
  • This signal causes the LxCTRL 714 to rapidly discharge the bitR line 760 .
  • the LXDEC 710 deactivates the local wordline.
  • the data is now fully written to the cells.
  • the LXCTRL 714 deactivates the senseH 766 and muxL lines 768 and reactivates the genL line 780 .
  • the LXCTRL 714 precharges the bitR line 760 to prepare for the next access.
  • the LxCTRL 714 deactivates the bnkL line to prepare for the next access.
  • bnkL provides local bank signals to the local decoder. It is contemplated that the bnkL may comprise bnkL-top and bnkL—bot as provided previously.
  • one embodiment of the present invention includes a burn-in processor mode for the local blocks activated by a burn in line 796 (alternatively referred to as “BIL”).
  • BIL burn-in processor mode for the local blocks activated by a burn in line 796
  • This process or mode stresses the SRAM module or block to detect defects. This is enabled by simultaneously activating all the lmuxL ⁇ 0 : 3 > 784 , blkSelH_bot 756 , blkSelH_top 758 , and rbankL lines 788 , but not the wlRH line 794 (i.e., the wlRH line 794 remains inactive).
  • BIL 796 will be asserted, allowing the local word lines to fire in the LXDEC 710 array.
  • all the LSA muxes will open, allowing all the bitlines to decay simultaneously.
  • bitR 760 since wlRH 794 is not activated, bitR 760 will not decay and
  • a block may be divided into several clusters. Dividing the block into clusters increases the multiplexing depth of the SRAM module and thus the memory. Although the common local wordlines runs through all clusters in a single block, only sense amps in one cluster are activated.
  • the local cluster block is a thin, low-overhead block, with an output that sinks the tail current of all the local sense-amps 712 in the same cluster.
  • the block includes global clusterL 799 and local clusterL 798 interfaces or lines (best viewed in FIG. 7 ).
  • a global clusterL line 799 Prior to a READ or WRITE operation, a global clusterL line 799 (alternatively referred to as “gclusterL”) is activated by the external interface for all clusters that are involved in the READIWRITE operation.
  • the local cluster includes a gclusterL line 799 or signal on the line that is buffered and driven to clusterL 798 .
  • the clusterL line 798 connects directly to the tail current of all the local sense-amps 712 in the cluster. If the cluster is active, the sense-amps will fire, but if the cluster is inactive the sense-amps will not fire. Since the cluster driver is actually sinking the sense-amp tail current, the NMOS pull down must be very large. The number of tail currents that the cluster can support is limited by the size of the NMOS pull down and the width of the common line attached to the local sense-amp tail current.
  • the muxing architecture described above can be used on its own without the amplifier portion of the LSA 712 as illustrated in FIG. 2 .
  • the local bitline transmission gates are used to directly connect the local bitlines to the global bitlines.
  • the GSA's 724 performs all the functions of the local sense-amp.
  • the area of the LSA 712 and LxCTRL 714 decrease as less functionality is required of these blocks.
  • the access time may also decrease because one communication stage has been eliminated. That is the bitlines now communicate directly with the GSA 724 instead of the LSA 712 .
  • the reduced interface and timing includes the LXDEC 710 as provided previously but different LSA 712 and LXCTRL 714 .
  • the local bit lines are hierarchically portioned without the LSA. Since gbit has a lower capacitance than Ibit (due to being spread apart and no diffusion load for example) such hierarchical memories are generally faster and lower power performance in comparison to simple flat memories.
  • the cluster includes a one-dimensional array of LSA's 712 composed of four pairs of bitline multiplexers.
  • Each bitline multiplexer may connect a corresponding bitline pair to the global bitline through a full transmission gate.
  • the bitline multiplexer actively equalizes and precharges the bitline pair to VDD. Because there are four times fewer global bitlines than local bitlines, the global bitlines are physically wider and placed on a larger pitch. Again, this significantly reduces the resistance and capacitance of the long global bitlines, increasing the speed and reliability of the memory.
  • the LSA 712 is controlled by the muxL and lwlH signals shared across the entire LSA 712 array.
  • the muxL ⁇ 0 : 3 > line 768 selects which of the four pairs of local bitlines to use on the current access. Any local bitline not selected for access is always maintained in a precharged and equalized state by the LSA 712 . In one example, the local bitlines are precharged to VDD.
  • the lwlRH line 794 line represents a dummy poly line that replicates the poly local wordline that runs through each row of the block.
  • the lWlRH line 794 forms the gate of dummy transistors that terminate each column of the cell array. Each dummy transistor replicates the access transistor of the 6 T SRAM cell.
  • each block has a single local controller that coordinates the activities of the local x-decoders and multiplexers by exercising the bitR 760 , bnkL, muxL 768 , and lwlRH 782 control signals.
  • Each of these signals is activated by a driver and control logic circuit in the LxCTRL circuit 714 . All these signals are normally inactive when the memory is in the idle state.
  • the LxCTRL circuit 714 is in turn activated by Vertical and Horizontal signals.
  • the Vertical signals are these signals shared by all LxCTRL 714 circuits in the same column block, including the lmuxL 784 , rbnkL 788 , rgbitR 760 , gbitR 760 and wbnkL 792 lines or signals on the line. Only one of the four signals lmuxL ⁇ 0 : 3 > lines 784 is active at any time. The active line selects one of four cell array columns interfaced to each LSA 712 for access. The rbnkL line 788 is activated when a READ operation is requested from the block. At the end of the READ operation, all global bitlines that are not actively precharged by the GSA 724 containing limited swing differential signals representing the stored values in the cells selected by the wlH line and the lmuxL signals.
  • the rgbitR line 760 is externally maintained at VDD when the memory is idle and is made floating when a read access is initiated.
  • the LXCTRL 714 block connects this line to bitR 760 and discharges this signal line to VSS when a READ access in concluded.
  • the wgbitR line 760 is externally maintained at VDD when the memory is idle and is discharged during a write access.
  • the LXCTRL 714 block connects this line to bitR 760 , and relies on the signal arriving at VSS to process a WRITE operation.
  • the wbnkL line 792 is activated when a WRITE operation is requested from the block. Full swing differential signals representing the data to be written are present on the global bitlines when this line is activated.
  • the wlRH line 794 is a replica of the global wordline wlH that runs through each row of the memory.
  • This line is activated simultaneously with a single global wordline for one row in the block on every memory access.
  • the blkSelH line is active on every memory access to the block and indicates that the transmission gate should be opened.
  • FIGS. 22A, 22B and 22 C illustrate different global and muxing arrangements.
  • FIG. 22A illustrates one embodiment of a local sense amp including 4:1 muxing and precharge and equalizing.
  • the LSA is represented here as a single device having four bit/bit_n pairs; one SenseH line, one GenL line, one clusterL line and one gbit/gbit_n pair coupled thereto.
  • FIG. 22 illustrates one example of 4:1 muxing (alternatively referred to as 4:1 local muxing) built into the LSA.
  • each LSA is coupled to 4 bit/bit_n pairs.
  • During a READ/WRITE operation one bitline pair of the four possible bitline pairs coupled to each LSA is selected.
  • embodiments are contemplated in which the clusters are used without dropping the LSA's (i.e., the clusters are used with the LSA's).
  • FIG. 22B illustrates one embodiment of the present invention including 16:1 muxing.
  • each LSA is coupled to 4 bitline pairs (the 4:1 local muxing provided previously).
  • four SenseH lines ⁇ 0 : 3 > are illustrated coupled to the LSA's where one SenseH line is coupled to one LSA.
  • This is referred to as 16:1 muxing comprising 4:1 global muxing due to the SenseH lines and 4:1 local muxing.
  • 16:1 muxing comprising 4:1 global muxing due to the SenseH lines and 4:1 local muxing.
  • FIG. 22C illustrates one embodiment of the present invention including 32:1 muxing.
  • each LSA is coupled to 4 bitline pairs (the 4:1 local muxing provided previously).
  • four SenseH lines ⁇ 0 : 3 > are illustrated coupled to the LSA's where one SenseH line is coupled to two LSA.
  • one SenseH line is coupled to LSA 0 and 4
  • one SenseH line is coupled to LSA 1 and 4 , etc.
  • This embodiment includes two local cluster devices, where the first local cluster device is coupled to LSA's 1 - 3 via a first ClusterL line while the second local cluster device is coupled to LSA's 4 - 7 via a second ClusterL line. When ClusterL is low, the associated LSA's fire.
  • the cluster devices are also illustrated coupled to the SenseH lines ⁇ 0 : 3 > and the GCTRL.
  • GCTRL activates one or more local cluster devices, which in turn fires the associated ClusterL line. If the associated SenseH line fires, then the LSA is active and one bitline pair is selected. For example, if the GCTRL activates the first cluster device, then the first ClusterL line fires (i.e., ClusterL is Low). If SenseH ⁇ 0 > also fires, then LSA 0 is active and one of the four bitline pairs coupled to LSA 0 is selected. In other words, this combination enables at least one bitline pair to be selected from the 32 total bitline pairs available.
  • any muxing arrangement is contemplated (i.e., 8:1, 64:1, 128:1, etc.)
  • any number or arrangement is contemplated.
  • the number of cluster devices and cluster lines may vary depending on the number of local blocks in the memory architecture or the muxing requirements. Flexible, partially and more choices for a given memory request.
  • FIG. 24 illustrates a memory 2400 having a decoder 2412 composed of words having a width of w bits 2420 , many of which have failing bits.
  • the diamonds represent one or more output pins, while the large black dots indicate that more bits (or lines depending on the embodiment) are contemplated.
  • the bit-position of the failing bits is the same in all the failing words. This is alternatively referred to as a common memory failure mode.
  • Such a common memory failure mode may be repaired using known or prior art column redundancy techniques.
  • One system and method for repairing such failures is to design the memory 2510 having decoder 2512 to hold words of width w+1 as illustrated in FIG. 25 .
  • the output of the memory 2510 is passed through a shifter block 2514 that accepts w+1 input bits 2520 A and outputs only w output bits 2520 B.
  • the shifter block 2514 passes input bits 0 -w ⁇ 1 generally designated 2520 A from the memory to output bits 0 -w ⁇ 1 generally designated 2520 B through the shifter block 2514 , ignoring input bit w.
  • the shifter block 2514 passes input bits 0 -k ⁇ 1.
  • the shifter block 2514 shifts input bits k+1 ⁇ w 2520 A from the memory 2510 to output bits k ⁇ w ⁇ 1 2520 B, effectively eliminating the defective bit from the output word.
  • data input into the memory 2510 is shifted in a similar manner. For example, both data output and data input to the memory 2510 need to be shifted.
  • the shifter block 2514 operates in reverse. The identity of the failing bit is determined through tests performed on the memory architecture prior to packaging. The identity of this failing bit is permanently recorded on the chip, by means of a set of laser fuses for example.
  • each memory row consists of m ⁇ w bit cells.
  • a set of column multiplexers selects 1 out of every m bit cells for access during a single operation.
  • the height of the memory is thereby reduced by a factor of m and the width is increased by the same factor.
  • Increasing the word size from w to w+1 bits results in a final memory width of m ⁇ (w+1),resulting in a net increase of m bit cells in relation to a non-redundant memory. This is inefficient, as it is contemplated that only one extra bit cell per row is required to implement column redundancy.
  • the inefficiency is further compounded in a multi-bank memory 2610 composed of b banks as illustrated in FIG. 26 .
  • a memory may be represented as b separate memories (banks 2610 A & 2610 B are illustrated) interacting with decoder 2612 , sharing the same address decoding logic.
  • Each memory has w input bits designated 2620 A that connect to a shared w-wide input bus, and w output bits generally designated 2620 B that multiplex onto a shared w-wide output bus 2622 . Only one of the b banks is activated during a single memory access.
  • the basic column redundancy scheme results in a final memory width of (m ⁇ b) ⁇ (w+1) bit cells,resulting in a net increase of m ⁇ b bit cells in relation to a non-redundant memory. It is contemplated that only one extra bit cell per row may be required to implement column redundancy.
  • the word size is maintained at w bits per word.
  • a multi-bank memory 2710 with banks 2710 A & 2710 B (having input bits 2720 A and output bits 2720 B) interacting with decoder 2712 , having an extra or redundant bank 2716 with a single output data bit 2718 and at least one bus 2722 is illustrated in FIG. 27 . If the memory architecture has m:1 multiplexing, the bank will have m bits in each row. Each bank of w bits implements the shifter block 2714 as provided previously. However, since bit w is not available in the bank, no bit is shifted into output bit w ⁇ 1 when a failed bit is present in the bank.
  • This embodiment contemplates that at most one bank will have a failing bit.
  • the single-bit bank 2716 is activated during any access to a bank with the failing bit, and the output bit 2718 of the single-bit bank 2716 is provided as bit w ⁇ 1, thereby completing the word for the failing bank.
  • This embodiment saves area over the simple column redundancy scheme provided previously whenever m ⁇ (b ⁇ 1) bit cells is wider than the width overhead required to implement a memory bank.
  • part of the implementation of m:1 global multiplexing in a hierarchical bitline memory architecture may involve activating one out of every m local sense-amplifiers in the local block, similar to the local blocks provided previously. Activating the local sense-amplifier is accomplished by transmitting a set of m senseH signals from the local controller as provided previously. Only one signal is active at a time, corresponding to the addressed multiplexer. In the basic column redundancy scheme, the redundant bit w requires an additional m local sense-amplifiers.
  • FIG. 28 illustrates memory 2801 , decoder 2812 , shifter block 2814 (having input bits 2820 A and output bits 2820 B).
  • a single redundant local sense-amplifier may be used that is activated only when the failing multiplexer is selected.
  • a routing channel 2828 is allocated to and interfaces with the redundant sense-amplifier. This channel routes m multiplexer signals 2829 only one multiplexer signal is active corresponding to the failing multiplexer.
  • One embodiment also includes transmission gates adapted to pass the m senseH signals to the redundant sense-amplifier (not shown).
  • the transmission gates are activated by the m multiplexer signals. Only one of the senseH signals corresponding to the failing multiplexer is passed through the transmission gates. In this manner the redundant local sense-amplifier is activated only when the failing multiplexer is activated.
  • the shifter block shifts out the failing bit only when the failing multiplexer is selected, otherwise passing the inputs directly to the outputs.

Abstract

The present invention relates to a system and method adapted to increase memory cell and memory architecture design yield. The present invention includes memory architecture having a decoder and a multi-bank memory. The decoder is adapted to decode addresses. The multi-bank memory interacts with the decoder, wherein the multi-bank memory includes at least one output data bit adapted to complete a word for a failing bank in the multi-bank memory.

Description

    CROSS REFERENCE TO RELATED APPLICATIONS
  • This application is a continuation-in-part of, and claims benefit of and priority from, application Ser. No. 10/100,757 Filed Mar. 19, 2002, titled “Synchronous Controlled, Self-Timed Local SRAM Block”, the complete subject matter of which is incorporated herein by reference in its entirety.
  • FEDERALLY SPONSORED RESEARCH OR DEVELOPMENT
  • [Not Applicable]
  • BACKGROUND OF THE INVENTION
  • One embodiment of the present invention relates to a programmable device for increasing memory cell, and thus memory architecture, design yield. More specifically, one embodiment of the present invention relates to column redundancy adapted to increase design yields in memory architecture.
  • Memory architectures typically balance power and device area against speed. High-performance memory architectures place a severe strain on the power and area budgets of associated systems, particularly where such components are embedded within a VLSI system, such as a digital signal processing systems for example. Therefore, it is highly desirable to provide memory architectures that are fast, yet power- and area-efficient.
  • Highly integrated, high performance components, such as memory cells for example, require complex fabrication and manufacturing processes. These processes may experience unavoidable parameter variations which may impose physical defects upon the units being produced, or may exploit design vulnerabilities to the extent of rendering the affected units unusable or substandard.
  • In memory architectures, redundancy may be important because a fabrication flaw or operational failure of even a single bit cell may result in the failure of the system relying upon the memory architecture. Likewise, process invariant features may be needed to insure that the internal operations of the architecture conforms to precise timing and parametric specifications. Lacking redundancy and process invariant features, the actual manufacturing yield for a particular memory architecture may be unacceptably low.
  • Low-yield memory architectures are particularly unacceptable when embedded within more complex systems, which inherently have more fabrication and manufacturing vulnerabilities. A higher manufacturing yields of the memory cells translate into a lower per-unit cost, while a robust design translates into reliable products having lower operational costs. Thus, it is highly desirable to design components having redundancy wherever possible. The aforementioned redundancy aspects of the present invention may render the hierarchical memory structure less susceptible to incapacitation by defects during fabrication or operation, advantageously providing a memory product that is at once more manufacturable and cost-efficient, and thus operationally more robust.
  • Further limitations and disadvantages of conventional and traditional approaches will become apparent to one of skill in the art, through comparison of such systems with the present invention as set forth in the remainder of the present application with reference to the drawings.
  • SUMMARY OF THE INVENTION
  • The present invention relates to a system and method adapted to increase memory cell and memory architecture design yield using column redundancy. One embodiment relates to a multi-bank memory comprising at least one output data bit adapted to complete a word for a failing bank in the memory. In this embodiment, the multi-bank memory may further comprise at least one bank adapted to output the at least one output data bit.
  • Another embodiment relates to a memory device having a decoder and a multi-bank memory. The decoder is adapted to decode addresses. The multi-bank memory interacts with the decoder, wherein the multi-bank memory includes at least one output data bit adapted to complete a word for a failing bank in the multi-bank memory.
  • Yet another embodiment of the present invention relates to a memory device having a multi-bank memory, a multiplexer and a sense amplifier. The multiplexer is adapted to access failing bits in the multi-bank memory while the sense amplifier is adapted to be accessed when a multiplexer is selected.
  • Yet another embodiment of the present invention relates to a memory device comprising at least one multi-bank memory and at least one redundant bank. The at least one redundant bank has at least one output data bit adapted to complete a word for a failing bank in the memory.
  • Still another embodiment relates to a memory architecture comprising a synchronous controlled global element and a self-timed local element including at least one multi-bank memory, where the local element is adapted to interface with the synchronous controlled global element. In one such embodiment, the multi-bank memory comprises at least one redundant bank having at least one output data bit adapted to complete a word for a failing bank in the memory bank. In another such embodiment, the local element further comprises at least one decoder adapted to decode addresses, while the multi-bank memory further comprises at least one output data bit adapted to complete a word for a failing bank in the multi-bank memory, and is adapted to interact with the decoder.
  • In still another such embodiment of the memory architecture, the local element further comprises at least one multiplexer adapted to access failing bits in the multi-bank memory. The local element may further comprise a multiplexer, a sense amplifier, a routing channel and at least one transmission gate. The sense amplifier is adapted to be accessed when the multiplexer is selected, while the routing channel interfaces with at least the sense amplifier and is adapted to route signals to the multiplexer. The transmission gate (which may be activated by multiplexer signals) is adapted to pass signals to the sense amplifier.
  • Yet another embodiment of the present invention relates to a method for providing column redundancy in multi-bank memories. This embodiment comprises providing at least one extra bank having an output data bit, activating the extra bank during an access to a memory having a failing bit, and providing the output data bit thereby completing a word.
  • Other aspects, advantages and novel features of the present invention, as well as details of an illustrated embodiment thereof, will be more fully understood from the following description and drawing, wherein like numerals refer to like parts.
  • BRIEF DESCRIPTION OF SEVERAL VIEWS OF THE DRAWINGS
  • FIG. 1 illustrates a block diagram of an exemplary SRAM module;
  • FIG. 2 illustrates a block diagram of a SRAM memory core divided into banks;
  • FIGS. 3A and 3B illustrate SRAM modules including a block structure or subsystem in accordance with one embodiment of the present invention;
  • FIG. 4 illustrates a dimensional block array or subsystem used in a SRAM module in accordance with one embodiment of the present invention;
  • FIG. 5 illustrates a cell array comprising a plurality of memory cells in accordance with one embodiment of the present invention;
  • FIG. 6A illustrates a memory cell used in accordance with one embodiment of the present invention;
  • FIG. 6B illustrates back-to-back inventors representing the memory cell of FIG. 6A in accordance with one embodiment of the present invention;
  • FIG. 7 illustrates a SRAM module similar to that illustrated FIGS. 3A and 3B in accordance with one embodiment of the present invention;
  • FIG. 8 illustrates a local decoder in accordance with one embodiment of the present invention;
  • FIG. 9 illustrates a circuit diagram of a local decoder similar to that illustrated in FIG. 8 in accordance with one embodiment of the present invention;
  • FIG. 10 illustrates a block diagram of the local sense amps and 4:1 muxing in accordance with one embodiment of the present invention;
  • FIG. 11 illustrates a block diagram of the local sense amps and global sense amps in accordance with one embodiment of the present invention;
  • FIG. 12A illustrates a schematic representation of the local sense amps and global sense amps in accordance with one embodiment of the present invention;
  • FIG. 12B illustrates a circuit diagram of an embodiment of a local sense amp (similar to the local sense amp of FIG. 12A) in accordance with one embodiment of the present invention;
  • FIG. 12C illustrates a schematic representation of the amplifier core similar to the amplifier core illustrated in FIG. 12B;
  • FIG. 13 illustrates a block diagram of another embodiment of the local sense amps and global sense amps in accordance with one embodiment of the present invention;
  • FIG. 14 illustrates a circuit diagram including a transmission gate of the 4:1 mux similar to that illustrated in FIG. 10 and 12 in accordance with one embodiment of the present invention;
  • FIG. 15 illustrates transmission gates of the 2:1 mux coupled to the inverters of a local sense amp in accordance with one embodiment of the present invention;
  • FIG. 16 illustrates the precharge and equalizing portions and transmission gates of the 2:1 mux coupled to the inverters of a local sense amp in accordance with one embodiment of the present invention;
  • FIG. 17 illustrates a circuit diagram of the local sense amp in accordance with one embodiment of the present invention;
  • FIG. 18 illustrates a block diagram of a local controller in accordance with one embodiment of the present invention;
  • FIG. 19 illustrates a circuit diagram of the local controller in accordance one embodiment of the present invention;
  • FIG. 20 illustrates the timing for a READ cycle using a SRAM memory module in accordance with one embodiment of the present invention;
  • FIG. 21 illustrates the timing for a WRITE cycle using a SRAM memory module in accordance with one embodiment of the present invention;
  • FIG. 22A illustrates a block diagram of local sense amp having 4:1 local muxing and precharging incorporated therein in accordance with one embodiment of the present invention;
  • FIG. 22B illustrates one example of 16:1 muxing (including 4:1 global muxing and 4:1 local muxing) in accordance with one embodiment of the present invention;
  • FIG. 22C illustrates one example of 32:1 muxing (including 8:1 global muxing and 4:1 local muxing) in accordance with one embodiment of the present invention;
  • FIG. 23 illustrates a local sense amp used with a cluster circuit in accordance with one embodiment of the present invention;
  • FIG. 24 illustrates a memory composed of words having a width of w bits;
  • FIG. 25 illustrates a memory composed of words having a width of w+1 bits;
  • FIG. 26 illustrates a multi-bank memory composed of b banks;
  • FIG. 27 illustrates a multi-bank memory having an extra bank with a single output data bit in accordance with one embodiment of the present invention; and
  • FIG. 28 illustrates a memory having a single redundant local sense-amplifier in accordance with one embodiment of the present invention.
  • DETAILED DESCRIPTION OF THE INVENTION
  • As will be understood by one skilled in the art, most VLSI systems, including communications systems and DSP devices, contain VLSI memory subsystems. Modern applications of VLSI memory subsystems almost invariably demand high efficiency, high performance implementations that magnify the design tradeoffs between layout efficiency, speed, power consumption, scalability, design tolerances, and the like. The present invention ameliorates these tradeoffs using a novel synchronous, self-timed hierarchical architecture. The memory module of the present invention also may employ one or more novel components, which further add to the memory module's efficiency and robustness.
  • It should be appreciated that it is useful to describe the various aspects and embodiments of the invention herein in the context of an SRAM memory structure, using CMOS SRAM memory cells. However, it should be further appreciated by those skilled in the art the present invention is not limited to CMOS-based processes and that these aspects and embodiments may be used in memory products other than a SRAM memory structure, including without limitation, DRAM, ROM, PLA, and the like, whether embedded within a VLSI system, or stand alone memory devices.
  • Exemplary SRAM Module
  • FIG. 1 illustrates a functional block diagram of one example of a SRAM memory structure 100 providing the basic features of SRAM subsystems. Module 100 includes memory core 102, word line controller 104, and memory address inputs 114. In this exemplary embodiment, memory core 102 is composed of a two-dimensional array of K-bits of memory cells 103, arranged to have C columns and R rows of bit storage locations, where K=[C×R]. The most common configuration of memory core 102 uses single word lines 106 to connect cells 103 onto paired differential bitlines 118. In general, core 102 is arranged as an array of 2P entries based on a set of P memory address in. Thus, the p-bit address is decoded by row address decoder 110 and column address decoder 122. Access to a given memory cell 103 within such a single-core memory 102 is accomplished by activating the column 105 by selecting bitline in the column corresponding to cell 103.
  • The particular row to be accessed is chosen by selective activation of row address or wordline decoder 110, which usually corresponds uniquely with a given row, or word line, spanning all cells 103 in that particular row. Also, word line driver 108 can drive a selected word line 106 such that selected memory cell 103 can be written into or read out on a particular pair of bitlines 118, according to the bit address supplied to memory address inputs 114.
  • Bitline controller 116 may include precharge cells (not shown), column multiplexers or decoders 122, sense amplifiers 124, and input/output buffers (not shown). Because different READ/WRITE schemes are typically used for memory cells, it is desirable that bitlines be placed in a well-defined state before being accessed. Precharge cells may be used to set up the state of bitlines 118, through a PRECHARGE cycle according to a predefined precharging scheme. In a static precharging scheme, precharge cells may be left continuously on except when accessing a particular block.
  • In addition to establishing a defined state on bitlines 118, precharging cells can also be used to effect equalization of differential voltages on bitlines 118 prior to a READ operation. Sense amplifiers 124 enable the size of memory cell 103 to be reduced by sensing the differential voltage on bitlines 118, which is indicative of its state, translating that differential voltage into a logic-lever signal.
  • In the exemplary embodiment, a READ operation is performed by enabling row decoder 110, which selects a particular row. The charge on one of the bitlines 118 from each pair of bitlines on each column will discharge through the enabled memory cell 103, representing the state of the active cells 103 on that column 105. Column decoder 122 enables only one of the columns, connecting bitlines 118 to an output. Sense amplifiers 124 provide the driving capability to source current to the output including input/output buffers. When sense amplifier 124 is enabled, the unbalanced bitlines 118 will cause the balanced sense amplifier to trip toward the state of the bitlines, and data will be output.
  • In general, a WRITE operation is performed by applying data to an input including I/O buffers (not shown). Prior to the WRITE operation, bitlines 118 may be precharged to a predetermined value by precharge cells. The application of input data to the inputs tend to discharge the precharge voltage on one of the bitlines 118, leaving one bitline logic HIGH and one bitline logic LOW. Column decoder 122 selects a particular column 105, connecting bitlines 118 to the input, thereby discharging one of the bitlines 118. The row decoder 110 selects a particular row, and the information on bitlines 118 will be written into cell 103 at the intersection of column 105 and row 106.
  • At the beginning of a typical internal timing cycle, precharging is disabled. The precharging is not enabled again until the entire operation is completed. Column decoder 122 and row decoder 110 are then activated, followed by the activation of sense amplifier 124. At the conclusion of a READ or a WRITE operation, sense amplifier 124 is deactivated. This is followed by disabling decoders 110, 122, at which time precharge cells 120 become active again during a subsequent PRECHARGE cycle.
  • Power Reduction and Speed Improvement
  • In reference to FIG. 1, the content of memory cell 103 of memory block 100 is detected in sense amplifier 124, using a differential line between the paired bitlines 118. It should be appreciated that this architecture is not scalable. Also, increasing the memory block 100 may exceed the practical limitations of the sense amplifiers 124 to receive an adequate signal in a timely fashion at the bitlines 118. Increasing the length of bitlines 118 increases the associated bitline capacitance and, thus, increases the time needed for a voltage to develop thereon. More power must be supplied to lines 104, 106 to overcome the additional capacitance.
  • In addition, it takes longer to precharge long bitlines under the architectures of the existing art, thereby reducing the effective device speed. Similarly, writing to longer bitlines 118, as found in the existing art, requires more extensive current. This increases the power demands of the circuit, as well as reducing the effective device speed.
  • In general, reduced power consumption in memory devices such as structure 100 in FIG. 1 can be accomplished by, for example, reducing total switched capacitance, and minimizing voltage swings. The advantages of the power reduction aspects of certain embodiments of the present invention can further be appreciated with the context of switched capacitance reduction and voltage swing limitation.
  • Switched Capacitance Reduction
  • As the bit density of memory structures increases, it has been observed that single-core memory structures may have unacceptably large switching capacitances associated with each memory access. Access to any bit location within such a single-core memory necessitates enabling the entire row, or word line 106, in which the datum is stored, and switching all bitlines 118 in the structure. Therefore, it is desirable to design high-performance memory structures to reduce the total switched capacitance during any given access.
  • Two well-known approaches for reducing total switched capacitance during a memory structure access include dividing a single-core memory structure into a banked memory structure, and employing divided word line structures. In the former approach, it is necessary to activate only the particular memory bank associated with the memory cell of interest. In the latter approach, localizing word line activation to the greatest practicable extent reduces total switched capacitance.
  • Divided or Banked Memory Core
  • One approach to reducing switching capacitances is to divide the memory core into separately switchable banks of memory cells. One example of a memory core 200 divided into banks is illustrated in FIG. 2. In the illustrated embodiment, the memory core includes two banks of memory cells, bank # 0 and bank # 1, generally designated 202 and 204 respectively. The memory core 200 includes two local decoders 206 that are communicatively coupled to each other and a global decoder 208 via world line High 210. Each local decoder 206 includes a local word line High 210 that communicatively couples the decoder 206 to its associated bank. Additionally, two bank lines 214 are shown communicatively coupled or interfaced to the local decoders 206. It should be appreciated that, in one embodiment, one bank line 214 is associated with each bank.
  • Typically, the total switched capacitance during a given memory access for banked memory cores is inversely proportional to the number of banks employed. By judiciously selecting the number and placement of the bank units within a given memory core design, as well as the type of decoding used, the total switching capacitance, and thus the overall power consumed by the memory core, can be greatly reduced. Banked design may also realize a higher product yield. The memory banks can be arranged such that a defective bank is rendered inoperable and inaccessible, while the remaining operational banks of the memory core 200 can be packed into a lower-capacity product.
  • However, banked designs may not be appropriate for certain applications. Divided memory cores demand additional decoding circuitry to permit selective access to individual banks. In other words, such divided memory cores may demand an additional local decoder 206, local bank line 214 and local word line High 210 for example. Delay may occur as a result. Also, many banked designs employ memory segments that are merely scaled-down versions of traditional monolithic core memory designs, with each segment having dedicated control, precharging, decoding, sensing, and driving circuitry. These circuits tend to consume much more power in both standby and operational modes than their associated memory cells. Such banked structures may be simple to design, but the additional complexity and power consumption can reduce overall memory component performance.
  • By their very nature, banked designs are not suitable for scaling-up to accommodate large design requirements. Also, traditional banked designs may not be readily adaptable to applications requiring a memory core configuration that is substantially different from the underlying bank architecture (e.g., a memory structure needing relatively few rows of long word lengths). Traditional bank designs are generally not readily adaptable to a memory structure needing relatively few rows of very long word lengths.
  • Rather than resort to a top-down division of the basic memory structure using banked memory designs, one or more embodiments of the present invention provide a hierarchical memory structure that is synthesized using a bottom-up approach. Hierarchically coupling basic memory modules with localized decision-making features that synergistically cooperate to dramatically reduce the overall power needs, and improve the operating speed, of the structure. At a minimum, such a basic hierarchical module can include localized bitline sensing.
  • Divided Word Line
  • Often, the bit-width of a memory component is sized to accommodate a particular word length. As the word length for a particular design increases, so do the associated word line delays, switched capacitance, power consumption, and the like. To accommodate very long word lines, it may be desirable to divide core-spanning global word lines into local word lines, each consisting of smaller groups of adjacent, word-oriented memory cells. Each local group employs local decoding and driving components to produce the local word lines when the global word line, to which it is coupled, is activated. In long word length applications, the additional overhead incurred by divided word lines can be offset by reduced word line delays.
  • Rather than resorting to the traditional top-down division of word lines, certain embodiments of the invention herein include providing a local word line to the aforementioned basic memory module, which further enhances the local decision making features of the module. As before, by using a bottom-up approach to hierarchically couple basic memory modules as previously described with the added localized decision-making features of local word lines according to the present invention, additional synergies maybe realized, which further reduce overall power consumption and signal propagation times.
  • Multiplexing
  • One alternative to a banked memory core design is to multiplex or mux the memory cells. In other words, bits from different words are not stored sequentially. For example, in 2:1 muxing, bits from two words are stored in an alternating pattern. For example, if the number 1 represents bits from a first word, while the number 2 represent bits from a second word. During a READ or WRITE operation the mux selects which column it is looking at (i.e., the left or right bit). It should be appreciated that muxing may save space. Banked designs without muxing require one sense amplifier for every two lines. In 2:1 muxing for example, one sense amplifier is used for every four lines (i.e., one sense amplifier ties two sets of bitlines together). Muxing enables sense amps to be shared between muxed cells, which may increase the layout pitch and area efficiency.
  • In general, muxing consumes more power than the banked memory core design. For example, to read a stored word, the mux accesses or enables an entire row in the cell array, reading all the data stored therein, only sensing the data needed and disregarding the remainder.
  • Using a bottom-up approach to hierarchically couple basic memory modules with muxing according to an embodiment of the present invention, additional synergies are realized, reducing power consumption and signal propagation times.
  • Voltage-Swing Reduction Techniques
  • Power reduction may also be achieved by reducing the voltage swings experienced throughout the structure. By limiting voltage swings, it is possible to reduce the amount of power dissipated as the voltage at a node or on a line decays during a particular event or operation, as well as to reduce the amount of power required to return the various decayed voltages to the desired state after the particular event or operation, or prior to the next access. Two techniques to this end include using pulsed word lines and sense amplifier voltage swing reduction.
  • Pulsed Word Lines
  • By providing a word line just long enough to correctly detect the differential voltage across a selected memory cell, it is possible to reduce the bitline voltage discharge corresponding to a READ operation of the selected cell. In some designs, by applying a pulsed signal to the associated word line over a chosen interval, a sense amplifier is activated only during that interval, thereby reducing the duration of the bitline voltage decay. These designs typically use some from of pulse generator that produces a fixed-duration pulse. If the duration of the pulse is targeted to satisfy worst-case timing scenarios, the additional margin will result in unnecessary bitline current draw during nominal operations.
  • Therefore, it may be desirable to employ a self-timed, self-limiting word line device that is responsive to the actual duration of a given READ operation on a selected cell, and that substantially limits word line activation during that duration. Furthermore, where a sense amplifier successfully completes a READ operation in less than a memory system clock cycle, it may also be desirable to have asynchronous pulse width activation, relative to the memory system clock. Certain aspects of the present invention may provide a pulsed word line signal, for example, using a cooperative interaction between local decoder and local controller.
  • Sense Amplifier Voltage Swing Reduction
  • In order to make large memory arrays, it is most desirable to keep the size of an individual memory cell to a minimum. As a result, individual memory cells generally are incapable of supplying a driving current to associated input/output bitlines. Sense amplifiers typically are used to detect the value of the data stored in a particular memory cell and to provide the current needed to drive the I/O lines.
  • In a sense amplifier design, there typically is a trade-off between power and speed, with faster response times usually dictating greater power requirements. Faster sense amplifiers can also tend to be physically larger, relative to low speed, low power devices. Furthermore, the analog nature of sense amplifiers can result in their consuming an appreciable fraction of the total power. Although one way to improve the responsiveness of a sense amplifier is to use a more sensitive sense amplifier, any gained benefits are offset by the concomitant circuit complexity which nevertheless suffers from increased noise sensitivity. It is desirable, then, to limit bitline voltage swings and to reduce the power consumed by the sense amplifier.
  • In one typical design, the sense amplifier detects the small differential signals across a memory cell, which is in an unbalanced state representative of data value stored in the cell, and amplifies the resulting signal to logic level. Prior to a READ operation, the bitlines associated with a particular memory column are precharged to a chosen value. When a specific memory cell is enabled, a particular row in which the memory cell is located and a sense amplifier associated with the particular column are selected. The charge on one of those bitlines associated with the memory cell is discharged through the enabled memory cell, in a manner corresponding to the value of the data stored in the memory cell. This produces an imbalance between the signals on the paired bitlines, causing a bitline voltage swing.
  • When enabled, the sense amplifier detects the unbalanced signal and, in response, the usually balanced sense amplifier state changes to a state representative of the value of the data. This state detection and response occurs within a finite period, during which a specific amount of power is dissipated. In one embodiment, latch-type sense amps only dissipate power during activation, until the sense amp resolves the data. Power is dissipated as voltage develops on the bitlines. The greater the voltage decay on the precharged bitlines, the more power dissipated during the READ operation.
  • It is contemplated that using sense amplifiers that automatically shut off once a sense operation is completed may reduce power. A self-latching sense amplifier for example turns off as soon as the sense amplifier indicates the sensed data state. Latch type sense amps require an activation signal which, in one embodiment is generated by a dummy column timing circuit. The sense amp drives a limited swing signal out of the global bitlines to save power.
  • Redundancy
  • Memory designers typically balance power and device area concerns against speed. High-performance memory components place a severe strain on the power and area budgets of associated systems, particularly where such components are embedded within a VLSI system such as a digital signal processing system. Therefore, it is highly desirable to provide memory subsystems that are fast, yet power- and area-efficient.
  • Highly integrated, high performance components require complex fabrication and manufacturing processes. These processes may experience unavoidable parameter variations which can impose unwanted physical defects upon the units being produced, or can exploit design vulnerabilities to the extent of rendering the affected units unusable or substandard.
  • In a memory structure, redundancy can be important, because a fabrication flaw, or operational failure, of even a single bit cell, for example, may result in the failure of the system relying upon that memory. Likewise, process invariant features may be needed to insure that the internal operations of the structure conform to precise timing and parametric specifications. Lacking redundancy and process invariant features, the actual manufacturings yield for a particular memory are particularly unacceptable when embedded within more complex systems, which inherently have more fabrication and manufacturing vulnerabilities. A higher manufacturing yield translates into lower per-unit costs, while a robust design translates into reliable products having lower operational costs. Thus, it is highly desirable to design components having redundancy and process invariant features wherever possible.
  • Redundancy devices and techniques constitute other certain preferred aspects of the invention herein that, alone or together, enhance the functionality of the hierarchical memory structure. The previously discussed redundancy aspects of the present invention can render the hierarchical memory structure less susceptible to incapacitation by defects during fabrication or operation, advantageously providing a memory product that is at once more manufacturable and cost-efficient, and operationally more robust.
  • Redundancy within a hierarchical memory module can be realized by adding one or more redundant rows, columns, or both, to the basic module structure. Moreover, a memory structure composed of hierarchical memory modules can employ one or more redundant modules for mapping to failed memory circuits. A redundant module may provide a one-for-one replacement of a failed module, or it can provide one or more memory cell circuits to one or more primary memory modules.
  • Memory Module with Hierarchical Functionality
  • The modular, hierarchical memory architecture according to one embodiment of the present invention provides a compact, robust, power-efficient, high-performance memory system having, advantageously, a flexible and extensively scalable architecture. The hierarchical memory structure is composed of fundamental memory modules or blocks which can be cooperatively coupled, and arranged in multiple hierarchical tiers, to devise a composite memory product having arbitrary column depth or row length. This bottom-up modular approach localizes timing considerations, decision-making, and power consumption to the particular unit(s) in which the desired data is stored.
  • Within a defined design hierarchy, the fundamental memory subsystems or blocks may be grouped to form a larger memory structure, that itself can be coupled with similar memory structures to form still larger memory structures. In turn, these larger structures can be arranged to create a complex structure, including a SRAM module, at the highest tier of the hierarchy. In hierarchical sensing, it is desired to provide two or more tiers of bit sensing, thereby decreasing the READ and WRITE time of the device, i.e., increasing effective device speed, while reducing overall device power requirements. In a hierarchical design, switching and memory cell power consumption during a READ/WRITE operation are localized to the immediate vicinity of the memory cells being evaluated or written, i.e., those memory cells in selected memory subsystems or blocks, with the exception of a limited number of global word line selectors, sense amplifiers, and support circuitry. The majority of subsystems or blocks that do not contain the memory cells being evaluated or written generally remain inactive.
  • Alternate embodiments of the present invention provide a hierarchical memory module using local bitline sensing, local word line decoding, or both, which intrinsically reduces overall power consumption and signal propagation, and increases overall speed, as well as increasing design flexibility and scalability. Aspects of the present invention contemplate apparatus and methods which further limit the overall power dissipation of the hierarchical memory structure, while minimizing the impact of a multi-tier hierarchy. Certain aspects of the present invention are directed to mitigate functional vulnerabilities that may develop from variations in operational parameters, or that related to the fabrication process.
  • Hierarchical Memory Modules
  • In prior art memory designs, such as the aforementioned banked designs, large logical memory blocks are divided into smaller, physical modules, each having the attendant overhead of an entire block of memory including predecoders, sense amplifiers, multiplexers, and the like. In the aggregate, such memory blocks would behave as an individual memory block. However, using the present invention, SRAM memory modules of comparable, or much larger, size can be provided by coupling hierarchical functional subsystems or blocks into larger physical memory modules of arbitrary number of words and word length. For example, existing designs that aggregate smaller memory modules into a single logical modules usually require the replication of the predecoders, sense amplifiers, and other overhead circuitry that would be associated with a single memory module.
  • According to the present invention, this replication is unnecessary, and undesirable. One embodiment of the present invention comprehends local bitline sensing, in which a limited number of memory cells are coupled with a single local sense amplifier, thereby forming a basic memory module. Similar memory modules are grouped and arranged to form blocks that, along with the appropriate circuitry, output the local sense amplifier signal to the global sense amplifier. Thus, the bitlines associated with the memory cells in the block are not directly coupled with a global sense amplifier, mitigating the signal propagation delay and power consumption typically associated with global bitline sensing. In this approach, the local bitline sense amplifier quickly and economically sense the state of a selected memory cell in a block and reports the state to the global sense amplifier.
  • In another embodiment of the invention herein, providing a memory block, a limited number of memory cells, among other units. Using local word line decoding mitigates the delays and power consumption of global word line decoding. Similar to the local bitline sensing approach, a single global word line decoder can be coupled with the respective local word line decoders of multiple blocks. When the global decoder is activated with an address, only the local word line decoder associated with the desired memory cell of a desired block responds, activating the memory cell. This aspect, too, is particularly power-conservative and fast, because the loading on the global line is limited to the associated local word line decoders, and the global word line signal need be present only as long as required to trigger the relevant local word line. In yet another embodiment of the present invention, a hierarchical memory block employing both local bitline sensing and local word line decoding is provided, which realizes the advantages of both approaches. Each of the above embodiments among others, is discussed below.
  • Syncrhonous Controlled Self-Timed SRAM
  • One embodiment of a 0.13 μm SRAM module, generally designated 300, is illustrated in FIGS. 3A and 3B. It should be appreciated that, while a 0.13 μm SRAM module is illustrated, other sized SRAM modules are contemplated. The illustrated SRAM embodiment comprises a hierarchical memory that breaks up a large memory into a two-dimensional array of blocks. In this embodiment, a row of blocks is designated a row block while a column of blocks is designated a column block. A pair of adjacent row blocks 302 and column blocks 304 is illustrated.
  • It should be appreciated that the terms row blocks and block columns are arbitrary designations that are assigned to distinguish the blocks extending in one direction from the blocks extending perpendicular thereto, and that these terms are independent of the orientation of the SRAM 300. It should also be appreciated that, while four blocks are depicted, any number of column and row blocks are contemplated. The number of blocks in a row block may generally range anywhere from 1 to 16, while the number of blocks in a column block may generally range anywhere from 1 to 16, although larger row and column blocks are contemplated.
  • In one embodiment, a block 306 comprises at least four entities: (1) one or more cell arrays 308; (2) one or more local decoders 310 (alternatively referred to as “LXDEC 710”); (3) one or more local sense amps 312 (alternatively referred to as “LSA 712”); and (4) one or more local controllers 314 (alternatively referred to as “LXCTRL 714”). In an alternative embodiment, the block 306 may include clusters as described below.
  • SRAM 300 illustrated in FIGS. 3A and 3B includes two local predecoders 316 (alternatively referred to as “LxPRED”), three global decoders 318 (alternatively referred to as “GxDEC”), a global predecoder 320 (alternatively referred to as “GxPRED”), two global controllers 322 (alternatively referred to as “GxCTR”), and two global sense amps 324 (alternatively referred to as “GSA 724”) in addition to the illustrated block 306 comprising eight cell arrays 308, six local decoders 310, eight local sense amps 312, and two local controllers 314. It should be appreciated that one embodiment comprise one local sense amp (and in one embodiment one 4:1 mux) for every four columns of memory cell, each illustrated global controller comprises a plurality of global controllers, one global controller for each local controller, and each illustrated local controller comprises a plurality of local controllers, one for each row of memory cells.
  • An alternative embodiment of block 306 comprising only four cell arrays 308, two local decoders 310, two local sense amps 312, and one local controller 314 is illustrated in FIG. 4. Typically, the blocks range in size from about 2 Kbits to about 150 Kbits.
  • In one embodiment, the blocks 306 may be broken down further into smaller entities. One embodiment includes an array of sense amps arranged in the middle of the cell arrays 308, dividing the cell arrays into top and bottom sub-blocks as discussed below.
  • It is contemplated that, in one embodiment, the external signals that control each block 300 are all synchronous. That is, the pulse duration of the control signals are equal to the clock high period of the SRAM module. Further, the internal timing of each block 300 is self-timed. In other words the pulse duration of the signals are dependent on a bit-line decay time and are independent of the clock period. This scheme is globally robust to RC effects, locally fast and power-efficient as provided below
  • Memory Cell
  • In one embodiment the cell arrays 308 of the SRAM 300 comprises a plurality of memory cells as illustrated in FIG. 5, where the size of the array (measured in cell units) is determined by rows x cols. For example, a megabit memory cell array comprises a 1024×1024 memory cells. One embodiment of a memory cell used in the SRAM cell array comprises a six-transistor CMOS cell 600A (alternatively referred to as “6 T cell”) is illustrated in FIG. 6A. In the illustrated embodiment, 6 T cell 600 includes transistors 601 a, 601 b, 601 c and 601 d.
  • Each 6 T cell 600 interfaces to a local wordline 626 (alternatively referred to as lwlH), shared with all other 6 T cells in the same row in a cell array. A pair of local bitlines, designated bit and bit_n and numbered 628 and 630 respectively, are shared with all other 6 T cells 600 in the same column in the cell array. In one embodiment, the local wordline signal enters each 6 T cell 600 directly on a poly line that forms the gate of cell access transistors 632 and 634 as illustrated. A jumper metal line also carries the same local wordline signal. The jumper metal line is shorted to the poly in strap cells that are inserted periodically between every 16 or 32 columns of 6 T cells 600. The poly in the strap cells is highly resistive and, in one embodiment of the present invention, is shunted by a metal jumper to reduce resistance.
  • In general, the 6 T cell 600 exists in one of three possible states: (1) the STABLE state in which the 6 T cell 600 holds a signal value corresponding to a logic “1” or logic “0”; (2) a READ operation state; or (3) a WRITE operation state. In the STABLE state, 6 T cell 600 is effectively disconnected from the memory core (e.g., core 102 in FIG. 1). In one example, the bit lines, i.e., bit and bit_n lines 628, 630 respectively, are precharged HIGH (logic “1”) before any READ or WRITE operation takes place. Row select transistors 632, 634 are turned off during precharge. Local sense amplifier block (not shown but similar to LSA 712) is interfaced to bit line 628 and bit_n line 630, similar to LSA 712 in FIGS. 3A, 3B and 4, supply precharge power.
  • A READ operation is initiated by performing a PRECHARGE cycle, precharging bit line 628 and bit_n line 630 to logic HIGH, and activating LwLH 626 using row select transistors 632, 634. One of the bitlines discharges through 6 T cell 600, and a differential voltage is setup between bit line 628 and bit_n line 630. This voltage is sensed and amplified to logic levels.
  • A WRITE operation to 6 T cell 600 is carried out after another PRECHARGE cycle, by driving bitlines 628, 630 to the required state, corresponding to write data and activating lwlH 626. CMOS is a desirable technology because the supply current drawn by such an SRAM cell typically is limited to the leakage current of transistors 601 a-d while in the STABLE state.
  • FIG. 6B illustrates an alternative representation of the 6 T cell illustrated in FIG. 6A. In this embodiment, transistors 601 a, 601 b, 601 c and 601 d are represented as back-to- back inventors 636 and 638 respectively as illustrated.
  • Local Decoder
  • A block diagram of one embodiment of a SRAM module 700, similar to the SRAM module 300 of FIGS. 3A, 3B and 4, is illustrated in FIG. 7. This embodiment includes a one-dimensional array of local x-decoders or LxDEC 710 similar to the LXDEC 310. The LXDEC 710 array is physically arranged as a vertical array of local x-decoders located proximate the cell array 708. The LxDEC 710 interfaces with or is communicatively coupled to a global decoder or GxDEC 718.
  • In one embodiment, the LXDEC 710 is located to the left of the cell array 708. It should be appreciated that the terms “left,” or “right,” “up,” or “down,” “above,” or “below” are arbitrary designations that are assigned to distinguish the units extending in one direction from the units extending in another direction and that these terms are independent of the orientation of the SRAM 700. In this embodiment, LxDEC 710 is in a one-to-one correspondence with a row of the cell array 708. The LXDEC 710 activates a corresponding local wordline or lwlH 726 not shown of a block. The LXDEC 710 is controlled by, for example, WlH, bnkL and BitR 742 signals on their respective lines.
  • Another embodiment of LXDEC 710 is illustrated in FIG. 8. In this embodiment, each LXDEC 710 in a block interfaces to a unique global wordline 750 (alternatively referred to as “WlH”) corresponding to the memory row. The global WlH 750 is shared with other corresponding LxDEC's 710 in the same row block using lwlH 750. LXDEC 710 only activates the local wordline 726, if the corresponding global wordline 750 is activated. It should be appreciated that a plurality of cells 754 similar to the 6 T cells discussed previously, are communicatively coupled to the lwlH 726 as illustrated.
  • In the embodiment illustrated in FIG. 8., every LxDEC 710 in the top or bottom of a sub-block shares the same bank line (alternatively referred to as “bnk Sol H”). It should be appreciated that there are separate bnkL13 bot 756 and bnkL_top 758 lines for the bottom and top sub-blocks, respectively. LXDEC 710 will only activate lwlH 726 if this line is active. The bank lines are used to selectively activate different blocks within the same row block and synchronize the proper access timing. For example, during a READ operation, the bank line will activate as early as possible to begin the read operation. During a WRITE operation for example, bnkL is synchronized to the availability of the data on the local bitlines.
  • Every LxDEC 710 in the embodiment illustrated in FIG. 8 shares the same bitR line 760. This line is precharged to VDD in the memory idle state. When bitR 760 approaches VDD/2 (i.e., one half of VDD), it signals the end of a memory access and causes the LXDEC 710 to de-activate lwlH 726. The bitR signal line 760 is constructed as a replica to the bitlines (i.e, in this embodiment bit line 728 and bit_n line 730 are similar to bit line 628 and bit_n line 630 discussed previously) in the cell array, so the capacitive loading of the bitR 760 line is the same per unit length as in the cell array. In one embodiment, a replica local decoder, controlled by bnkL, fires the lWlRH. In this embodiment, the lWlRH is a synchronization signal that controls the local controller. The lWlRH may fire every time an associated subblock (corresponding to a wlRH) is accessed.
  • In one embodiment, a global controller initiates or transmits a READ or WRITE signal. The associated local controller 714 initiates or transmits an appropriate signal based on the signal transmitted by the global controller (not shown). The local controller pulls down bitR line 760 from LxDEC 710 when the proper cell is READ from or WRITTEN to, saving power. When the difference between bit line 728 and bit_n line 730 is high enough to trigger the sense amp portion, the lwlH 726 is turned off to save power. A circuit diagram of one embodiment of a local x-decoder similar to LXDEC 710 is illustrated in FIG. 9.
  • Local Sense-AMPS
  • One embodiment of the SRAM module includes a one-dimensional array of local sense-amps or LSA's 712 illustrated in FIGS. 10 and 11, where the outputs of the LSA 712 are coupled to the GSA 724 via line 762. In one embodiment, the outputs of the LSA's are coupled to the GSA via at least a pair of gbit and gbit_n lines. FIG. 12A illustrates one embodiment of LSA 712 comprising a central differential cross-coupled amplifier core 764, comprising two inverters 764A and 764B. The senseH lines 766, and clusterL 798, are coupled to the amplifier core through transistor 771.
  • The LSA's 764 are coupled to one or more 4:1 mux's 772 and eight pairs of muxL lines 768A, four muxLs 768A located above and four 768B (best viewed in FIG. 7) located below the amplifier core 764. In the illustrated embodiment, each of the bitline multiplexers 772 connects a corresponding bitline pair and the amplifier core 764. The gbit and gbit_n are connected to the amplifier core through a PMOS transistors (transistors 770 for example). When a bitline pair is disconnected from the amplifier core 764, the bitline multiplexer 772 actively equalizes and precharges the bitline pair to VDD.
  • FIG. 12B illustrates a circuit diagram of an amplifier core 764 having two inverters 764A and 764B, where each inverter 764A and 764B is coupled to a SenseH line 766 and cluster line 798 through a transistor NMOS 771. Only one sense H cluster lines are illustrated. In the illustrated embodiment, each of the inverters 764A and 764B are represented as coupled PMOS and NMOS transistor as is well known in the art. FIG. 12C illustrates a schematic representation of the amplifier core of FIG. 12B (similar to the amplifier core of FIG. 12A).
  • In one embodiment illustrated in FIG. 13, the sense-amp array comprises a horizontal array of sense-amps 713 located in the middle of the cell array 708, splitting the cell array into top 708A and bottom 708B sub-blocks as provided previously. In this embodiment, the width of a single LSA 712 is four times the width of the cell array, while the number of LSA 712 instances in the array is equal to the number of cols/4. That is, each LSA 712 (and in one embodiment one 4:1 mux) is in a one-to-one correspondence with four columns of the cell array and interfaces with the corresponding local bitline-pairs of the cell array 708 in the top and bottom sub-blocks 708A, 708B. This arrangement is designated 4:1 local multiplexing (alternatively referred to as “4:1 local muxing”). It should be appreciated that the bitline-pairs of the bottom sub-block 708B are split from the top sub-block 708A, thereby reducing the capacitive load of each bitline 729 by a factor of two, increasing the speed of the bitline by the same factor and decreasing power. One embodiment of the 4:1 mux plus precharge is illustrated in FIGS. 10 and 12 and discussed in greater detail below.
  • It is currently known to intersperse power rails 774 (shown in phantom) between pairs of bitlines to shield the bitline pairs from nearby pairs. This prevents signals on one pair of bitlines from affecting the neighboring bitline pairs. In this embodiment, when a pair of bitlines 729 (bit and bit_n, 728, 730) is accessed, all the neighboring bitlines are precharged to VDD by the 4:1 mux as illustrated in FIG. 12. Precharging the neighboring bitlines, eliminates the need for shields to isolate those bitlines. This means that it is not necessary to isolate pairs of bitlines from each other using with interspersed power rails 774. This allows for a larger bitline pitch in the same total width, and therefore less capacitance, less power, and higher speed.
  • The LSA 712 interfaces with a pair of global bitlines, designated gbit 776 and gbit_n 778 via a PMOS transistors 770 as illustrated in FIG. 12A. Two PMOS transistors are illustrated, but any number is contemplated. In one embodiment, the global bitlines run vertically in parallel with the local bitlines. The global bitlines are shared with the corresponding local sense-amps 712 in other blocks in the same column block. In one embodiment, the local bitlines and global bitlines are routed on different metal layers. Because there are four times fewer global bitlines than local bitlines, the global bitlines are physically wider and placed on a larger pitch. This significantly reduces the resistance and capacitance of the long global bitlines, increasing the speed and reliability of the SRAM module. The PMOS transistors 770 isolate global bitlines 776, 778 from the sense amp.
  • One embodiment of the bitline multiplexer or 4:1 mux 772 is illustrated in FIG. 14. In this embodiment, the 4:1 mux 772 comprises a precharge and equalizing portion or device 773 and two transmission gates per bit/bit_n pair. More specifically, 4:1 muxing may comprise 8 transmission gates and 4 precharge and equalizers, although only 4 transmission gates and 2 precharge and equalizers are illustrated.
  • In the illustrated embodiment, each precharge and equalizing portion 773 of the 4:1 mux comprises three PFet transistors 773A, 773B and 773C. In this embodiment, the precharge portion comprises PFet transistors 773A and 773B. The equalizing portion comprises PFet transistor 773D.
  • In the illustrated embodiment, each transmission gate comprises one NFet 777A and one PFet 777B transistor. While a specific number and arrangement of PMOS and NMOS transistors are discussed, different numbers and arrangements are contemplated. The precharge and equalizing portion 773 is adapted to precharge and equalize the bitlines 728, 739 as provided previously. The transmission gate 775 is adapted to pass both logic “1”'s and “0”'s as is well understood in the art. The NFet transistors, 777A and 777B for example, may pass signals during a WRITE operation, while the PFet transistors 779A and 779B may pass signals during a READ operation.
  • FIG. 15 and 16 illustrate embodiments of the 2:1 mux 772 coupled to the amplifier core 764 of the LSA. FIG. 15 also illustrates an alternate representation of the transmission gate. Here, four transmission gates 775A, 775B, 775C and 775D are illustrated coupled to the inverters 764A and 764B of the inverter core. In one embodiment of the present invention, eight transmission gates are contemplated for each LSA, two for each bitline pair.
  • FIG. 16 illustrates the precharge and equalizing portion 773 of the 2:1 coupled to the transmission gates 775A and 775B of mux 772, which in turn is coupled to the amplifier core. While only one precharge and equalizing portion 773 is illustrated, it is contemplated that a second precharge and equalizing portion 773 is coupled to the transmission gates 775C and 775D.
  • In one embodiment illustrated in FIG. 7, the LSA 712 is controlled by the following set of lines, or signals on those lines, that are shared across the entire LSA 712 array: (1) muxL_bot 768B; (2) muxl_top 768A; (3) senseH 766; (4) genL 780; and (5) lwlRH 782. In one embodiment of the SRAM module, the LSA 712 selects which of the local bitlines to use to initiate or access the cell array 708. The local bitlines comprise 8 pairs of lines, 4 pairs of mux lines 768B that interface to the bottom sub-block 708B (alternatively referred to as “muxL_bot 765B<0:3>”) and 4 pairs of mux lines 768A that interface to the top sub-block 708A (alternatively referred to as “muxLZ_top 765A<0:3>”). The LSA 712 selects which of the 8 pairs of local bitlines to use for the current access. The LSA 712 maintains any local bitline not selected for access in a precharged and equalized state. In one embodiment, the LSA 712 keeps the non-selected bitlines precharged to VDD.
  • The LSA 712 also activates the amplifier portion of the sense-amp 713 using a sense enable line 766 or signal on the line (alternatively referred to as “senseH 766”) connected to transistor 773. This activation signal is distributed into four separate signals, each signal tapping one out of every four local sense-amps. In one embodiment, the local controller 714 may activate all the senseH lines 766 simultaneously (designated “1:1 global multiplexing”or “1:1 global mux”) because every sense-amp 713 is activated by senseH lines 766 for each access. Alternately, the local controller may activate the senseH lines 766 in pairs (designated “2:1 global multiplexing” or “2:1 global mux”) because every other sense-amp 713 is activated by senseH 766 for each access. Additionally, the LSA 712 may activate the senseH 766 lines 766 individually (designated “4:1 global multiplexing” or “4:1 global mux”), because every fourth sense-amp is activated for each access. It should be appreciated that connecting or interfacing the senseH 766 to every fourth enabled transistor in 4:1 global multiplexing provides for more configurable arrangements for different memory sizes.
  • The LSA 712, in one embodiment, exposes the sense-amps 713 to the global bitlines. The LSA 712 activates or initiates the genL line 780, thus exposing the sense amps 713 to the gbit and gbit_n.
  • In one embodiment, the LSA 712 replicates the poly local wordline running through each row of each block. This replicated line is referred to as a dummy poly line 782 (alternatively referred to as “lWlRH 782”). In this embodiment, the lwlRH line 782 forms the gate of dummy transistors that terminate each column of the cell array 708. Each dummy transistor replicates the access transistor of the 6 T SRAM cell. The capacitive load of this line is used to replicate the timing characteristics of an actual local wordline.
  • It is contemplated that, in one embodiment, the replica lWlRH line 782 also extends to the metal jumper line (not shown). The replica jumper line has the same width and neighbor metal spacing as any local wordline jumper in the cell array. This line is used strictly as a capacitive load by the local controller 714 and does not impact the function of the LSA 712 in any way. More specifically, the replica jump line is adapted to reduce the resistance of the lWlRH poly line similar to the metal shunt line as provided earlier. A circuit diagram of one embodiment of an LSA 712 is illustrated in FIG. 17.
  • Local Controller
  • In one embodiment, each block has a single local controller or LXCTRL 714 as illustrated in FIGS. 7 and 18 that coordinates the activities of the local x-decoders 710 and sense-amps 713. In this embodiment, the LxCTRL 714 coordinates such activities by exercising certain lines including: (1) the bitR 760; (2) the bnkL13 bot 756; (3) the bnkL_top 758; (4) the muxL_bot 765B; (5) the muxl_top 765A; (6) the senseH 766; (7) the genL 780; and (8) the lWlRH 782 control lines as illustrated in FIG. 7. Each of these lines is activated by a driver and control logic circuit in the LXCTRL circuit 714. In one embodiment, all these lines are normally inactivate when the SRAM module is in the idle state except for the genL line 780. The genL line 780 is active in the idle state. The LxCTRL 714 circuit is in turn activated by external Vertical and Horizontal signals. Vertical signals include: (1) lmuxL 784; (2) gmuxL 786; (3) rbankL 788; (4) gbitR 760; and (5) wbankL 792 signals. Horizontal signals include: (1) wlRH 794; (2) blkSelH_bot 756; and (3) blkSelH_top 758.
  • In one embodiment, all LxCTRL 714 circuits in the same column block share the Vertical signals. In this embodiment, the LxCTRL 714 in each block interfaces with four local mux lines 784 (alternatively referred to as “lmuxL<0:3>” or “lmuxl”). Only one of the four lmuxL lines 768 is active at any time. The LxCTRL 714 initiates or activates one lmuxL lines 768 to access a cell array 708, selecting one of the four cell array columns interfaced to each LSA 712 for access.
  • In one embodiment, similar to that discussed previously, the LSA 712 may activate the senseH 766 signals individually (i.e., 4:1 global multiplexing). In this embodiment, the LxCTRL 714 in each block interfaces with four global mux lines 786 (alternatively referred to as “gmuxL<0:3>” or “gmuxl”). It should be appreciated that only one of these four gmuxL lines 768 is active at any time, selecting or activating one out of every four global bitlines for access. In one embodiment the LSA 712 activates the senseH lines 766 in pairs (i.e., 2:1 global multiplexing). In this embodiment only two of the four gmuxL lines 768 are active at any time, selecting one out of every two global bitlines for access. For 1:1 global muxing, all four gmuxL lines 786 are always active, selecting all the global bitlines for access.
  • All LxCTRL circuits 714 in the same column block share the same read bank lines 788 or signals on the lines (alternatively designated “rbankL”). The rbankL line 788 is activated when a READ operation is requested (i.e., data is read from the block). At the end of the READ operation, the global bitlines selected by the gmuxL line 768 s 786 contain limited swing differential signals. This limited swing differential signals represent the stored values in the cells selected by the lwlH line 726 and the lmuxL lines 784.
  • In one embodiment, a global bit replica line 790 or signal on the line is shared with all the LxCTRL circuits 714 in the same column block (alternatively designated “gbitR”). The gbitR line 760 is maintained externally at VDD when the SRAM memory is idle. The gbitR line 760 is made floating when a READ access is initiated. The LXCTRL 714 discharges this signal to VSS when a READ access request is concluded synchronous with the availability of READ data on gbit/gbit_n.
  • During a WRITE operation, the LxCTRL 714 activates write bank lines 792 or signals on the line (alternatively referred to as “wbnkL”). Limited swing differential signals are present on the global bitlines when the wbnkL line 792 is activated. The limited swing differential signals represent the data to be written.
  • It should be further appreciated that, in one embodiment, all the LXCTRL circuits 714 in the same row block column share the Horizontal signals. In one embodiment, all the LxCTRL 714 circuits share a replica of the global wordline wlH line 794 (alternatively referred to as “wlRH”) that runs through each row of the memory. The physical layout of the wlRH line 794 replicates the global wordline in each row with respect to metal layer, width, and spacing. Thus the capacitive loading of the wlRH 794 and the global wlH signal are the same. On every memory access, the wlRH line 794 is activated simultaneously with a single global wlH for one row in the block.
  • The LXCTRL 714 indicates to the block whether the bottom or top sub-block 706B, 706A is being accessed using either the blkSelH_bot 756 or blkSelH_top 758 line or signals on the lines. Either one of these lines is active upon every memory access to the block, indicating whether the bottom sub-block 706B or top sub-block 706A transmission gates in the LSA 712 should be opened. A circuit diagram for one embodiment of the local controller is illustrated in FIG. 19.
  • Synchronous Control of the Self-Timed Local Block
  • One embodiment of the present invention includes one or more global elements or devices that are synchronously controlled while one or more local elements are asynchronously controlled (alternatively referred to as “self-timed”). It should be appreciated that the term synchronous control means that these devices are controlled or synchronous with a clock pulse provided by a clock or some other outside timing device. One advantage to having a synchronous control of elements or devices on the global level is those elements, which are affected by resistance, may be adjusted.
  • For example, slowing or changing the clock pulse, slows or changes the synchronous signal. Slowing or changing the synchronous signal slows or changes those devices or elements controlled by the synchronous signals, providing more time for such devices to act, enabling them to complete their designated function. In one embodiment, the global controller is synchronous. In another embodiment, the global controller, the global decoder and the global sense amps are synchronous.
  • Alternatively, the local devices or elements are asynchronous controlled or self-timed. The self-timed devices are those devices where there is little RC effects. Asynchronous controlled devices are generally faster, consume less power. In one embodiment, the local block, generally including the local controller, local decoder, local sense amps, the sense enable high and the cell arrays, are asynchronously controlled.
  • Read Cycle Timing
  • Cycle timing for a read operation in accordance with one embodiment of the present invention includes the global controller transmitting or providing a high signal and causing LwlH line to fire and one or more memory cells is selected. Upon receiving a signal on the LwlH line, one or more of the bit/bit_n line pairs are exposed and decay (alternatively referred to as the “integration time”). At or about the same time as the bit/bit_n begin to decay, bitR begins to decay (i.e. upon receiving a high signal on the lWlRH line). However, the bitR decays approximately 5 to 6 times faster than the bit/bit_n, stopping integration before the bit/bit-n decays completely (i.e., sensing a swing line voltage) and initiates amplifying the voltage.
  • BitR triggers one or more of the SenseH lines. Depending on the muxing, all four SenseH lines fire (1:1 muxing), two SenseH lines fire (2:1 muxing) or one SenseH line fires (4:1 muxing).
  • After the SenseH line signal fires, the sense amp resolves the data, the global enable Low or genL line is activated (i.e., a low signal is transmitted on genL). Activating the genL line exposes the local sense amp to the global bit and bit_n. The genL signal also starts the decay of the signal on the gbitR line. Again, the gbitR signal decays about 5 to 6 times faster than gbit signal, which turns off the pull down of the gbit. In one embodiment gbitR signal decays about 5 to 6 times faster than gbit signal so that signal on the gbit line only decays to about 10% of VDD before it is turned off.
  • The signal on gbitR shuts off the signal on the SenseH line and triggers the global sense amp. In other words the signal on the gbitR shuts off the local sense amp, stopping the pull down on the gbit and gbit_n lines. In one embodiment, the SenseH signal is totally asynchronous.
  • The cycle timing for a READ operation using one embodiment of the present invention (similar to that of FIG. 7) is illustrated in FIG. 20. During the READ operation, one of the four lmuxL<0:3> lines 784 are activated, selecting one of the four cell array columns supported by each LSA 712. One, two, or four gmuxL<0:3> lines 786 are activated to select every fourth, every second, or every global bitline for access, depending on the global multiplexing option (i.e., 4:1, 2:1 or 1:1 muxing
  • Either the blkSelH_bot 756 or blkSelH_top 758 is activated to indicate to the block that the bottom or top sub-block 706B, 706A respectively is being accessed. The rbankL line 788 line is activated to request a read operation from the block. The wlH line is activated for the memory row that is being accessed, while the wlRH line 794 is activated simultaneously for all the blocks in the row block containing the memory row.
  • The LXCTRL 714 deactivates the genL line 780 to isolate the local sense-amps from the global bitlines. The LxCTRL 714 activates the bnkL line to signal the LxDEC 710 to activate a local wordline. The LXCTRL 714 activates one of the four muxL<0:3> line corresponding to the activated muxL signal. This causes the LSA 712 to connect one of the four cell columns to the sense-amp amplifier core 762. The LXDEC 710 corresponding to the activated global wordline activates the local wordline. Simultaneously, the LxCTRL 714 activates the lwlRH line 794 782. All the cells in the row corresponding to the activated local wordline begin to discharge one bitline in each bitline pair corresponding to the stored value of the 6 T cell.
  • After a predetermined period of time a sufficient differential voltage is developed across each bitline pair. In one example, a differential voltage of about 100 mV is sufficient. It should be appreciated that this predetermined period of time is dependant on process corner, junction temperature, power supply, and the height of the cell array.
  • Simultaneously, the lwlRH 782 signal causes the LxCTRL 714 to discharge the bitR line 760 with an NMOS transistor that draws a certain current at a fixed multiple of the cell current. The bitR 760 line therefore discharges at a rate that is proportional to the bitline discharge rate. It should be appreciated that the constant of proportionality is invariant (to a first order) with regards to process corner, junction temperature, power supply, and the height of the cell array 708.
  • When the bitR signal 760 crosses a predetermined threshold, the LxDEC 710 deactivates the local wordline and the 6 T cells stop discharging through the bitlines. In this manner, a limited swing differential voltage is generated across the bitlines independent (to a first order) of the process corner, junction temperature, power supply, and the height of the cell array. In one example, a differential voltage of about 100 mV is sufficient. Simultaneously, the LxCTRL 714 deactivates the muxL line 768 so that the corresponding bitlines are disconnected from the amplifier core 762 and are equalized and precharged.
  • At the same time that the LxCTRL 714 deactivates the muxL line 768, the LxCTRL 714 activates the senseH lines 766 and, depending on the global multiplexing, the amplifier core 762 rapidly amplifies the differential signal across the sensing nodes. As soon as the amplifier core 762 has started to sense the differential signal, the LxCTRL 714 activates the genL line 780 so that the local sense-amps are connected to the global bitlines. The amplifier core 762, depending on the global multiplexing, continues to amplify the differential signals onto the global bitlines. The LXCTRL 714 discharges the gbitR 760 signal to signal the end of the READ operation. When the gbitR 760 signal crosses a predetermined threshold, the LXCTRL 714 deactivates the senseH 766 signals and the amplifier core 762 of the LSA array stop amplifying. This results in a limited-swing differential signal on the global bitlines representative of the data read from the cells.
  • When the wlRH line 794 is deactivated, the LxCTRL 714 precharges the bitR line 760 to prepare for the next access. When the rbankL line 788 is deactivated, the LxCTRL 714 deactivates the bnkL line to prepare for the next access.
  • Write Cycle Timing
  • Cycle timing for a write operation in accordance with one embodiment of the present invention includes the global controller and global sense amp receiving data or a signal transmitted on wbnkL, transmitting or providing a high signal on an LWlH line and selecting one or more memory cells. The write operation is complete when the local word line is high.
  • Data to be written into a memory cell is put onto the gbit line synchronously with wbnkL. In this embodiment, the wbnkL acts as the gbitR line in the write operation. In this embodiment, the wbnkL pulls down at the same time as gbit but about 5 to 6 times faster.
  • The low signal on the wbnkL line triggers a signal on the SenseH and a local sense amp. In other words, genL goes high, isolating the local sense amp. A signal on the wbnkL also triggers bnkL, so that lwlH goes high when wlH arrives. After the signal on the SenseH is transmitted, the lmux switch opens, so that data from the local sense amplifier onto the local bitlines. BitR is pulled down. In one embodiment, bitR is pulled down at the same rate as bit. In other words bitR and bit are pull down at the same rate storing a full BDT. LWlL goes high and overlaps the data on the bitlines. BitR turns off LWlH and closes the Imux switch and SenseH.
  • The cycle timing for a WRITE operation using one embodiment of the present invention is illustrated in FIG. 21. One of four lmuxL<0:3> lines 784 is activated to select one of the four cell array columns supported by each LSA 712. One, two, or four gmuxL<0:3> lines 786 are activated to select every fourth, every second, or every global bitline for access (i.e., 4:1, 2:1 or 1:1 muxing) depending on the global multiplexing option. The blkSelH_bot 756 or blkSelH top 758 line is activated to indicate to the block whether the bottom 706B or top sub-block 706A is being accessed. The global word line is activated for a particular memory row being accessed.
  • The wlRH line 794 is activated simultaneously for all the blocks in the row block containing the memory row. The GSA 724 presents limited swing or full swing differential data on the global bit lines. The wbnkL line 792 is activated to request a WRITE operation to the block. The LxCTRL 714 immediately activates the senseH lines 766 depending on the global multiplexing, and the amplifier core 762 rapidly amplifies the differential signal across the sensing nodes. Only the data from global bitlines selected by the global multiplexing are amplified.
  • The LxCTRL 714 activates the bnkL line to signal the LXDEC 710 to activate a local wordline. The LxCTRL 714 activates one of the four muxL<0:3> lines 768 corresponding to the activated lmuxL line 784. This causes the LSA 712 to connect one of the four cell columns to the sense-amp amplifier core 762. The amplifier core 762 discharges one bitline in every select pair to VSS depending on the original data on the global wordlines. The LXDEC 710 corresponding to the activated global wordline activates the local wordline. The data from the local bitlines are written into the cells.
  • Simultaneously with writing the data from the local bitlines into the cells, the LxCTRL 714 activates the lwlRH line 794. This signal causes the LxCTRL 714 to rapidly discharge the bitR line 760. When the signal on the bitR line 760 crosses a predetermined threshold, the LXDEC 710 deactivates the local wordline. The data is now fully written to the cells. Simultaneously, the LXCTRL 714 deactivates the senseH 766 and muxL lines 768 and reactivates the genL line 780. When the wlRH line 794 is deactivated, the LXCTRL 714 precharges the bitR line 760 to prepare for the next access. When the rbankL line 788 is deactivated, the LxCTRL 714 deactivates the bnkL line to prepare for the next access. In one embodiment, bnkL provides local bank signals to the local decoder. It is contemplated that the bnkL may comprise bnkL-top and bnkL—bot as provided previously.
  • Burn-In Mode
  • Returning to FIG. 7, one embodiment of the present invention includes a burn-in processor mode for the local blocks activated by a burn in line 796 (alternatively referred to as “BIL”). This process or mode stresses the SRAM module or block to detect defects. This is enabled by simultaneously activating all the lmuxL<0:3> 784, blkSelH_bot 756, blkSelH_top 758, and rbankL lines 788, but not the wlRH line 794 (i.e., the wlRH line 794 remains inactive). In that case, BIL 796 will be asserted, allowing the local word lines to fire in the LXDEC 710 array. Also, all the LSA muxes will open, allowing all the bitlines to decay simultaneously. Finally, since wlRH 794 is not activated, bitR 760 will not decay and the cycle will continue indefinitely until the high clock period finishes.
  • Local Cluster
  • In one embodiment, a block may be divided into several clusters. Dividing the block into clusters increases the multiplexing depth of the SRAM module and thus the memory. Although the common local wordlines runs through all clusters in a single block, only sense amps in one cluster are activated. In one embodiment, the local cluster block is a thin, low-overhead block, with an output that sinks the tail current of all the local sense-amps 712 in the same cluster. In this embodiment, the block includes global clusterL 799 and local clusterL 798 interfaces or lines (best viewed in FIG. 7).
  • Prior to a READ or WRITE operation, a global clusterL line 799 (alternatively referred to as “gclusterL”) is activated by the external interface for all clusters that are involved in the READIWRITE operation. The local cluster includes a gclusterL line 799 or signal on the line that is buffered and driven to clusterL 798. The clusterL line 798 connects directly to the tail current of all the local sense-amps 712 in the cluster. If the cluster is active, the sense-amps will fire, but if the cluster is inactive the sense-amps will not fire. Since the cluster driver is actually sinking the sense-amp tail current, the NMOS pull down must be very large. The number of tail currents that the cluster can support is limited by the size of the NMOS pull down and the width of the common line attached to the local sense-amp tail current.
  • It should be appreciated that the muxing architecture described above can be used on its own without the amplifier portion of the LSA 712 as illustrated in FIG. 2. In this embodiment, the local bitline transmission gates are used to directly connect the local bitlines to the global bitlines. The GSA's 724 performs all the functions of the local sense-amp. The area of the LSA 712 and LxCTRL 714 decrease as less functionality is required of these blocks. For small and medium scale memories, the access time may also decrease because one communication stage has been eliminated. That is the bitlines now communicate directly with the GSA 724 instead of the LSA 712. The reduced interface and timing includes the LXDEC 710 as provided previously but different LSA 712 and LXCTRL 714.
  • In this embodiment, the local bit lines are hierarchically portioned without the LSA. Since gbit has a lower capacitance than Ibit (due to being spread apart and no diffusion load for example) such hierarchical memories are generally faster and lower power performance in comparison to simple flat memories.
  • In one embodiment, the cluster includes a one-dimensional array of LSA's 712 composed of four pairs of bitline multiplexers. Each bitline multiplexer may connect a corresponding bitline pair to the global bitline through a full transmission gate. When a bitline pair is disconnected from the global bitline, the bitline multiplexer actively equalizes and precharges the bitline pair to VDD. Because there are four times fewer global bitlines than local bitlines, the global bitlines are physically wider and placed on a larger pitch. Again, this significantly reduces the resistance and capacitance of the long global bitlines, increasing the speed and reliability of the memory.
  • The LSA 712 is controlled by the muxL and lwlH signals shared across the entire LSA 712 array. The muxL<0:3> line 768 selects which of the four pairs of local bitlines to use on the current access. Any local bitline not selected for access is always maintained in a precharged and equalized state by the LSA 712. In one example, the local bitlines are precharged to VDD.
  • The lwlRH line 794 line represents a dummy poly line that replicates the poly local wordline that runs through each row of the block. The lWlRH line 794 forms the gate of dummy transistors that terminate each column of the cell array. Each dummy transistor replicates the access transistor of the 6 T SRAM cell.
  • In a global cluster mode, each block has a single local controller that coordinates the activities of the local x-decoders and multiplexers by exercising the bitR 760, bnkL, muxL 768, and lwlRH 782 control signals. Each of these signals is activated by a driver and control logic circuit in the LxCTRL circuit 714. All these signals are normally inactive when the memory is in the idle state. The LxCTRL circuit 714 is in turn activated by Vertical and Horizontal signals.
  • The Vertical signals are these signals shared by all LxCTRL 714 circuits in the same column block, including the lmuxL 784, rbnkL 788, rgbitR 760, gbitR 760 and wbnkL 792 lines or signals on the line. Only one of the four signals lmuxL<0:3> lines 784 is active at any time. The active line selects one of four cell array columns interfaced to each LSA 712 for access. The rbnkL line 788 is activated when a READ operation is requested from the block. At the end of the READ operation, all global bitlines that are not actively precharged by the GSA 724 containing limited swing differential signals representing the stored values in the cells selected by the wlH line and the lmuxL signals.
  • The rgbitR line 760 is externally maintained at VDD when the memory is idle and is made floating when a read access is initiated. The LXCTRL 714 block connects this line to bitR 760 and discharges this signal line to VSS when a READ access in concluded.
  • The wgbitR line 760 is externally maintained at VDD when the memory is idle and is discharged during a write access. The LXCTRL 714 block connects this line to bitR 760, and relies on the signal arriving at VSS to process a WRITE operation.
  • The wbnkL line 792 is activated when a WRITE operation is requested from the block. Full swing differential signals representing the data to be written are present on the global bitlines when this line is activated.
  • All LxCTRL 714 circuits in the same row block share Horizontal signals. The wlRH line 794 is a replica of the global wordline wlH that runs through each row of the memory. The physical layout of the line with respect to metal layer, width, and spacing, replicates the global wordline in each row, so as to make the capacitive loading the same. This line is activated simultaneously with a single global wordline for one row in the block on every memory access. The blkSelH line is active on every memory access to the block and indicates that the transmission gate should be opened.
  • FIGS. 22A, 22B and 22C illustrate different global and muxing arrangements. FIG. 22A illustrates one embodiment of a local sense amp including 4:1 muxing and precharge and equalizing. The LSA is represented here as a single device having four bit/bit_n pairs; one SenseH line, one GenL line, one clusterL line and one gbit/gbit_n pair coupled thereto. FIG. 22 illustrates one example of 4:1 muxing (alternatively referred to as 4:1 local muxing) built into the LSA. In one embodiment, each LSA is coupled to 4 bit/bit_n pairs. During a READ/WRITE operation, one bitline pair of the four possible bitline pairs coupled to each LSA is selected. However, embodiments are contemplated in which the clusters are used without dropping the LSA's (i.e., the clusters are used with the LSA's).
  • FIG. 22B illustrates one embodiment of the present invention including 16:1 muxing. Again, each LSA is coupled to 4 bitline pairs (the 4:1 local muxing provided previously). Here, four SenseH lines<0:3> are illustrated coupled to the LSA's where one SenseH line is coupled to one LSA. This is referred to as 16:1 muxing comprising 4:1 global muxing due to the SenseH lines and 4:1 local muxing. When one of the SenseH line fires, one of the four LSA's is activated, enabling one of the four bitline pairs coupled to the activated LSA to be selected. In other words, this combination enables at least one bitline pair to be selected from the 16 total bitline pairs available.
  • FIG. 22C illustrates one embodiment of the present invention including 32:1 muxing. Again, each LSA is coupled to 4 bitline pairs (the 4:1 local muxing provided previously). Here, four SenseH lines<0:3> are illustrated coupled to the LSA's where one SenseH line is coupled to two LSA. For example, one SenseH line is coupled to LSA 0 and 4, one SenseH line is coupled to LSA 1 and 4, etc. This embodiment includes two local cluster devices, where the first local cluster device is coupled to LSA's 1-3 via a first ClusterL line while the second local cluster device is coupled to LSA's 4-7 via a second ClusterL line. When ClusterL is low, the associated LSA's fire.
  • The cluster devices are also illustrated coupled to the SenseH lines<0:3> and the GCTRL. GCTRL activates one or more local cluster devices, which in turn fires the associated ClusterL line. If the associated SenseH line fires, then the LSA is active and one bitline pair is selected. For example, if the GCTRL activates the first cluster device, then the first ClusterL line fires (i.e., ClusterL is Low). If SenseH<0> also fires, then LSA 0 is active and one of the four bitline pairs coupled to LSA 0 is selected. In other words, this combination enables at least one bitline pair to be selected from the 32 total bitline pairs available.
  • While only 4:1, 16:1 and 32:1 muxing are illustrated, any muxing arrangement is contemplated (i.e., 8:1, 64:1, 128:1, etc.) Further, while only two cluster devices and two ClusterL lines are illustrated, any number or arrangement is contemplated. For example, the number of cluster devices and cluster lines may vary depending on the number of local blocks in the memory architecture or the muxing requirements. Flexible, partially and more choices for a given memory request.
  • Column Redundancy
  • FIG. 24 illustrates a memory 2400 having a decoder 2412 composed of words having a width of w bits 2420, many of which have failing bits. In FIG. 24, and in the following embodiments, the diamonds represent one or more output pins, while the large black dots indicate that more bits (or lines depending on the embodiment) are contemplated. In this embodiment, the bit-position of the failing bits is the same in all the failing words. This is alternatively referred to as a common memory failure mode.
  • Such a common memory failure mode may be repaired using known or prior art column redundancy techniques. One system and method for repairing such failures is to design the memory 2510 having decoder 2512 to hold words of width w+1 as illustrated in FIG. 25. The output of the memory 2510 is passed through a shifter block 2514 that accepts w+1 input bits 2520A and outputs only w output bits 2520B. In those instances where there is no memory failure, the shifter block 2514 passes input bits 0-w−1 generally designated 2520A from the memory to output bits 0-w−1 generally designated 2520B through the shifter block 2514, ignoring input bit w. Where there is a failure, at bit k for example, the shifter block 2514 passes input bits 0-k−1. The shifter block 2514 shifts input bits k+1−w 2520A from the memory 2510 to output bits k−w−1 2520B, effectively eliminating the defective bit from the output word.
  • It is also contemplated that, in one embodiment, data input into the memory 2510 is shifted in a similar manner. For example, both data output and data input to the memory 2510 need to be shifted. In writing to the memory architecture, the shifter block 2514 operates in reverse. The identity of the failing bit is determined through tests performed on the memory architecture prior to packaging. The identity of this failing bit is permanently recorded on the chip, by means of a set of laser fuses for example.
  • It is contemplated that the system illustrated in FIG. 24 is not area efficient for certain memory architectures. Many memory architectures rely on column multiplexing to achieve reasonable aspect ratios and access times. In a m:1 multiplexing architecture for example, each memory row consists of m×w bit cells. A set of column multiplexers selects 1 out of every m bit cells for access during a single operation. The height of the memory is thereby reduced by a factor of m and the width is increased by the same factor. Increasing the word size from w to w+1 bits results in a final memory width of m×(w+1),resulting in a net increase of m bit cells in relation to a non-redundant memory. This is inefficient, as it is contemplated that only one extra bit cell per row is required to implement column redundancy.
  • The inefficiency is further compounded in a multi-bank memory 2610 composed of b banks as illustrated in FIG. 26. Such a memory may be represented as b separate memories (banks 2610A & 2610B are illustrated) interacting with decoder 2612, sharing the same address decoding logic. Each memory has w input bits designated 2620A that connect to a shared w-wide input bus, and w output bits generally designated 2620B that multiplex onto a shared w-wide output bus 2622. Only one of the b banks is activated during a single memory access. The basic column redundancy scheme results in a final memory width of (m×b)×(w+1) bit cells,resulting in a net increase of m×b bit cells in relation to a non-redundant memory. It is contemplated that only one extra bit cell per row may be required to implement column redundancy.
  • In order to increase the area efficiency for column redundancy in multi-bank memories in accordance with one embodiment of the present invention, the word size is maintained at w bits per word. A multi-bank memory 2710, with banks 2710A & 2710B (having input bits 2720A and output bits 2720B) interacting with decoder 2712, having an extra or redundant bank 2716 with a single output data bit 2718 and at least one bus 2722 is illustrated in FIG. 27. If the memory architecture has m:1 multiplexing, the bank will have m bits in each row. Each bank of w bits implements the shifter block 2714 as provided previously. However, since bit w is not available in the bank, no bit is shifted into output bit w−1 when a failed bit is present in the bank.
  • This embodiment contemplates that at most one bank will have a failing bit. The single-bit bank 2716 is activated during any access to a bank with the failing bit, and the output bit 2718 of the single-bit bank 2716 is provided as bit w−1, thereby completing the word for the failing bank. This embodiment saves area over the simple column redundancy scheme provided previously whenever m×(b−1) bit cells is wider than the width overhead required to implement a memory bank.
  • In one embodiment, it is contemplated that part of the implementation of m:1 global multiplexing in a hierarchical bitline memory architecture may involve activating one out of every m local sense-amplifiers in the local block, similar to the local blocks provided previously. Activating the local sense-amplifier is accomplished by transmitting a set of m senseH signals from the local controller as provided previously. Only one signal is active at a time, corresponding to the addressed multiplexer. In the basic column redundancy scheme, the redundant bit w requires an additional m local sense-amplifiers.
  • An area efficient system and method in accordance with one embodiment of the present invention may be used if all the failing bits are accessed by a single multiplexer. FIG. 28 illustrates memory 2801, decoder 2812, shifter block 2814 (having input bits 2820A and output bits 2820B). As illustrated in FIG. 28, instead of implementing additional m local sense-amplifiers, a single redundant local sense-amplifier may be used that is activated only when the failing multiplexer is selected. A routing channel 2828 is allocated to and interfaces with the redundant sense-amplifier. This channel routes m multiplexer signals 2829 only one multiplexer signal is active corresponding to the failing multiplexer.
  • One embodiment also includes transmission gates adapted to pass the m senseH signals to the redundant sense-amplifier (not shown). The transmission gates are activated by the m multiplexer signals. Only one of the senseH signals corresponding to the failing multiplexer is passed through the transmission gates. In this manner the redundant local sense-amplifier is activated only when the failing multiplexer is activated. The shifter block shifts out the failing bit only when the failing multiplexer is selected, otherwise passing the inputs directly to the outputs.
  • Many modifications and variations of the present invention are possible in light of the above teachings. Thus, it is to be understood that, within the scope of the appended claims, the invention may be practiced otherwise than as described hereinabove.

Claims (29)

1-21. (canceled)
22. A method for operating a memory device comprising:
accessing failing bits in a multi-bank memory in the memory device;
accessing a sense amplifier;
generating at least one multiplexer signal; and
activating at least one transmission gate.
23. The method of claim 22 comprising selecting a multiplexer.
24. The method of claim 23 comprising accessing said failing bits in said multi-bank memory using said multiplexer.
25. The method of claim 23 comprising routing signals to said multiplexer using a routing channel.
26. The method of claim 25 comprising interfacing said routing channel with at least said sense amplifier.
27. The method of claim 22 comprising passing signals to said sense amplifier using said at least one transmission gate.
28. A method for operating a memory device comprising:
selecting a multiplexer;
accessing failing bits in a multi-bank memory in the memory device using said multiplexer;
accessing a sense amplifier when said multiplexer is selected;
generating at least one multiplexer signal; and
activating at least one transmission gate.
29. The method of claim 28 comprising routing signals to said multiplexer using a routing channel.
30. The method of claim 29 comprising interfacing said routing channel with at least said sense amplifier.
31. The method of claim 28 comprising passing signals to said sense amplifier using said at least one transmission gate.
32. A method for operating a memory architecture, the method comprising:
accessing failing bits in a multi-bank memory in a self-timed local element of the memory architecture using at least one multiplexer;
interfacing with a synchronous controlled global element of the memory architecture using said at least one multiplexer;
accessing a sense amplifier;
generating at least one multiplexer signal; and
activating at least one transmission gate using said at least one multiplexer signal, wherein said multi-bank memory comprises at least one redundant bank having at least one output data bit adapted to complete a word for a failing bank in said multi-bank memory.
33. The method of claim 32 comprising selecting said at least one multiplexer.
34. The method of claim 33 comprising shifting out at least one of said failing bits using a shifter block when said at least one multiplexer is selected, said shifter block having at least one input data bit and at least one output data bit.
35. The method of claim 34 wherein said multiplexer is a failing multiplexer.
36. The method of claim 35 comprising passing said at least one input data bit directly to said at least one output data bit using said shifter block when said failing multiplexer is not selected.
37. The method of claim 32 comprising accessing said sense amplifier.
38. The method of claim 32 comprising routing signals to said at least one multiplexer using a routing channel interfacing with at least said sense amplifier.
39. The method of claim 32, comprising passing signals to said at least one sense amplifier using said at least one transmission gate.
40. The method of claim 32 comprising routing m multiplexer signals using a channel router.
41. A method for operating a memory architecture having a global element and a self-timed local element, the self-timed local element having at least one multi-bank memory, the method comprising:
accessing failing bits in a multi-bank memory in the self-timed local element using at least one multiplexer, said multi-bank memory comprising at least one redundant bank having at least one output data bit adapted to complete a word for a failing bank in said multi-bank memory;
interfacing with a synchronous controlled global element using said at least one multiplexer;
accessing a sense amplifier; and
activating at least one transmission gate using multiplexer signals.
42. The method of claim 41 comprising selecting at least one multiplexer.
43. The method of claim 42 comprising accessing said sense amplifier.
44. The method of claim 42 comprising routing signals to said at least one multiplexer using a routing channel interfacing with at least said sense amplifier in said local element.
45. The method of claim 44, comprising passing signals to said at least one sense amplifier using said at least one transmission gate.
46. The method of claim 42 comprising shifting out at least one of said failing bits using a shifter block when said at least one multiplexer is selected, said shifter block having at least one input data bit and at least one output data bit.
47. The method of claim 46 wherein said multiplexer is a failing multiplexer.
48. The method of claim 47 comprising passing said at least one input data bit directly to said at least one output data bit using said shifter block when said failing multiplexer is not selected.
49. The method of claim 43 comprising routing m multiplexer signals using a channel router.
US11/064,218 2002-03-19 2005-02-23 Efficent column redundancy techniques Expired - Lifetime US7230872B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/064,218 US7230872B2 (en) 2002-03-19 2005-02-23 Efficent column redundancy techniques

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/100,757 US6646954B2 (en) 2001-02-02 2002-03-19 Synchronous controlled, self-timed local SRAM block
US10/177,286 US6862230B2 (en) 2002-03-19 2002-06-21 Efficient column redundancy techniques
US11/064,218 US7230872B2 (en) 2002-03-19 2005-02-23 Efficent column redundancy techniques

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/177,286 Continuation US6862230B2 (en) 2002-03-19 2002-06-21 Efficient column redundancy techniques

Publications (2)

Publication Number Publication Date
US20050141325A1 true US20050141325A1 (en) 2005-06-30
US7230872B2 US7230872B2 (en) 2007-06-12

Family

ID=29717861

Family Applications (2)

Application Number Title Priority Date Filing Date
US10/177,286 Expired - Lifetime US6862230B2 (en) 2002-03-19 2002-06-21 Efficient column redundancy techniques
US11/064,218 Expired - Lifetime US7230872B2 (en) 2002-03-19 2005-02-23 Efficent column redundancy techniques

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US10/177,286 Expired - Lifetime US6862230B2 (en) 2002-03-19 2002-06-21 Efficient column redundancy techniques

Country Status (2)

Country Link
US (2) US6862230B2 (en)
EP (1) EP1376609B1 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080144401A1 (en) * 2005-12-14 2008-06-19 Stmicroelectronics Pvt. Ltd. Self-timing read architecture for semiconductor memory and method for providing the same
US20230066241A1 (en) * 2021-08-27 2023-03-02 Qualcomm Incorporated Memory with Reduced Capacitance at a Sense Amplifier

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7415641B1 (en) * 2003-11-05 2008-08-19 Virage Logic Corp. System and method for repairing a memory
US7852391B2 (en) * 2004-12-14 2010-12-14 Bae Systems Information And Electronic Systems Integration Inc. Substitution of defective readout circuits in imagers
FR2888660B1 (en) * 2005-07-13 2007-10-05 St Microelectronics Sa COLUMN REDUNDANCY SYSTEM FOR INTEGRATED CIRCUIT MEMORY
US7359252B2 (en) * 2006-01-09 2008-04-15 Infineon Technologies Ag Memory data bus structure and method of transferring information with plural memory banks
JP5019579B2 (en) * 2007-01-18 2012-09-05 株式会社東芝 Semiconductor memory device
US8004926B2 (en) 2008-02-05 2011-08-23 Marvell World Trade Ltd. System and method for memory array decoding
US7969974B2 (en) * 2008-10-15 2011-06-28 Cisco Technology, Inc. System and method for providing a multipath switchover between redundant streams
CN110265074B (en) * 2018-03-12 2021-03-30 上海磁宇信息科技有限公司 Hierarchical multiple redundancy magnetic random access memory and operation method thereof

Citations (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5383156A (en) * 1993-03-23 1995-01-17 Mitsubishi Denki Kabushiki Kaisha Semiconductor device for permitting easy external detection of use of redundant circuit and semiconductor memory device therefore
US5424554A (en) * 1994-03-22 1995-06-13 Energy Kenitics, Inc. Oil-burner, flame-intensity, monitoring system and method of operation with an out of range signal discriminator
US5438546A (en) * 1994-06-02 1995-08-01 Intel Corporation Programmable redundancy scheme suitable for single-bit state and multibit state nonvolatile memories
US5446692A (en) * 1992-02-14 1995-08-29 Mitsubishi Denki Kabushiki Kaisha Semiconductor memory device having redundancy memory cells shared among memory blocks
US5497347A (en) * 1994-06-21 1996-03-05 Motorola Inc. BICMOS cache TAG comparator having redundancy and separate read an compare paths
US5506807A (en) * 1992-09-08 1996-04-09 Thomson-Csf Semiconducteurs Specifiques Memory circuit with redundancy
US5568432A (en) * 1993-12-24 1996-10-22 Mitsubishi Denki Kabushiki Kaisha Semiconductor memory device including redundancy memory cell remedying defective memory cell
US5673227A (en) * 1996-05-14 1997-09-30 Motorola, Inc. Integrated circuit memory with multiplexed redundant column data path
US5822268A (en) * 1997-09-11 1998-10-13 International Business Machines Corporation Hierarchical column select line architecture for multi-bank DRAMs
US6104648A (en) * 1999-02-12 2000-08-15 Mitsubishi Denki Kabushiki Kaisha Semiconductor memory device having a large band width and allowing efficient execution of redundant repair
US6373759B2 (en) * 2000-03-31 2002-04-16 Matsushita Electric Industrial Co., Ltd. SRAM device
US6411557B2 (en) * 2000-02-02 2002-06-25 Broadcom Corporation Memory architecture with single-port cell and dual-port (read and write) functionality
US6449182B1 (en) * 2001-01-17 2002-09-10 Mitsubishi Denki Kabushiki Kaisha Low-power semiconductor memory device
US6459630B2 (en) * 2000-03-28 2002-10-01 Kabushiki Kaisha Toshiba Semiconductor memory device having replacing defective columns with redundant columns
US6525963B2 (en) * 1999-04-09 2003-02-25 Infineon Technologies Ag Programmable read-only memory and method for operating the read-only memory
US6542421B2 (en) * 2000-06-05 2003-04-01 Fujitsu Limited Semiconductor memory device with redundancy circuit
US6567323B2 (en) * 2001-02-27 2003-05-20 Texas Instruments Incorporated Memory circuit redundancy control
US6724669B1 (en) * 2002-05-08 2004-04-20 Silicon Graphics, Inc. System and method for repairing a memory column

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001084776A (en) 1999-09-17 2001-03-30 Toshiba Corp Semiconductor memory

Patent Citations (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5446692A (en) * 1992-02-14 1995-08-29 Mitsubishi Denki Kabushiki Kaisha Semiconductor memory device having redundancy memory cells shared among memory blocks
US5506807A (en) * 1992-09-08 1996-04-09 Thomson-Csf Semiconducteurs Specifiques Memory circuit with redundancy
US5383156A (en) * 1993-03-23 1995-01-17 Mitsubishi Denki Kabushiki Kaisha Semiconductor device for permitting easy external detection of use of redundant circuit and semiconductor memory device therefore
US5568432A (en) * 1993-12-24 1996-10-22 Mitsubishi Denki Kabushiki Kaisha Semiconductor memory device including redundancy memory cell remedying defective memory cell
US5424554A (en) * 1994-03-22 1995-06-13 Energy Kenitics, Inc. Oil-burner, flame-intensity, monitoring system and method of operation with an out of range signal discriminator
US5438546A (en) * 1994-06-02 1995-08-01 Intel Corporation Programmable redundancy scheme suitable for single-bit state and multibit state nonvolatile memories
US5497347A (en) * 1994-06-21 1996-03-05 Motorola Inc. BICMOS cache TAG comparator having redundancy and separate read an compare paths
US5673227A (en) * 1996-05-14 1997-09-30 Motorola, Inc. Integrated circuit memory with multiplexed redundant column data path
US5822268A (en) * 1997-09-11 1998-10-13 International Business Machines Corporation Hierarchical column select line architecture for multi-bank DRAMs
US6104648A (en) * 1999-02-12 2000-08-15 Mitsubishi Denki Kabushiki Kaisha Semiconductor memory device having a large band width and allowing efficient execution of redundant repair
US6519192B2 (en) * 1999-02-12 2003-02-11 Mitsubishi Denki Kabushiki Kaisha Semiconductor memory device having a large band width and allowing efficient execution of redundant repair
US6525963B2 (en) * 1999-04-09 2003-02-25 Infineon Technologies Ag Programmable read-only memory and method for operating the read-only memory
US6411557B2 (en) * 2000-02-02 2002-06-25 Broadcom Corporation Memory architecture with single-port cell and dual-port (read and write) functionality
US6459630B2 (en) * 2000-03-28 2002-10-01 Kabushiki Kaisha Toshiba Semiconductor memory device having replacing defective columns with redundant columns
US6373759B2 (en) * 2000-03-31 2002-04-16 Matsushita Electric Industrial Co., Ltd. SRAM device
US6542421B2 (en) * 2000-06-05 2003-04-01 Fujitsu Limited Semiconductor memory device with redundancy circuit
US6449182B1 (en) * 2001-01-17 2002-09-10 Mitsubishi Denki Kabushiki Kaisha Low-power semiconductor memory device
US6567323B2 (en) * 2001-02-27 2003-05-20 Texas Instruments Incorporated Memory circuit redundancy control
US6724669B1 (en) * 2002-05-08 2004-04-20 Silicon Graphics, Inc. System and method for repairing a memory column

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080144401A1 (en) * 2005-12-14 2008-06-19 Stmicroelectronics Pvt. Ltd. Self-timing read architecture for semiconductor memory and method for providing the same
US7502272B2 (en) * 2005-12-14 2009-03-10 Stmicroelectronics Pvt. Ltd. Self-timing read architecture for semiconductor memory and method for providing the same
US20230066241A1 (en) * 2021-08-27 2023-03-02 Qualcomm Incorporated Memory with Reduced Capacitance at a Sense Amplifier
US11854609B2 (en) * 2021-08-27 2023-12-26 Qualcomm Incorporated Memory with reduced capacitance at a sense amplifier

Also Published As

Publication number Publication date
EP1376609B1 (en) 2013-10-30
US20030179643A1 (en) 2003-09-25
EP1376609A3 (en) 2008-07-16
US7230872B2 (en) 2007-06-12
US6862230B2 (en) 2005-03-01
EP1376609A2 (en) 2004-01-02

Similar Documents

Publication Publication Date Title
US9542997B2 (en) Memory architecture with local and global control circuitry
US7154810B2 (en) Synchronous controlled, self-timed local SRAM block
US8004912B2 (en) Block redundancy implementation in hierarchical rams
US7759970B2 (en) Hardware and software programmable fuses for memory repair
US7411847B2 (en) Burn in system and method for improved memory reliability
US7230872B2 (en) Efficent column redundancy techniques
US6760243B2 (en) Distributed, highly configurable modular predecoding

Legal Events

Date Code Title Description
STCF Information on status: patent grant

Free format text: PATENTED CASE

FPAY Fee payment

Year of fee payment: 4

FPAY Fee payment

Year of fee payment: 8

AS Assignment

Owner name: BANK OF AMERICA, N.A., AS COLLATERAL AGENT, NORTH CAROLINA

Free format text: PATENT SECURITY AGREEMENT;ASSIGNOR:BROADCOM CORPORATION;REEL/FRAME:037806/0001

Effective date: 20160201

Owner name: BANK OF AMERICA, N.A., AS COLLATERAL AGENT, NORTH

Free format text: PATENT SECURITY AGREEMENT;ASSIGNOR:BROADCOM CORPORATION;REEL/FRAME:037806/0001

Effective date: 20160201

AS Assignment

Owner name: AVAGO TECHNOLOGIES GENERAL IP (SINGAPORE) PTE. LTD., SINGAPORE

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:BROADCOM CORPORATION;REEL/FRAME:041706/0001

Effective date: 20170120

Owner name: AVAGO TECHNOLOGIES GENERAL IP (SINGAPORE) PTE. LTD

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:BROADCOM CORPORATION;REEL/FRAME:041706/0001

Effective date: 20170120

AS Assignment

Owner name: BROADCOM CORPORATION, CALIFORNIA

Free format text: TERMINATION AND RELEASE OF SECURITY INTEREST IN PATENTS;ASSIGNOR:BANK OF AMERICA, N.A., AS COLLATERAL AGENT;REEL/FRAME:041712/0001

Effective date: 20170119

AS Assignment

Owner name: AVAGO TECHNOLOGIES INTERNATIONAL SALES PTE. LIMITE

Free format text: MERGER;ASSIGNOR:AVAGO TECHNOLOGIES GENERAL IP (SINGAPORE) PTE. LTD.;REEL/FRAME:047196/0097

Effective date: 20180509

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 12TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1553); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 12

AS Assignment

Owner name: AVAGO TECHNOLOGIES INTERNATIONAL SALES PTE. LIMITE

Free format text: CORRECTIVE ASSIGNMENT TO CORRECT THE EXECUTION DATE PREVIOUSLY RECORDED AT REEL: 047196 FRAME: 0097. ASSIGNOR(S) HEREBY CONFIRMS THE MERGER;ASSIGNOR:AVAGO TECHNOLOGIES GENERAL IP (SINGAPORE) PTE. LTD.;REEL/FRAME:048555/0510

Effective date: 20180905