US20050042876A1 - Method of etching and etching apparatus - Google Patents

Method of etching and etching apparatus Download PDF

Info

Publication number
US20050042876A1
US20050042876A1 US10/938,789 US93878904A US2005042876A1 US 20050042876 A1 US20050042876 A1 US 20050042876A1 US 93878904 A US93878904 A US 93878904A US 2005042876 A1 US2005042876 A1 US 2005042876A1
Authority
US
United States
Prior art keywords
gas
flow rate
etching
equal
oxide film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/938,789
Other languages
English (en)
Inventor
Noriyuki Kobayashi
Kenji Adachi
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Assigned to TOKYO ELECTRON LIMITED reassignment TOKYO ELECTRON LIMITED ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: ADACHI, KENJI, KOBAYASHI, NORIYUKI
Publication of US20050042876A1 publication Critical patent/US20050042876A1/en
Priority to US11/780,797 priority Critical patent/US7943524B2/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching

Definitions

  • the present invention relates to an etching method and an etching apparatus, employed to a manufacturing process of a semiconductor device.
  • a self-alignment contact technology may be applied.
  • the contact hole 20 is formed in a self-aligning manner in a small and compact area between gates 12 while a protective film layer 14 such as a silicon nitride (SiN) film is formed on each gate 12 , thereby preventing the gate 12 from being etched in the course of forming the contact hole 20 .
  • a CF-based gas such as C 4 F 8
  • a gaseous mixture including O 2 is used as an etching gas for removing deposits.
  • the plasma etching employing the conventional processing gases as described above is disadvantageous in that as the aspect ratio of the contact hole becomes higher, because of the narrow gaps between the gates, the etching time becomes longer to prevent degradation of the capability to pierce an etching target and occurrence of an etching stop.
  • a large portion of a shoulder (edge) 14 a of the silicon nitride film, acting as a protective film layer formed on a surface of the gate 12 is extended into an inner space of the contact hole 20 to be formed through the silicon oxide film acting as the insulating film layer 16 . Therefore, the shoulder 14 a may be very readily etched.
  • the shoulder (edge) 14 a of the protective film layer 14 may be severely etched depending on etching selectivity of the insulating film layer 16 over the protective film layer 14 of the gate 12 , thereby rendering the gate 12 undesirably exposed thereto.
  • an object of the present invention is to provide a novel and improved etching method and etching apparatus thereof assuring an excellent controllability, in which etching selectivity of a silicon oxide film layer against a silicon nitride film layer of a gate can be increased.
  • the silicon nitride film layer, acting as a protective film layer of the gate can be strongly prevented from being etched while it becomes possible to form a contact hole with a high aspect ratio possible.
  • an etching method in which a processing gas is fed into an airtight processing chamber to generate a plasma therein and a silicon-containing oxide film formed on an object to be processed disposed in the processing chamber is selectively etched against a silicon nitride film, wherein the processing gas is a gaseous mixture including at least a fluorocarbon-based gas, a first deposit removing gas and a second deposit removing gas having a weaker deposit removing capability than the first deposit removing gas.
  • an etching apparatus in which a processing gas is fed into an airtight processing chamber to generate a plasma therein and a silicon-containing oxide film formed on an object to be processed disposed in the processing chamber is selectively etched against a silicon nitride film, wherein the processing gas is a gaseous mixture including at least a fluorocarbon-based gas, a first deposit removing gas and a second deposit removing gas having a weaker deposit removing capability than the first deposit removing gas.
  • an etching selectivity of the silicon-containing oxide film over the silicon nitride film is preferably set to a predetermined value by a ratio of a total flow rate of the first and the second deposit removing gases to a flow rate of the fluorocarbon-based gas, and a ratio of a flow rate of the second deposit removing gas to the flow rate of the fluorocarbon-based gas.
  • the silicon-containing oxide film is preferably a silicon oxide film
  • the fluorocarbon-based gas is C 4 F 6 gas
  • the first deposit removing gas is oxygen gas
  • the second deposit removing gas is nitrogen gas preferably.
  • the processing gas preferably includes an inert gas.
  • a ratio of a flow rate of the N 2 gas to a flow rate of the C 4 F 6 gas is preferably greater than or equal to about 25/8 and less than or equal to about 85/8, and a ratio of a total flow rate of the O 2 gas and the N 2 gas to the flow rate of the C 4 F 6 gas is preferably greater than or equal to about 15/4 and less than or equal to about 45/4.
  • the ratio of the flow rate of the N 2 gas to the flow rate of the C 4 F 6 gas is preferably greater than or equal to about 25/8 and less than or equal to about 85/8, and a ratio of the flow rate of the N 2 gas to the flow rate of the O 2 gas is preferably greater than or equal to about 5 and less than or equal to about 17.
  • an upper and a lower electrodes are installed opposite to face each other in the processing chamber, a first high frequency power is applied to the upper electrode, a frequency of a second high frequency power is applied to the lower electrode, a frequency of the second high frequency power being lower than that of the first high frequency power.
  • the frequency of the first high frequency power is about 60 MHz and that of the second high frequency power is about 2 MHz, preferably.
  • the silicon nitride film exists under the silicon oxide film, and the silicon oxide film is etched in a self-alignment contact process.
  • the present invention satisfies recent demands for improved integration of a semiconductor device and miniaturization of various elements formed on a semiconductor substrate.
  • 1 mTorr is equivalent to (10 ⁇ 3 ⁇ 101325/760) Pa and 1 sccm is equivalent to (10 ⁇ 6 /60) m 3 /sec.
  • FIG. 1 schematically illustrates an etching apparatus, to which an etching method in accordance with the present invention may be applied;
  • FIGS. 2 a and 2 b are sectional views of an objective substrate illustrating the etching of the objective substrate in accordance with the present invention
  • FIG. 3 is a graph showing the results of the etching of a silicon oxide film layer in accordance with the present invention.
  • FIG. 4 is a sectional view of an objective substrate illustrating the etching of the objective substrate in accordance with a conventional etching method.
  • FIG. 1 schematically illustrates a parallel plate type plasma etching apparatus, which is an embodiment of the etching apparatus in accordance with the present invention.
  • the plasma etching apparatus 100 includes a processing chamber 104 defined by a securely grounded processing vessel 102 , and a vertically movable lower electrode 106 included in a suscepter is installed in the processing chamber 104 .
  • An electrostatic chuck 110 connected to a high voltage DC power supply 108 is provided at an upper part of the lower electrode 106 and an object to be processed, for example a semiconductor wafer (hereinafter, referred to as “wafer”) W, is loaded on the electrostatic chuck 106 .
  • an insulating focus ring 112 is installed around the wafer W loaded on the lower electrode 106 .
  • the lower electrode 106 is connected to a second high frequency power supply 120 via a matching unit 118 .
  • an upper electrode 122 having a plurality of gas discharge openings 122 a is provided at a top of the processing chamber 104 , the top thereof facing against the lower electrode 106 .
  • An insulator 123 is interposed between the upper electrode 122 and the processing vessel 102 to electrically isolate the upper electrode 122 from the processing vessel 102 .
  • the upper electrode 122 is connected via a matching unit 119 to a first high frequency power supply 121 generating high frequency power to produce a plasma.
  • a second high frequency power is applied from the second high frequency power supply 120 to the lower electrode 106 , a frequency of the second high frequency power being lower than that of the first high frequency power generated from the first high frequency power supply 121 .
  • the frequency of the second high frequency power ranges from about 2 to about 13.56 MHz, and is preferably about 2 MHz.
  • a gas supply line 124 communicates with the gas discharge openings 122 a , wherein the gas supply line 124 is connected to a processing gas supplying system 126 a for supplying, for example, C 4 F 6 , a processing gas supplying system 126 b for supplying, for example, Ar, a processing gas supplying system 126 c for supplying, for example, N 2 , and a processing gas supplying system 126 d for supplying, for example, O 2 .
  • a processing gas supplying system 126 a for supplying, for example, C 4 F 6
  • a processing gas supplying system 126 b for supplying, for example, Ar
  • a processing gas supplying system 126 c for supplying, for example, N 2
  • a processing gas supplying system 126 d for supplying, for example, O 2 .
  • Each of the processing gas supplying systems 126 a , 126 b , 126 c and 126 d includes each of opening/closing valves 132 a , 132 b , 132 c and 132 d , respectively; includes each of flow rate controlling valves 134 a , 134 b , 134 c and 134 d , respectively; and are connected to a C 4 F 6 gas supply source 136 a , an Ar gas supply source 136 b , a N 2 gas supply source 136 c and an O 2 gas supply source 136 d , respectively.
  • a gas exhaust line 150 communicating with a vacuum exhaust unit is formed at a lower part of the processing vessel 102 and an inner space of the processing chamber 104 is maintained under reduced pressure by the vacuum exhaust unit.
  • FIG. 2A there is illustrated an example of layered structure of films, which will be etched in accordance with the present invention.
  • the layered structure of films is formed in accordance with the following procedure.
  • a gate 202 is formed on a silicon (Si) substrate 200 as a semiconductor substrate
  • a silicon nitride film layer 204 as a protective film layer is formed thereon in such a way that the gate 202 is coated over therewith.
  • a silicon oxide film layer 206 which includes SiO 2 and the like and acts as an insulating film layer, is formed on an entire surface of the resulting substrate by, for example, a chemical vapor deposition (CVD) process.
  • a photoresist film is applied on the silicon oxide film layer 206 and then patterned to form a contact hole 210 therethrough, thereby forming the photoresist layer 208 .
  • a processing gas which includes a C 4 F 6 gas as a fluorocarbon-based gas, an O 2 (oxygen) gas as a first deposit removing gas, a N 2 (nitrogen) gas as a second deposit removing gas and a gaseous mixture containing Ar, is introduced into the processing chamber 104 to conduct a plasma process, thereby performing the etching.
  • the C 4 F 6 gas is used as an etching gas
  • the Ar-containing gas is used as a dilution gas.
  • the O 2 and the N 2 gas are used to remove deposits generated by the etching.
  • N 2 gas is included as the gas for removing the deposits in addition to O 2 gas is because it is much easier to achieve a fine tuning in control over removing the deposits by controlling the flow rate of N 2 than controlling that of O 2 , since N 2 is much less powerful in removing the deposits than O 2 .
  • an amount of the deposits removed is increased as a flow rate of the deposit removing gas such as N 2 or O 2 , increases. In such a case, O 2 and N 2 have different deposit removing rates from each other.
  • an increase rate of the removed amount of deposit to an increase of its flow rate is a level of about ⁇ fraction (1/10) ⁇ - ⁇ fraction (1/20) ⁇ of that of O 2 .
  • a small increase in the flow rate of O 2 causes a big increase in the amount of the deposits removed, resulting in an excessive removal of the deposits.
  • N 2 which removes a relatively small amount of the deposit for an increase in its flow rate, is contained in the processing gas to readily control the amount of the removed deposit.
  • the processing gas does not contain O 2 but N 2 , and then since the N 2 gas has relatively poor deposit removing capability, the deposit is insufficiently removed, thereby rendering an etching stop occurring. Therefore, in the present invention, O 2 as well as N 2 is included in the processing gas.
  • N 2 has some deposit removing capability even though it is relatively poor, the etching selectivity of the silicon oxide film layer 206 over the silicon nitride film layer 204 cannot be made high, when its flow rate is excessively high. Therefore, it is necessary to properly control respective flow rates of gases, including N 2 .
  • a flow rate ratio of C 4 F 6 /Ar/O 2 (a flow rate of C 4 F 6 /a flow rate of Ar/a flow rate of O 2 ) was 16 sccm/800 sccm/10 sccm, temperatures of the lower and the upper electrodes in the processing chamber 104 were 40° C. and 60° C., respectively and a temperature of a sidewall of the processing chamber 104 was 50° C.
  • Pressures of a cooling gas as a back pressure gas (He gas) applied to the center and edges of a backside of the wafer were 5 Torr and 10 Torr, respectively.
  • the etching could be conducted under a 100% over-etching condition by only controlling the flow rate of N 2 .
  • the 100% over-etching condition means that the etching was performed for a time required to remove a layer that was twice as thick as the silicon oxide film layer 206 .
  • removal amounts t's of the shoulder (edge) 204 a of the silicon nitride film layers 204 were 112 nm, 118 nm and 134 nm, respectively, while selectivities of the silicon oxide film layer 206 over the shoulder (edge) 204 a of the silicon nitride film layers 204 [an etching rate of the silicon oxide film layer/an etching rate of the shoulder part (edge part) of the silicon nitride film layer] were 17.4, 15.9 and 12.6, respectively.
  • selectivities of the silicon oxide film layer 206 over the shoulder parts (edge part) of the photoresist layer 208 were 4.6, 5.2 and 5.0, respectively.
  • the removed amount of the shoulder (edge) 204 a of the silicon nitride film layer 204 was represented by a distance t between two straight lines which were inclined by an angle of 45 degrees with respect to the silicon substrate 200 and were drawn at the shoulder 204 a before and after, respectively, the silicon nitride film layer 204 was etched as shown in FIG. 2B .
  • a removed amount of a shoulder part (edge part) of the photoresist layer 208 was represented by a distance u between an upper surface of the photoresist layer 208 before the photoresist layer 208 was etched and a point, at which an etched portion of the photoresist layer 208 met a wall of the contact hole after the photoresist layer 208 was etched as shown in FIG. 2B .
  • the removal amounts t's of the shoulder (edge) 204 a of the silicon nitride film layers 204 were 84 nm, 73 nm and 84 nm, respectively, while the selectivities of the silicon oxide film layer 206 over the shoulder (edge) 204 a of the silicon nitride film layers 204 [an etching rate of the silicon oxide film layer/an etching rate of the shoulder part (edge part) of the silicon nitride film layer] were 23.1, 26.0 and 20.5, respectively.
  • the selectivities of the silicon oxide film layer 206 over the shoulder parts (edge part) of the photoresist layer 208 were 5.2, 7.8 and 7.8, respectively.
  • the flow rate of N 2 was 100 sccm
  • the flow rate ratio of C 4 F 6 /Ar/N 2 /O 2 (the flow rate of C 4 F 6 /the flow rate of Ar/the flow rate of N 2 /the flow rate of O 2 ) was 16 sccm/800 sccm/100 sccm/10 sccm
  • the etching rates of the silicon oxide film layer at the center portion, at the intermediate portion between the end portion and the center portion and at the end portion of the wafer W were 539.3 nm/min, 524.0 nm/min, and 500.0 nm/min, respectively.
  • the removed amounts t's of the shoulder (edge) 204 a of the silicon nitride film layers 204 were 47 nm, 51 nm and 65 nm, respectively, while the selectivities of the silicon oxide film layer 206 over the shoulder (edge) 204 a of the silicon nitride film layers 204 [an etching rate of the silicon oxide film layer/an etching rate of the shoulder part (edge part) of the silicon nitride film layer] were 41.3, 36.4 and 26.6, respectively.
  • the selectivities of the silicon oxide film layer 206 over the shoulder parts (edge part) of the photoresist layer 208 were 6.6, 6.8 and 10.0, respectively.
  • a horizontal axis represents the flow rate of the N 2 gas and vertical axes correspond to the etching rate and selectivity of the silicon oxide film layer, respectively. Further, averages of the values at the center portion, at the intermediate portion between the end portion and the center portion, and at the end portion of the wafer W are taken and plotted in FIG. 3 .
  • a line y 1 is a graph showing an interrelation between the flow rate of N 2 and the etching rate of the silicon oxide film layer
  • a line y 2 is a graph showing an interrelation between the flow rate of N 2 and the selectivity of the silicon oxide film layer over the shoulder part of the photoresist layer
  • a line y 3 is a graph showing an interrelation between the flow rate of N 2 and the selectivity of the silicon oxide film layer over the shoulder part of the silicon nitride film layer.
  • the desirable flow rate of N 2 is preferably within a range at which the selectivity of the silicon oxide film layer over the silicon nitride film layer is greater than or equal to about 20.0, and more preferably, within a range at which the selectivity of the silicon oxide film layer over the silicon nitride film layer is greater than or equal to about 30.0, practically.
  • the flow rate of N 2 is preferably less than or equal to about 170 sccm, and more preferably, less than or equal to about 120 sccm as shown in the graph y 3 . At this time, when the flow rate of N 2 becomes excessively low, the etching stop occurs.
  • the flow rate of N 2 is at least more than or equal to about 50 sccm. Resultantly, the flow rate of N 2 is preferably greater than or equal to about 50 sccm and less than or equal to about 170 sccm, and more preferably greater than or equal to about 80 sccm and less than or equal to about 120 sccm.
  • a ratio of the flow rate of N 2 to the flow rate of C 4 F 6 is preferably greater than or equal to about 50/16 and less than or equal to about 170/16 (i.e. greater than or equal to about 25/8 and less than or equal to about 85/8), and more preferably greater than or equal to about 80/16 and less than or equal to about 120/16 (i.e. greater than or equal to about 10/2 and less than or equal to about 15/2).
  • a ratio of the flow rate of gaseous mixture, which contains N 2 and O 2 , acting as the deposit removing gas to the flow rate of C 4 F 6 , acting as the etching gas is preferably greater than or equal to about 60/16 and less than or equal to about 180/16 (greater than or equal to about 15/4 and less than or equal to about 45/4), and more preferably greater than or equal to about 90/16 and less than or equal to about 130/16 (greater than or equal to about 45/8 and less than or equal to about 65/8).
  • a ratio of the flow rate of N 2 to the flow rate of O 2 is preferably greater than or equal to about 50/10 and less than or equal to about 170/10 (greater than or equal to about 5 and less than or equal to about 17), and more preferably greater than or equal to about 80/10 and less than or equal to about 120/10 (greater than or equal to about 8 and less than or equal to about 12).
  • the contact hole 210 may be formed in a self-aligning manner between the gates 202 while the silicon nitride film layer 204 , acting as the protective film layer of the gates 202 , is prevented from being etched as shown in FIG. 2B .
  • test results for the conventional etching method are presented for a comparison with the test results by the etching method in accordance with the present invention.
  • the conventional etching was conducted under conditions where the high frequency power applied to the upper electrode 122 was 1500 W at 60 MHz, the high frequency power (bias power) applied to the lower electrode 106 was about 1300 W at about 2 MHz, a flow rate ratio of C 5 F 8 /Ar/O 2 (a flow rate of C 5 F 8 /a flow rate of Ar/a flow rate of O 2 ) was about 16 sccm/800 sccm/18 sccm, temperatures of the lower and the upper electrodes in the processing chamber 104 were about 40° C.
  • He gas cooling gas
  • a back pressure gas applied to the center and edges of the backside of the wafer were about 5 Torr and 10 Torr, respectively.
  • the following results are average values of respective values measured at the center portion, at the intermediate portion between the end portion and the center portion, and at the end portion of the wafer W.
  • the etching rate of the silicon oxide film layer was about 500 nm/min, a removed amount t of the etched shoulder (edge) 204 a of the silicon nitride film layer 204 was about 8 nm. Additionally, the selectivity of the silicon oxide film layer 206 over the shoulder (edge) 204 a of the silicon nitride film layer 204 [the etching rate of the silicon oxide film layer/the etching rate of the shoulder (edge) of the silicon nitride film layer] was about 20.0.
  • the selectivity of the silicon oxide film layer 206 against the shoulder (edge) 204 a of the photoresist layer 208 was about 6.0.
  • N 2 is added to the processing gas to select the desired flow rate ratio, thereby preventing the etching stop from occurring and at the same time increasing the etching rate of the silicon oxide film layer 206 , acting as the protective film layer.
  • the silicon oxide film layer 206 acts as the protective film layer of the gates 202 . Therefore, the silicon nitride film layer 204 , acting as the protective film layer of the gates 202 , is prevented from being etched, thereby capable of forming the contact hole 210 with an improved aspect ratio.
  • silicon oxide film layer 206 as the silicon-containing oxide film acting as the insulating film layer in the present invention, however an inorganic low-k film, such as a carbon-added silicate (SiOC) film, a hydrogen-added silicate (SIOH) film and a fluorine-added silicate (SiOF) film, may be used instead of the silicon oxide film.
  • SiOC carbon-added silicate
  • SIOH hydrogen-added silicate
  • SiOF fluorine-added silicate
  • the silicon oxide film may be exemplified by a borophosphosilicate glass (BPSG) film, a phosphosilicate glass (PSG) film, a tetraethoxy orthosilane (TEOS) film, a thermal oxide (Th-OX) film or a spin on glass (SOG) film.
  • BPSG borophosphosilicate glass
  • PSG phosphosilicate glass
  • TEOS tetraethoxy orthosilane
  • Thi-OX thermal oxide
  • SOG spin on glass
  • C 4 F 6 gas is used as fluorocarbon-based gas which rendered to be included in the processing gas, but C 5 F 8 gas and the like may be used as the fluorocarbon-based gas.
  • the high frequency power with the frequency of about 60 Hz is applied to the upper electrode of the etching apparatus and the high frequency power with the frequency of about 2 MHz is applied to the lower electrode, however the present invention is not limited to what is explained above, and the high frequency power may be applied to the upper or the lower electrodes of the etching apparatus, or a magnetic field may be formed around the upper and/or the lower electrode.
  • the etching apparatus in which the high frequency power with the frequency of about 60 Hz is applied to the upper electrode and the high frequency power with the frequency of about 2 MHz is applied to the lower electrode, because the high frequency power applied to the upper electrode serves to control a plasma density and the high frequency power applied to the lower electrode functions to control an ion energy. Consequently, it is controllable, i.e., to attach and remove reaction products (deposits), affecting the etching selectivity.
  • the etching apparatus may be embodied by an ECR plasma etching apparatus, a helicon wave plasma etching apparatus, a TCP type plasma etching apparatus, and an inductively coupled plasma etching apparatus.
  • N 2 is added to the processing gas and the flow rate of N 2 is desirably selected to prevent the etching from stopping, to increase the etching rate of the silicon oxide film layer, acting as the insulating film layer, and to improve the etching selectivity of the silicon oxide film layer over the silicon nitride film layer, acting as the protective film layer of the gates. Therefore, the silicon nitride film, acting as the protective film layer of the gates, is prevented from being etched and the contact hole with the high aspect ratio may be stably formed securely while assuring an excellent controllability.

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
US10/938,789 2002-03-12 2004-09-13 Method of etching and etching apparatus Abandoned US20050042876A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/780,797 US7943524B2 (en) 2002-03-12 2007-07-20 Method of etching and etching apparatus

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2002-66343 2002-03-12
JP2002066343A JP4153708B2 (ja) 2002-03-12 2002-03-12 エッチング方法
PCT/JP2003/002870 WO2003077301A1 (fr) 2002-03-12 2003-03-11 Procede de gravure et appareil de gravure

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2003/002870 Continuation WO2003077301A1 (fr) 2002-03-12 2003-03-11 Procede de gravure et appareil de gravure

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US11/780,797 Division US7943524B2 (en) 2002-03-12 2007-07-20 Method of etching and etching apparatus

Publications (1)

Publication Number Publication Date
US20050042876A1 true US20050042876A1 (en) 2005-02-24

Family

ID=27800249

Family Applications (2)

Application Number Title Priority Date Filing Date
US10/938,789 Abandoned US20050042876A1 (en) 2002-03-12 2004-09-13 Method of etching and etching apparatus
US11/780,797 Expired - Fee Related US7943524B2 (en) 2002-03-12 2007-07-20 Method of etching and etching apparatus

Family Applications After (1)

Application Number Title Priority Date Filing Date
US11/780,797 Expired - Fee Related US7943524B2 (en) 2002-03-12 2007-07-20 Method of etching and etching apparatus

Country Status (5)

Country Link
US (2) US20050042876A1 (ja)
JP (1) JP4153708B2 (ja)
AU (1) AU2003211593A1 (ja)
TW (1) TW200305216A (ja)
WO (1) WO2003077301A1 (ja)

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140045340A1 (en) * 2012-08-13 2014-02-13 Spts Technologies Limited Method and apparatus for processing a semiconductor workpiece
US9034199B2 (en) 2012-02-21 2015-05-19 Applied Materials, Inc. Ceramic article with reduced surface defect density and process for producing a ceramic article
US9090046B2 (en) 2012-04-16 2015-07-28 Applied Materials, Inc. Ceramic coated article and process for applying ceramic coating
US9212099B2 (en) 2012-02-22 2015-12-15 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
US20160086814A1 (en) * 2013-04-19 2016-03-24 Tokyo Electron Limited Etching method
US9343289B2 (en) 2012-07-27 2016-05-17 Applied Materials, Inc. Chemistry compatible coating material for advanced device on-wafer particle performance
KR20170006278A (ko) * 2015-07-07 2017-01-17 도쿄엘렉트론가부시키가이샤 에칭 방법
US9604249B2 (en) 2012-07-26 2017-03-28 Applied Materials, Inc. Innovative top-coat approach for advanced device on-wafer particle performance
US9748366B2 (en) 2013-10-03 2017-08-29 Applied Materials, Inc. Etching oxide-nitride stacks using C4F6H2
US9865434B2 (en) 2013-06-05 2018-01-09 Applied Materials, Inc. Rare-earth oxide based erosion resistant coatings for semiconductor application
US10501843B2 (en) 2013-06-20 2019-12-10 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US11047035B2 (en) 2018-02-23 2021-06-29 Applied Materials, Inc. Protective yttria coating for semiconductor equipment parts

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8129282B2 (en) * 2006-07-19 2012-03-06 Tokyo Electron Limited Plasma etching method and computer-readable storage medium
KR101276258B1 (ko) * 2011-11-21 2013-06-20 피에스케이 주식회사 반도체 제조 장치 및 반도체 제조 방법
JP6096470B2 (ja) * 2012-10-29 2017-03-15 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置

Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5948701A (en) * 1997-07-30 1999-09-07 Chartered Semiconductor Manufacturing, Ltd. Self-aligned contact (SAC) etching using polymer-building chemistry
US6040223A (en) * 1999-08-13 2000-03-21 Taiwan Semiconductor Manufacturing Company Method for making improved polysilicon FET gate electrodes having composite sidewall spacers using a trapezoidal-shaped insulating layer for more reliable integrated circuits
US6117786A (en) * 1998-05-05 2000-09-12 Lam Research Corporation Method for etching silicon dioxide using fluorocarbon gas chemistry
US6217786B1 (en) * 1998-12-31 2001-04-17 Lam Research Corporation Mechanism for bow reduction and critical dimension control in etching silicon dioxide using hydrogen-containing additive gases in fluorocarbon gas chemistry
US20020001963A1 (en) * 2000-07-03 2002-01-03 Masahiro Tadokoro Fabrication method of semiconductor integrated circuit device
US20020142610A1 (en) * 2001-03-30 2002-10-03 Ting Chien Plasma etching of dielectric layer with selectivity to stop layer
US6544429B1 (en) * 1999-03-25 2003-04-08 Applied Materials Inc. Enhancement of silicon oxide etch rate and substrate selectivity with xenon addition
US6602434B1 (en) * 1998-03-27 2003-08-05 Applied Materials, Inc. Process for etching oxide using hexafluorobutadiene or related fluorocarbons and manifesting a wide process window
US6617253B1 (en) * 1999-07-20 2003-09-09 Samsung Electronics Co., Ltd. Plasma etching method using polymer deposition and method of forming contact hole using the plasma etching method
US6797189B2 (en) * 1999-03-25 2004-09-28 Hoiman (Raymond) Hung Enhancement of silicon oxide etch rate and nitride selectivity using hexafluorobutadiene or other heavy perfluorocarbon
US6849193B2 (en) * 1999-03-25 2005-02-01 Hoiman Hung Highly selective process for etching oxide over nitride using hexafluorobutadiene

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6151931A (en) * 1998-12-18 2000-11-28 Voith Sulzer Paper Technology North America, Inc. Effluent shower for pulp washer
JP4566373B2 (ja) * 2000-09-21 2010-10-20 東京エレクトロン株式会社 酸化膜エッチング方法
US7311852B2 (en) * 2001-03-30 2007-12-25 Lam Research Corporation Method of plasma etching low-k dielectric materials

Patent Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5948701A (en) * 1997-07-30 1999-09-07 Chartered Semiconductor Manufacturing, Ltd. Self-aligned contact (SAC) etching using polymer-building chemistry
US6602434B1 (en) * 1998-03-27 2003-08-05 Applied Materials, Inc. Process for etching oxide using hexafluorobutadiene or related fluorocarbons and manifesting a wide process window
US6117786A (en) * 1998-05-05 2000-09-12 Lam Research Corporation Method for etching silicon dioxide using fluorocarbon gas chemistry
US6217786B1 (en) * 1998-12-31 2001-04-17 Lam Research Corporation Mechanism for bow reduction and critical dimension control in etching silicon dioxide using hydrogen-containing additive gases in fluorocarbon gas chemistry
US6544429B1 (en) * 1999-03-25 2003-04-08 Applied Materials Inc. Enhancement of silicon oxide etch rate and substrate selectivity with xenon addition
US6797189B2 (en) * 1999-03-25 2004-09-28 Hoiman (Raymond) Hung Enhancement of silicon oxide etch rate and nitride selectivity using hexafluorobutadiene or other heavy perfluorocarbon
US6849193B2 (en) * 1999-03-25 2005-02-01 Hoiman Hung Highly selective process for etching oxide over nitride using hexafluorobutadiene
US6617253B1 (en) * 1999-07-20 2003-09-09 Samsung Electronics Co., Ltd. Plasma etching method using polymer deposition and method of forming contact hole using the plasma etching method
US6040223A (en) * 1999-08-13 2000-03-21 Taiwan Semiconductor Manufacturing Company Method for making improved polysilicon FET gate electrodes having composite sidewall spacers using a trapezoidal-shaped insulating layer for more reliable integrated circuits
US20020001963A1 (en) * 2000-07-03 2002-01-03 Masahiro Tadokoro Fabrication method of semiconductor integrated circuit device
US6838388B2 (en) * 2000-07-03 2005-01-04 Renesas Technology Corp. Fabrication method of semiconductor integrated circuit device
US20020142610A1 (en) * 2001-03-30 2002-10-03 Ting Chien Plasma etching of dielectric layer with selectivity to stop layer

Cited By (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10336656B2 (en) 2012-02-21 2019-07-02 Applied Materials, Inc. Ceramic article with reduced surface defect density
US9034199B2 (en) 2012-02-21 2015-05-19 Applied Materials, Inc. Ceramic article with reduced surface defect density and process for producing a ceramic article
US9212099B2 (en) 2012-02-22 2015-12-15 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
US11279661B2 (en) 2012-02-22 2022-03-22 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating
US10364197B2 (en) 2012-02-22 2019-07-30 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating
US9090046B2 (en) 2012-04-16 2015-07-28 Applied Materials, Inc. Ceramic coated article and process for applying ceramic coating
US9604249B2 (en) 2012-07-26 2017-03-28 Applied Materials, Inc. Innovative top-coat approach for advanced device on-wafer particle performance
US9343289B2 (en) 2012-07-27 2016-05-17 Applied Materials, Inc. Chemistry compatible coating material for advanced device on-wafer particle performance
KR20140021982A (ko) * 2012-08-13 2014-02-21 에스피티에스 테크놀러지스 리미티드 반도체 제품 가공 방법 및 장치
US8728953B2 (en) * 2012-08-13 2014-05-20 Spts Technologies Limited Method and apparatus for processing a semiconductor workpiece
KR102122100B1 (ko) 2012-08-13 2020-06-11 에스피티에스 테크놀러지스 리미티드 반도체 제품 가공 방법 및 장치
US20140045340A1 (en) * 2012-08-13 2014-02-13 Spts Technologies Limited Method and apparatus for processing a semiconductor workpiece
US9691630B2 (en) * 2013-04-19 2017-06-27 Tokyo Electron Limited Etching method
US20160086814A1 (en) * 2013-04-19 2016-03-24 Tokyo Electron Limited Etching method
US9865434B2 (en) 2013-06-05 2018-01-09 Applied Materials, Inc. Rare-earth oxide based erosion resistant coatings for semiconductor application
US10734202B2 (en) 2013-06-05 2020-08-04 Applied Materials, Inc. Rare-earth oxide based erosion resistant coatings for semiconductor application
US10501843B2 (en) 2013-06-20 2019-12-10 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US11053581B2 (en) 2013-06-20 2021-07-06 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US11680308B2 (en) 2013-06-20 2023-06-20 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US9748366B2 (en) 2013-10-03 2017-08-29 Applied Materials, Inc. Etching oxide-nitride stacks using C4F6H2
KR20170006278A (ko) * 2015-07-07 2017-01-17 도쿄엘렉트론가부시키가이샤 에칭 방법
KR102589406B1 (ko) 2015-07-07 2023-10-13 도쿄엘렉트론가부시키가이샤 에칭 방법
US11047035B2 (en) 2018-02-23 2021-06-29 Applied Materials, Inc. Protective yttria coating for semiconductor equipment parts

Also Published As

Publication number Publication date
TW200305216A (en) 2003-10-16
US20080014749A1 (en) 2008-01-17
JP4153708B2 (ja) 2008-09-24
US7943524B2 (en) 2011-05-17
JP2003264178A (ja) 2003-09-19
TWI292188B (ja) 2008-01-01
AU2003211593A1 (en) 2003-09-22
WO2003077301A1 (fr) 2003-09-18

Similar Documents

Publication Publication Date Title
US7943524B2 (en) Method of etching and etching apparatus
US6399511B2 (en) Plasma etch process in a single inter-level dielectric etch
US6465359B2 (en) Etchant for use in a semiconductor processing method and system
US6387287B1 (en) Process for etching oxide using a hexafluorobutadiene and manifesting a wide process window
US6602434B1 (en) Process for etching oxide using hexafluorobutadiene or related fluorocarbons and manifesting a wide process window
US6284149B1 (en) High-density plasma etching of carbon-based low-k materials in a integrated circuit
US10304725B2 (en) Manufacturing methods to protect ULK materials from damage during etch processing to obtain desired features
US6506674B2 (en) Method of manufacturing a semiconductor integrated circuit device
US6583065B1 (en) Sidewall polymer forming gas additives for etching processes
US7125806B2 (en) Etching method
JPH04239723A (ja) 半導体装置の製造方法
US6849193B2 (en) Highly selective process for etching oxide over nitride using hexafluorobutadiene
KR20050024244A (ko) 박막 형성 방법
US6432832B1 (en) Method of improving the profile angle between narrow and wide features
US6217786B1 (en) Mechanism for bow reduction and critical dimension control in etching silicon dioxide using hydrogen-containing additive gases in fluorocarbon gas chemistry
US7247252B2 (en) Method of avoiding plasma arcing during RIE etching
US5849641A (en) Methods and apparatus for etching a conductive layer to improve yield
US11335565B2 (en) Systems and methods to form airgaps
US7300881B2 (en) Plasma etching method
KR101276043B1 (ko) 아산화질소를 사용하는 에치백 프로세스
US9384979B2 (en) Apparatus for the deposition of a conformal film on a substrate and methods therefor
KR100702729B1 (ko) 유도-연결된 플라즈마 공정 시스템에서 고애스펙트비의미세 접점 에칭 공정
JP2000200786A (ja) 絶縁膜の形成方法
US6844264B2 (en) Dry etching method
US20030153193A1 (en) Etching method

Legal Events

Date Code Title Description
AS Assignment

Owner name: TOKYO ELECTRON LIMITED, JAPAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:KOBAYASHI, NORIYUKI;ADACHI, KENJI;REEL/FRAME:015796/0108

Effective date: 20040826

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION