US20050013937A1 - Thermal gradient enhanced CVD deposition at low pressure - Google Patents

Thermal gradient enhanced CVD deposition at low pressure Download PDF

Info

Publication number
US20050013937A1
US20050013937A1 US10/918,498 US91849804A US2005013937A1 US 20050013937 A1 US20050013937 A1 US 20050013937A1 US 91849804 A US91849804 A US 91849804A US 2005013937 A1 US2005013937 A1 US 2005013937A1
Authority
US
United States
Prior art keywords
substrate
thermal
deposition
temperature
thermal plates
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/918,498
Inventor
Robert Cook
Daniel Brors
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Individual
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US08/909,461 external-priority patent/US6352593B1/en
Priority claimed from US09/228,840 external-priority patent/US6321680B2/en
Priority claimed from US09/228,835 external-priority patent/US6167837B1/en
Priority claimed from US09/396,588 external-priority patent/US6287635B1/en
Application filed by Individual filed Critical Individual
Priority to US10/918,498 priority Critical patent/US20050013937A1/en
Publication of US20050013937A1 publication Critical patent/US20050013937A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67748Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/24Deposition of silicon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45572Cooled nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • C23C16/481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation by radiant heating of the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32733Means for moving the material to be treated
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02373Group 14 semiconducting materials
    • H01L21/02381Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02658Pretreatments
    • H01L21/02661In-situ cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67754Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a batch of workpieces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/20Positioning, supporting, modifying or maintaining the physical state of objects being observed or treated
    • H01J2237/2001Maintaining constant desired temperature

Definitions

  • the present invention relates to methods and apparatus for chemical vapor deposition onto a substrate, and more particularly to a method that deposits silicon at a high rate due to enhanced mass transport by thermal diffusion, i.e., the “Soret effect”, by using a temperature gradient above the substrate surface.
  • the semiconductor industry has been depositing poly crystalline silicon for a number of years.
  • the method of choice for most applications is a Low Pressure Chemical Vapor Deposition (LPCVD) process.
  • LPCVD Low Pressure Chemical Vapor Deposition
  • the LPCVD process is a well studied art wherein poly crystalline silicon deposition is accomplished by placing a substrate in a vacuum chamber, heating the substrate and introducing silane or any similar precursor such as disilane, dichiorosilane, silicon tetrachloride and the like, with or without other gases.
  • the reactant gases are usually pre-heated prior to passing over a wafer when a rapid deposition is required.
  • the pre-heating pre-activates the reactants and increases the rate of subsequent deposition.
  • a disadvantage of this process is that it causes gas reactions that deplete the supply of available reactants which partially defeats the effect of pre-activation in increasing the deposition rate.
  • Deposition rates of approximately 10 to 100 angstroms per minute are typical for low-pressure processes (less than 1 Torr) in a hot wall low pressure reactor.
  • Deposition rates of 20 to 300 angstroms per minute are achieved in a vertical flow reactor with deposition rates as high as 500 angstroms per minute.
  • Silicon deposition rates over 10,000 angstroms per minute have been reported, however these high deposition rates do not produce poly crystalline silicon films that are useful in manufacturing semiconductor devices because the resulting poly crystalline silicon has undesirable features such as large grain size, non uniform thickness, etc.
  • Deposition rates of approximately 3000 angstroms per minute of useful semiconductor quality poly crystalline silicon are achieved with a higher pressure process (25 to 350 Torr) as described in detail in U.S. Pat. No. 5,607,724.
  • FIG. 1 A typical prior art CVD system is illustrated in FIG. 1 , and includes a reaction chamber 12 having a quartz tube 14 .
  • the chamber is enclosed on a first end by a seal plate 16 that can be removed for installation and removal of a boat 18 carrying substrates 20 .
  • a reactant gas 22 such as silane or similar precursor and hydrogen and a dopant gas such as phosphine are supplied to the chamber 12 through ports 24 and 25 to tubing 27 and flow through the chamber 12 and exit the exhaust port 26 .
  • a plurality of heater elements 28 are separately controlled and adjustable to compensate for the well known depletion of feed gas concentration as the gas 22 flows from the gas injection tube 27 to the exhaust port 26 .
  • the reactant gas is usually silane diluted with hydrogen.
  • silane or other similar precursor, results in a low deposition rate, typically in the range of 10 to 100 angstroms per minute, and 5 to 30 angstroms per minute if a dopant gas is introduced.
  • the resulting surface roughness is typically 10-15 nm.
  • Operation at higher concentrations of the reactant gases results in non-uniform deposition across the substrates, as well as large differences in the deposition rate from substrate to substrate.
  • Increasing the gas flow rate in the chamber of FIG. 1 can improve deposition uniformity at higher pressures, but has the disadvantage of increasing the gas pressure resulting in gas phase nucleation causing particles to be deposited on the substrate.
  • FIG. 2 A prior art vertical flow reactor 30 is illustrated in FIG. 2 .
  • This reactor is capable of deposition rates as high as 500 angstroms per minute.
  • the substrates 32 are placed in a substrate carrier 34 in the reactor 30 .
  • the reactor chamber 36 is confined by a quartz bell jar 38 and a seal plate 40 .
  • the bell jar 38 is surrounded by a heater 42 for heating the substrates 32 to the required temperature.
  • the reactant gases such as silane and hydrogen are introduced through ports 44 and 46 , and flow through the gas injection tube 48 to the injector 50 , across the substrate 32 and out the exhaust port 52 .
  • the arrangement of FIG. 2 greatly reduces the gas depletion effect experienced with the device of FIG.
  • the injection tube 48 and injector 50 are at the same temperature as the substrates 32 , a condition that results in silicon deposition in and on the injection tube 48 and injector 50 , which then flakes off and is deposited as particles on the substrate 32 .
  • the other major problem is that the substrates 32 are not at the same temperature due to the method of heating the substrates from heater 42 with no heater below the substrates. The non-uniform heating causes a non-uniform silicon deposition over the substrates 32 as poly crystalline silicon deposition is a surface reaction rate limited process, which is very temperature dependent.
  • FIG. 3 shows a prior art single substrate reactor 54 that overcomes some of the problems associated with the reactors of FIGS. 1 and 2 .
  • a substrate 56 is placed on a rotating pedestal 58 in chamber 54 .
  • Upper lamps 62 and lower lamps 63 radiate energy through transparent chamber walls 64 and 66 to uniformly heat the substrate 56 .
  • the pedestal 58 is turned to rotate the substrate 56 , which is heated on both sides by the lamps.
  • the substrate temperature is therefore uniform over its surface, which results in a uniform poly crystalline silicon deposition on the substrate 56 .
  • the reactor 54 does not have an injector in the chamber, which eliminates the problem of deposition on the injector 50 shown in FIG. 2 .
  • the reactant gas 67 is supplied through an inlet port 68 and exits exhaust port 70 .
  • the major problem associated with the reactor of FIG. 3 is the limited throughput, i.e. the number of substrates processed per hour. This problem can be addressed by increasing the operating pressure to 10 Torr or greater resulting in high deposition rates exceeding 1000 angstroms per minute, however operating the reactor at such high pressures can result in a gas phase reaction where silicon particles are formed in the gas and deposited on the substrate.
  • Another problem associated with the reactor is the tendency for silicon deposition on the quartz walls 64 , 66 resulting in loss of radiant energy transmission from the lamps 62 , 63 . This causes non-uniform heating of the substrate resulting in non-uniform film deposition on the substrate 56 . Additionally, the silicon deposited on quartz wall 64 can flake off and fall onto the substrate surface 56 .
  • CVD Chemical Vapor Deposition
  • a preferred embodiment of the present invention includes a method wherein a substrate is placed in a reaction chamber and rotated to ensure uniform heating and a uniform flow of reactant gases over the substrate surface.
  • Upper lamps positioned above the substrate and lower lamps below the substrate are activated to apply heat to an upper thermal plate and a lower thermal plate which in turn heat the wafer upper and lower surfaces.
  • the upper and lower lamps are operated to raise the substrate temperature to 500-700° C. for silicon deposition, or any other temperature required for the deposition of other materials, and to provide a heat gradient between the upper and lower thermal plates and thus cause a thermal gradient between the upper substrate deposition surface and the upper thermal plate. This heat gradient causes a large increase in the deposition rate for a given reactant gas flow rate and chamber pressure.
  • the preferred parameters for implementation of the present invention include the temperature of the upper thermal plate adjusted to be 100-200° C. above the temperature of the lower thermal plate, the substrate temperature in the range of 400-700° C., the reactant gas pressure between 250 and 1000 mTorr, and the gas flow rate in the range of 200-800 sccm.
  • the substrate rotation is approximately 5 RPM, however the speed of rotation is not critical. For example, a deposition rate of about 2000 angstroms per minute is achieved with a 100-200° C. temperature differential between the thermal plates, substrate temperature about 650° C., pressure of 250 mTorr and silane flow of 500 sccm.
  • An advantage of the present invention is that it provides a higher deposition rate CVD method with good film quality.
  • a further advantage of the present invention is that it provides a CVD deposition method with a deposition rate five times more rapid than prior art methods providing comparable film quality.
  • FIG. 1 illustrates a prior art multi-substrate horizontal tube reactor
  • FIG. 2 illustrates a prior art multi-substrate vertical flow reactor
  • FIG. 3 shows an improved single substrate reactor with a rotatable pedestal
  • FIG. 4 is a flow chart of the method of the present invention.
  • FIG. 5 is a cross-sectional view of a single substrate reactor for use in illustrating the method of the present invention
  • FIG. 6 is a graph of silicon deposition as a function of substrate temperature with and without application of a temperature gradient
  • FIG. 7 is a graph of silicon deposition as a function of silane flow
  • FIG. 8 is a graph of silicon nitride deposition as a function of silane flow.
  • FIG. 9 is a graph of silicon nitride deposition as a function of pressure.
  • a substrate is placed 72 on a carrier in a reactor chamber, and the carrier and therefore substrate are rotated 74 .
  • the substrate is heated 76 to a temperature to cause CVD, preferably between 500° C. and 700° C., the heat being supplied by an upper heater, heating an upper thermal plate positioned above the substrate top surface and a lower heater, heating a lower thermal plate below the substrate bottom surface.
  • the temperatures of the upper and lower heaters are displaced to provide a thermal gradient between the thermal plates, with the upper thermal plate preferably set approximately 100-200° C. above the temperature of the lower thermal plate.
  • the thermal gradient is not critical, but preferably in the range of 50-100° C.
  • FIG. 5 An apparatus for achieving this will be described in reference to FIG. 5 , and includes thermal plates spaced above and below the substrate, with the plates each spaced about one inch from the substrate. According to the method, the plates are heated unequally so as to cause the temperature of the upper thermal plate to be 100-200° C. above the temperature of the lower thermal plate. Other methods for creating the thermal gradient will be apparent to those skilled in the art, and these are also included in the spirit of the present invention.
  • the process gas is then injected 78 , preferably achieving a chamber pressure in the range of 250 to 1000 mTorr.
  • the gas is preferably injected at a rate of 200-800 sccm and at a velocity greater than 100 cm/sec across the substrate surface, with the gas stream restricted to a narrow region (0.5-1.5 inches) above the substrate.
  • the process gas injection and temperature conditions are then maintained for the length of time required to deposit the desired film thickness, at which point a shutdown procedure 80 is implemented wherein the process gas is turned off and evacuated from the chamber, and the rotation is stopped and the substrate removed from the chamber.
  • the substrate can be heated to operating temperature prior to beginning rotation, although this is not preferred. Similar variations are apparent in the shutdown procedure. These and other variations that will be apparent to those skilled in the art are included in the spirit of the present invention.
  • the key element of novelty is the inclusion of the thermal gradient above the substrate, preferably implemented with heated thermal plates including one above and one below the substrate.
  • the thermal plates can be heated directly with embedded resistance heaters, or heated from lamps as will be described in reference to FIG. 5 .
  • the addition of a high velocity gas stream passing across the substrate provides a source of gas with a high concentration of the desired reactant species in contact with the surface of the substrate, resulting in the deposition rate being surface reaction rate limited.
  • the high velocity gas injection is discussed in U.S. patent application Ser. No. 09/396,588 filed Sep. 15, 1999, the content of which is incorporated herein by reference.
  • the very high rate of deposition enabled by the present invention at relatively low overall chamber pressures can move the reaction into the regime where the deposition rate approaches or exceeds the crystallization rate, resulting in the growth of very small crystals and therefore very smooth poly crystalline silicon films with a minimum surface roughness.
  • the method can also be used to accelerate the deposition of intrinsically slow processes such as ⁇ -Si.
  • the other advantage over conventional batch CVD systems is the reduced thermal budget. As semiconductor device geometries are reduced to submicron sizes, the thermal budget must be reduced accordingly.
  • a hot wall CVD system requires the substrates to be at elevated temperatures typically for two or more hours, while the present invention requires the substrate to be at elevated temperatures typically for two to three minutes depending on the required film thickness.
  • a reactor 82 is shown that can be adjusted to perform deposition according to the present invention.
  • a detailed description of this reactor is given in U.S. Pat. No. 5,551,985.
  • the method of the present invention begins by placing a substrate 84 on a carrier ring 86 that is supported by a rotatable heat plate 88 .
  • the vacuum chamber 90 includes an outer wall 92 with an upper quartz window 94 attached, through which heat is radiated from upper lamps 98 to heat the upper thermal plate 102 which radiates heat to the top surface of the substrate 84 .
  • Lower lamps 100 radiate heat through a lower quartz window 96 to the lower thermal plate 88 which radiates heat to the lower surface of the substrate 84 .
  • the upper lamps 98 control the temperature of the upper heat plate 102
  • the lower lamps 100 control the temperature of the rotatable heat plate 88 .
  • the upper heat plate 102 is heated to a temperature approximately 100° C. above the temperature of the lower heat plate 88 .
  • Other temperature differences than 100-200° C. are included in the spirit of the present invention, as well as operational and construction alternatives such as having an upper heater and no lower heater depending on the required temperature of deposition.
  • the purpose for the temperature difference in the plates is to provide a temperature gradient above the substrate deposition surface, with the temperature increasing with the distance above the substrate deposition surface.
  • a preferred temperature gradient is in the range of 50 to 1000 C. per inch, with the temperature increasing with distance from the deposition surface, which is the upper surface in the apparatus as described in reference to FIG. 5 .
  • a gradient could be achieved with only an upper heater.
  • the actual heater temperatures or thermal plate temperatures to achieve the required gradient will vary depending on the particular chamber dimensions (such as thermal plate position, etc.) used to implement the present invention.
  • the substrate 84 reaches an equilibrium temperature approximately half way between the temperature of the upper heat plate 102 and the lower heat plate 88 .
  • the exact temperature of the substrate is very difficult to measure and is not relevant to the practice of the present invention.
  • the reactant gases are introduced to the chamber interior by way of gas injector 104 and flow across the top surface of the substrate 84 .
  • the injector 104 may have a plurality of injector ports (not shown).
  • the gases are preferably confined to a narrow space 107 between the substrate 84 and upper heat plate 102 .
  • the injector is also preferably oriented to direct the reactant gas 108 to impact on the deposition surface 109 of substrate 84 , which maximizes the gas concentration at the substrate surface 109 and contributes to a rapid silicon deposition.
  • the injector is typically cooled.
  • the details of the above apparatus, including a plurality of injector ports that can be directed, as well as details for a water cooled injector are described in U.S. Pat. No. 5,551,985, the contents of which is incorporated herein by reference.
  • the reactant gases are evacuated from the vacuum chamber through an exhaust port 106 by way of a vacuum pump (not shown).
  • An inert gas such as argon is injected between the upper quartz window 94 and the upper heat plate 102 to prevent the reactant gases 108 from entering the space between the upper quartz window 94 and the heat plate 102 .
  • An inert gas is also injected in the space between the lower quartz window 96 and the bottom of the substrate 84 to prevent the entry of reactant gases into that space.
  • the deposition time for a 3000 Angstrom layer of poly crystalline silicon is typically one to two minutes, with operational conditions generally as indicated in FIG. 4 .
  • the deposition rate is reduced by a factor of 3 to 10 times or more.
  • FIG. 6 has two curves for comparing the deposition rate using the thermal gradient according to the present invention with the deposition rate achieved using prior art uniform heating.
  • Curve 112 shows, for example, that at 650° C. the use of gradient heating results in a deposition rate of approximately 2000 angstroms per minute at 250 mTorr as compared with 400 angstroms per minute at 700 mTorr with uniform heating according to curve 114 .
  • the deposition rate enhancement observed due to gradient heating is in part due to the wafer temperature being higher compared to the case when it is heated equally from top and bottom, but the majority of the deposition rate enhancement is due to the thermal gradient established above the wafer.
  • the difference between gradient heating and uniform heating shown in FIG. 6 would even be greater if the deposition shown in curve 114 had been done 250 mTorr instead of 700 mTorr.
  • FIG. 7 shows the deposition rate as a function of silane flow, demonstrating the importance of a high flow rate for rapid deposition.
  • FIG. 8 shows the deposition of silicon nitride obtained with thermal gradient heating in the apparatus depicted in FIG. 5 .
  • Curve 116 is the deposition rate at 2000 mTorr versus curve 118 at 1000 mTorr.
  • FIG. 9 shows the deposition rate for silicon nitride verses pressure with the method of the present invention.

Abstract

A method wherein a thermal gradient over a substrate enhances Chemical Vapor Deposition (CVD) at low pressures. An upper heat source is positioned above the substrate and a lower heat source is positioned below the substrate. The upper and lower heat sources are operated to raise the substrate temperature to 400-700° and cause a heat gradient of 100-200° C. between the upper and lower heat sources. This heat gradient causes an increase in the deposition rate for a given reactant gas flow rate and chamber pressure. The preferred parameters for implementation of the present invention for poly crystalline silicon deposition include the temperature of the upper heat source 100-200° C. above the lower heat source, a substrate temperature in the range of 400-700° C., a reactant gas pressure between 250 and 1000 mTorr, and a gas flow rate of 200-800 sccm. The substrate is rotated, with 5 RPM being a typical rate. A deposition rate of 2000 angstroms per minute deposition of poly crystalline silicon is achieved with a 200° C. temperature differential, substrate temperature of 650° C., pressure of 250 mTorr and silane flow of 500 sccm.

Description

  • This application is a continuation in part of U.S. application Ser. No. 09/396,588 filed Sep. 15, 1999 (which claims the benefit of U.S. Provisional Application Ser. No. 60/100,594 filed Sep. 16, 1998), which is a continuation in part of (a) U.S. application Ser. No. 08/909,461 filed Aug. 11, 1997, (b) U.S. application Ser. No. 09/228,835 filed Jan. 12, 1999 (which claims the benefit of U.S. Application Ser. No. 60/071,572 filed Jan. 15, 1998), and (c) U.S. Application Ser. No. 228,840 filed Jan. 12, 1999 (which claims the benefit of U.S. Provisional Application Ser. No. 60/071,571 filed Jan. 15, 1998). The disclosures of the foregoing applications are hereby incorporated by reference.
  • BACKGROUND OF THE INVENTION
  • 1. Field of the Invention
  • The present invention relates to methods and apparatus for chemical vapor deposition onto a substrate, and more particularly to a method that deposits silicon at a high rate due to enhanced mass transport by thermal diffusion, i.e., the “Soret effect”, by using a temperature gradient above the substrate surface.
  • 2. Description of the Prior Art
  • The semiconductor industry has been depositing poly crystalline silicon for a number of years. The method of choice for most applications is a Low Pressure Chemical Vapor Deposition (LPCVD) process. The LPCVD process is a well studied art wherein poly crystalline silicon deposition is accomplished by placing a substrate in a vacuum chamber, heating the substrate and introducing silane or any similar precursor such as disilane, dichiorosilane, silicon tetrachloride and the like, with or without other gases. The reactant gases are usually pre-heated prior to passing over a wafer when a rapid deposition is required. The pre-heating pre-activates the reactants and increases the rate of subsequent deposition. A disadvantage of this process is that it causes gas reactions that deplete the supply of available reactants which partially defeats the effect of pre-activation in increasing the deposition rate. Deposition rates of approximately 10 to 100 angstroms per minute are typical for low-pressure processes (less than 1 Torr) in a hot wall low pressure reactor. Deposition rates of 20 to 300 angstroms per minute are achieved in a vertical flow reactor with deposition rates as high as 500 angstroms per minute. Silicon deposition rates over 10,000 angstroms per minute have been reported, however these high deposition rates do not produce poly crystalline silicon films that are useful in manufacturing semiconductor devices because the resulting poly crystalline silicon has undesirable features such as large grain size, non uniform thickness, etc. Deposition rates of approximately 3000 angstroms per minute of useful semiconductor quality poly crystalline silicon are achieved with a higher pressure process (25 to 350 Torr) as described in detail in U.S. Pat. No. 5,607,724.
  • A typical prior art CVD system is illustrated in FIG. 1, and includes a reaction chamber 12 having a quartz tube 14. The chamber is enclosed on a first end by a seal plate 16 that can be removed for installation and removal of a boat 18 carrying substrates 20. A reactant gas 22 such as silane or similar precursor and hydrogen and a dopant gas such as phosphine are supplied to the chamber 12 through ports 24 and 25 to tubing 27 and flow through the chamber 12 and exit the exhaust port 26. A plurality of heater elements 28 are separately controlled and adjustable to compensate for the well known depletion of feed gas concentration as the gas 22 flows from the gas injection tube 27 to the exhaust port 26. The system of FIG. 1 typically operates at a gas chamber pressure in the range from 100 to 200 mTorr, and at a gas flow rate from 100-200 sccm. The reactant gas is usually silane diluted with hydrogen. Operating in the low pressure range of 100-200 mTorr with silane, or other similar precursor, results in a low deposition rate, typically in the range of 10 to 100 angstroms per minute, and 5 to 30 angstroms per minute if a dopant gas is introduced. The resulting surface roughness is typically 10-15 nm. Operation at higher concentrations of the reactant gases results in non-uniform deposition across the substrates, as well as large differences in the deposition rate from substrate to substrate. Increasing the gas flow rate in the chamber of FIG. 1 can improve deposition uniformity at higher pressures, but has the disadvantage of increasing the gas pressure resulting in gas phase nucleation causing particles to be deposited on the substrate.
  • There are other problems associated with the reactor of FIG. 1, such as film deposition on the interior surfaces of the quartz tube 14 causing a decrease in the partial pressure of the reactive feed gas concentration near the substrate surface. This results in a reduced deposition rate and potential contamination due to film deposited on the wall of tube 14 flaking off and falling on the substrate 20 surfaces. Another problem occurs due to the introduction of a temperature gradient applied between the injector end and exhaust end of the tube to compensate for the depletion of reactive chemical species from the entrance to the exit. As a result of this temperature gradient, the deposited poly crystalline silicon grain size varies from substrate to substrate, i.e. across the load zone, because the grain size is temperature dependent. This variation in grain size from substrate to substrate can result in variations of poly crystalline silicon resistivity and difficulties with the subsequent patterning of the poly crystalline silicon resulting in variations in the electrical performance of the integrated circuits produced.
  • A prior art vertical flow reactor 30 is illustrated in FIG. 2. This reactor is capable of deposition rates as high as 500 angstroms per minute. The substrates 32 are placed in a substrate carrier 34 in the reactor 30. The reactor chamber 36 is confined by a quartz bell jar 38 and a seal plate 40. The bell jar 38 is surrounded by a heater 42 for heating the substrates 32 to the required temperature. The reactant gases such as silane and hydrogen are introduced through ports 44 and 46, and flow through the gas injection tube 48 to the injector 50, across the substrate 32 and out the exhaust port 52. The arrangement of FIG. 2 greatly reduces the gas depletion effect experienced with the device of FIG. 1, and thereby allows an increased gas flow which results in an increased deposition rate of up to 500 angstroms per minute. Two major problems are associated with the apparatus of FIG. 2. In operation, the injection tube 48 and injector 50 are at the same temperature as the substrates 32, a condition that results in silicon deposition in and on the injection tube 48 and injector 50, which then flakes off and is deposited as particles on the substrate 32. The other major problem is that the substrates 32 are not at the same temperature due to the method of heating the substrates from heater 42 with no heater below the substrates. The non-uniform heating causes a non-uniform silicon deposition over the substrates 32 as poly crystalline silicon deposition is a surface reaction rate limited process, which is very temperature dependent.
  • FIG. 3 shows a prior art single substrate reactor 54 that overcomes some of the problems associated with the reactors of FIGS. 1 and 2. This is described in detail in U.S. Pat. No. 5,607,724. A substrate 56 is placed on a rotating pedestal 58 in chamber 54. Upper lamps 62 and lower lamps 63 radiate energy through transparent chamber walls 64 and 66 to uniformly heat the substrate 56. The pedestal 58 is turned to rotate the substrate 56, which is heated on both sides by the lamps. The substrate temperature is therefore uniform over its surface, which results in a uniform poly crystalline silicon deposition on the substrate 56. The reactor 54 does not have an injector in the chamber, which eliminates the problem of deposition on the injector 50 shown in FIG. 2. The reactant gas 67 is supplied through an inlet port 68 and exits exhaust port 70. The major problem associated with the reactor of FIG. 3 is the limited throughput, i.e. the number of substrates processed per hour. This problem can be addressed by increasing the operating pressure to 10 Torr or greater resulting in high deposition rates exceeding 1000 angstroms per minute, however operating the reactor at such high pressures can result in a gas phase reaction where silicon particles are formed in the gas and deposited on the substrate. Another problem associated with the reactor is the tendency for silicon deposition on the quartz walls 64, 66 resulting in loss of radiant energy transmission from the lamps 62, 63. This causes non-uniform heating of the substrate resulting in non-uniform film deposition on the substrate 56. Additionally, the silicon deposited on quartz wall 64 can flake off and fall onto the substrate surface 56.
  • Current demands of semiconductor processing require rapid film deposition with uniform and repeatable film thickness, and the smoothest film surface possible with controlled grain size. In addition, the time the substrate is above 600° C. must be held to a minimum, as heating the substrate to elevated temperatures, i.e. greater than 600° C. results in unwanted diffusion of dopants. Because of this, a high deposition rate is important to reduce the time that the substrate is above 600° C. Good film uniformity and repeatability is necessary to ensure consistent electrical performance, and smooth films are required for sub-micron lithography processes.
  • SUMMARY
  • It is therefore an object of the present invention to provide a method and apparatus for the Chemical Vapor Deposition (CVD) of various materials at a high rate.
  • It is a further object of the present invention to provide a method and apparatus for the CVD of various materials at a high deposition rate with improved uniformity.
  • It is another object of the present invention to provide a method and apparatus for the CVD of various materials at a high rate, with improved uniformity and reduced surface roughness.
  • Briefly, a preferred embodiment of the present invention includes a method wherein a substrate is placed in a reaction chamber and rotated to ensure uniform heating and a uniform flow of reactant gases over the substrate surface. Upper lamps positioned above the substrate and lower lamps below the substrate are activated to apply heat to an upper thermal plate and a lower thermal plate which in turn heat the wafer upper and lower surfaces. The upper and lower lamps are operated to raise the substrate temperature to 500-700° C. for silicon deposition, or any other temperature required for the deposition of other materials, and to provide a heat gradient between the upper and lower thermal plates and thus cause a thermal gradient between the upper substrate deposition surface and the upper thermal plate. This heat gradient causes a large increase in the deposition rate for a given reactant gas flow rate and chamber pressure. The preferred parameters for implementation of the present invention include the temperature of the upper thermal plate adjusted to be 100-200° C. above the temperature of the lower thermal plate, the substrate temperature in the range of 400-700° C., the reactant gas pressure between 250 and 1000 mTorr, and the gas flow rate in the range of 200-800 sccm. The substrate rotation is approximately 5 RPM, however the speed of rotation is not critical. For example, a deposition rate of about 2000 angstroms per minute is achieved with a 100-200° C. temperature differential between the thermal plates, substrate temperature about 650° C., pressure of 250 mTorr and silane flow of 500 sccm.
  • An advantage of the present invention is that it provides a higher deposition rate CVD method with good film quality.
  • A further advantage of the present invention is that it provides a CVD deposition method with a deposition rate five times more rapid than prior art methods providing comparable film quality.
  • IN THE DRAWING
  • FIG. 1 illustrates a prior art multi-substrate horizontal tube reactor;
  • FIG. 2 illustrates a prior art multi-substrate vertical flow reactor;
  • FIG. 3 shows an improved single substrate reactor with a rotatable pedestal;
  • FIG. 4 is a flow chart of the method of the present invention;
  • FIG. 5 is a cross-sectional view of a single substrate reactor for use in illustrating the method of the present invention;
  • FIG. 6 is a graph of silicon deposition as a function of substrate temperature with and without application of a temperature gradient;
  • FIG. 7 is a graph of silicon deposition as a function of silane flow;
  • FIG. 8 is a graph of silicon nitride deposition as a function of silane flow; and
  • FIG. 9 is a graph of silicon nitride deposition as a function of pressure.
  • DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENT
  • Referring now to FIG. 4 of the drawing, a preferred embodiment of the method of the present invention is displayed in a flow chart. A substrate is placed 72 on a carrier in a reactor chamber, and the carrier and therefore substrate are rotated 74. The substrate is heated 76 to a temperature to cause CVD, preferably between 500° C. and 700° C., the heat being supplied by an upper heater, heating an upper thermal plate positioned above the substrate top surface and a lower heater, heating a lower thermal plate below the substrate bottom surface. The temperatures of the upper and lower heaters are displaced to provide a thermal gradient between the thermal plates, with the upper thermal plate preferably set approximately 100-200° C. above the temperature of the lower thermal plate. The thermal gradient is not critical, but preferably in the range of 50-100° C. per inch. An apparatus for achieving this will be described in reference to FIG. 5, and includes thermal plates spaced above and below the substrate, with the plates each spaced about one inch from the substrate. According to the method, the plates are heated unequally so as to cause the temperature of the upper thermal plate to be 100-200° C. above the temperature of the lower thermal plate. Other methods for creating the thermal gradient will be apparent to those skilled in the art, and these are also included in the spirit of the present invention.
  • The process gas is then injected 78, preferably achieving a chamber pressure in the range of 250 to 1000 mTorr. The gas is preferably injected at a rate of 200-800 sccm and at a velocity greater than 100 cm/sec across the substrate surface, with the gas stream restricted to a narrow region (0.5-1.5 inches) above the substrate. The process gas injection and temperature conditions are then maintained for the length of time required to deposit the desired film thickness, at which point a shutdown procedure 80 is implemented wherein the process gas is turned off and evacuated from the chamber, and the rotation is stopped and the substrate removed from the chamber.
  • The sequence of operations shown in FIG. 4 has some flexibility which will be apparent to those skilled in the art. For example, the substrate can be heated to operating temperature prior to beginning rotation, although this is not preferred. Similar variations are apparent in the shutdown procedure. These and other variations that will be apparent to those skilled in the art are included in the spirit of the present invention. The key element of novelty is the inclusion of the thermal gradient above the substrate, preferably implemented with heated thermal plates including one above and one below the substrate. The thermal plates can be heated directly with embedded resistance heaters, or heated from lamps as will be described in reference to FIG. 5. The addition of a high velocity gas stream passing across the substrate provides a source of gas with a high concentration of the desired reactant species in contact with the surface of the substrate, resulting in the deposition rate being surface reaction rate limited. The high velocity gas injection is discussed in U.S. patent application Ser. No. 09/396,588 filed Sep. 15, 1999, the content of which is incorporated herein by reference.
  • The very high rate of deposition enabled by the present invention at relatively low overall chamber pressures can move the reaction into the regime where the deposition rate approaches or exceeds the crystallization rate, resulting in the growth of very small crystals and therefore very smooth poly crystalline silicon films with a minimum surface roughness. The method can also be used to accelerate the deposition of intrinsically slow processes such as α-Si.
  • The other advantage over conventional batch CVD systems is the reduced thermal budget. As semiconductor device geometries are reduced to submicron sizes, the thermal budget must be reduced accordingly. A hot wall CVD system requires the substrates to be at elevated temperatures typically for two or more hours, while the present invention requires the substrate to be at elevated temperatures typically for two to three minutes depending on the required film thickness.
  • Referring now to FIG. 5, a reactor 82 is shown that can be adjusted to perform deposition according to the present invention. A detailed description of this reactor is given in U.S. Pat. No. 5,551,985. In reference to the reactor 82 of FIG. 5, the method of the present invention begins by placing a substrate 84 on a carrier ring 86 that is supported by a rotatable heat plate 88. The vacuum chamber 90 includes an outer wall 92 with an upper quartz window 94 attached, through which heat is radiated from upper lamps 98 to heat the upper thermal plate 102 which radiates heat to the top surface of the substrate 84. Lower lamps 100 radiate heat through a lower quartz window 96 to the lower thermal plate 88 which radiates heat to the lower surface of the substrate 84. The upper lamps 98 control the temperature of the upper heat plate 102, and the lower lamps 100 control the temperature of the rotatable heat plate 88. In operation, the upper heat plate 102 is heated to a temperature approximately 100° C. above the temperature of the lower heat plate 88. Other temperature differences than 100-200° C. are included in the spirit of the present invention, as well as operational and construction alternatives such as having an upper heater and no lower heater depending on the required temperature of deposition. The purpose for the temperature difference in the plates is to provide a temperature gradient above the substrate deposition surface, with the temperature increasing with the distance above the substrate deposition surface. A preferred temperature gradient is in the range of 50 to 1000 C. per inch, with the temperature increasing with distance from the deposition surface, which is the upper surface in the apparatus as described in reference to FIG. 5. As mentioned above, a gradient could be achieved with only an upper heater. The actual heater temperatures or thermal plate temperatures to achieve the required gradient will vary depending on the particular chamber dimensions (such as thermal plate position, etc.) used to implement the present invention.
  • In the reactor of FIG. 5, the substrate 84 reaches an equilibrium temperature approximately half way between the temperature of the upper heat plate 102 and the lower heat plate 88. The exact temperature of the substrate is very difficult to measure and is not relevant to the practice of the present invention. The reactant gases are introduced to the chamber interior by way of gas injector 104 and flow across the top surface of the substrate 84. The injector 104 may have a plurality of injector ports (not shown). The gases are preferably confined to a narrow space 107 between the substrate 84 and upper heat plate 102. The injector is also preferably oriented to direct the reactant gas 108 to impact on the deposition surface 109 of substrate 84, which maximizes the gas concentration at the substrate surface 109 and contributes to a rapid silicon deposition. In order to reduce unwanted deposition on the injector 104, the injector is typically cooled. The details of the above apparatus, including a plurality of injector ports that can be directed, as well as details for a water cooled injector are described in U.S. Pat. No. 5,551,985, the contents of which is incorporated herein by reference. The reactant gases are evacuated from the vacuum chamber through an exhaust port 106 by way of a vacuum pump (not shown). An inert gas such as argon is injected between the upper quartz window 94 and the upper heat plate 102 to prevent the reactant gases 108 from entering the space between the upper quartz window 94 and the heat plate 102. An inert gas is also injected in the space between the lower quartz window 96 and the bottom of the substrate 84 to prevent the entry of reactant gases into that space.
  • The deposition time for a 3000 Angstrom layer of poly crystalline silicon is typically one to two minutes, with operational conditions generally as indicated in FIG. 4. In comparison, if the substrate is heated equally from top to bottom with all other process conditions held constant, the deposition rate is reduced by a factor of 3 to 10 times or more.
  • Performance characteristics of the method of the present invention using the reactor of FIG. 5 are illustrated in graphical form in FIGS. 6 and 7. FIG. 6 has two curves for comparing the deposition rate using the thermal gradient according to the present invention with the deposition rate achieved using prior art uniform heating. Curve 112 shows, for example, that at 650° C. the use of gradient heating results in a deposition rate of approximately 2000 angstroms per minute at 250 mTorr as compared with 400 angstroms per minute at 700 mTorr with uniform heating according to curve 114. The deposition rate enhancement observed due to gradient heating is in part due to the wafer temperature being higher compared to the case when it is heated equally from top and bottom, but the majority of the deposition rate enhancement is due to the thermal gradient established above the wafer. The difference between gradient heating and uniform heating shown in FIG. 6 would even be greater if the deposition shown in curve 114 had been done 250 mTorr instead of 700 mTorr. FIG. 7 shows the deposition rate as a function of silane flow, demonstrating the importance of a high flow rate for rapid deposition.
  • FIG. 8 shows the deposition of silicon nitride obtained with thermal gradient heating in the apparatus depicted in FIG. 5. Curve 116 is the deposition rate at 2000 mTorr versus curve 118 at 1000 mTorr. FIG. 9 shows the deposition rate for silicon nitride verses pressure with the method of the present invention.
  • Although the present invention has been described above in terms of a specific embodiment, it is anticipated that alterations and modifications thereof will no doubt become apparent to those skilled in the art. It is therefore intended that the following claims be interpreted as covering all such alterations and modifications as fall within the true spirit and scope of the invention.

Claims (21)

1-12. (Cancelled)
13: An apparatus for depositing materials onto a wafer, comprising: a wafer carrier disposed between first and second thermal plates, and heaters for first and second thermal plates that are controlled to provide a temperature gradient between the first and second thermal plates, wherein the temperature between the first and second thermal plates increases with increasing distance away from the second thermal plate toward the first thermal plate.
14: The apparatus according to claim 13 further comprising gas injectors that provide a flow of process gas between the first and second thermal plates.
15: The apparatus according to claim 13 wherein the heaters comprise resistance heaters.
16: The apparatus according to claim 13 wherein the heaters comprise a first lamp for providing heat to the first thermal plate and a second lamp for providing heat to the second thermal plate.
17: The apparatus according to claim 16, wherein the first lamp supplies a different amount of heat energy than the second lamp.
18: The apparatus according to claim 17, wherein the temperature gradient includes a temperature difference in the range of 100° C. to 200° C. between the first and second thermal plates.
19: The apparatus according to claim 13 further comprising gas injectors that provide a flow of process gas between the first and second thermal plates at a flow rate in the range of 200 sccm to 800 sccm.
20: The apparatus according to claim 13, further comprising a deposition chamber in which the wafer carrier and the first and second thermal plates are disposed.
21: The apparatus according to claim 20, wherein the deposition chamber comprises a single wafer deposition chamber.
22: An apparatus for depositing materials onto a wafer, comprising a wafer carrier disposed between first and second thermal plates, heaters for first and second thermal plates that are controlled to provide a temperature gradient between the first and second thermal plates, and gas injectors that provide a flow of process gas between the first and second thermal plates at a flow rate in the range of 200 sccm to 800 sccm.
23: The apparatus according to claim 22, wherein the gas injectors are temperature controlled.
24: The apparatus according to claim 22, wherein the gas injectors supply process gas over the wafer carrier at a gas velocity in excess of 100 cm/sec.
25: The apparatus according to claim 22, wherein the temperature between the first and second thermal plates increases with increasing distance away from the second thermal plate toward the first thermal plate.
26: The apparatus according to claim 25, wherein the temperature gradient has a magnitude in the range of 50-100° C. per inch.
27: The apparatus according to claim 22 wherein the heaters comprise a first lamp for providing heat to the first thermal plate and a second lamp for providing heat to the second thermal plate.
28: The apparatus according to claim 27, wherein the first lamp supplies a different amount of heat energy than the second lamp.
29: The apparatus according to claim 28, wherein the temperature gradient includes a temperature difference in the range of 100° C.-200° C. between the first and second thermal plates.
30: The apparatus according to claim 22, further comprising a deposition chamber in which the wafer carrier and the first and second thermal plates are disposed.
31: The apparatus according to claim 30, wherein the deposition chamber comprises a single wafer deposition chamber.
32: The apparatus according to claim 22 wherein the heaters comprise resistance heaters.
US10/918,498 1997-08-11 2004-08-13 Thermal gradient enhanced CVD deposition at low pressure Abandoned US20050013937A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US10/918,498 US20050013937A1 (en) 1997-08-11 2004-08-13 Thermal gradient enhanced CVD deposition at low pressure

Applications Claiming Priority (9)

Application Number Priority Date Filing Date Title
US08/909,461 US6352593B1 (en) 1997-08-11 1997-08-11 Mini-batch process chamber
US7157198P 1998-01-15 1998-01-15
US7157298P 1998-01-15 1998-01-15
US10059498P 1998-09-16 1998-09-16
US09/228,840 US6321680B2 (en) 1997-08-11 1999-01-12 Vertical plasma enhanced process apparatus and method
US09/228,835 US6167837B1 (en) 1998-01-15 1999-01-12 Apparatus and method for plasma enhanced chemical vapor deposition (PECVD) in a single wafer reactor
US09/396,588 US6287635B1 (en) 1997-08-11 1999-09-15 High rate silicon deposition method at low pressures
US09/954,705 US6780464B2 (en) 1997-08-11 2001-09-10 Thermal gradient enhanced CVD deposition at low pressure
US10/918,498 US20050013937A1 (en) 1997-08-11 2004-08-13 Thermal gradient enhanced CVD deposition at low pressure

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US09/954,705 Continuation US6780464B2 (en) 1997-08-11 2001-09-10 Thermal gradient enhanced CVD deposition at low pressure

Publications (1)

Publication Number Publication Date
US20050013937A1 true US20050013937A1 (en) 2005-01-20

Family

ID=27568312

Family Applications (2)

Application Number Title Priority Date Filing Date
US09/954,705 Expired - Fee Related US6780464B2 (en) 1997-08-11 2001-09-10 Thermal gradient enhanced CVD deposition at low pressure
US10/918,498 Abandoned US20050013937A1 (en) 1997-08-11 2004-08-13 Thermal gradient enhanced CVD deposition at low pressure

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US09/954,705 Expired - Fee Related US6780464B2 (en) 1997-08-11 2001-09-10 Thermal gradient enhanced CVD deposition at low pressure

Country Status (1)

Country Link
US (2) US6780464B2 (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9368380B2 (en) 2013-04-08 2016-06-14 Eugene Technology Co., Ltd. Substrate processing device with connection space
CN107578983A (en) * 2013-03-15 2018-01-12 应用材料公司 Deposition and the apparatus and method of etching are excited for pulsed light
US10933471B2 (en) 2014-07-09 2021-03-02 Honda Motor Co., Ltd. Method for continuous and controllable production of single walled carbon nanotubes

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6183565B1 (en) * 1997-07-08 2001-02-06 Asm International N.V Method and apparatus for supporting a semiconductor wafer during processing
US6566183B1 (en) * 2001-12-12 2003-05-20 Steven A. Chen Method of making a transistor, in particular spacers of the transistor
US6800134B2 (en) * 2002-03-26 2004-10-05 Micron Technology, Inc. Chemical vapor deposition methods and atomic layer deposition methods
US20070243317A1 (en) * 2002-07-15 2007-10-18 Du Bois Dale R Thermal Processing System and Configurable Vertical Chamber
US20060060920A1 (en) * 2004-09-17 2006-03-23 Applied Materials, Inc. Poly-silicon-germanium gate stack and method for forming the same
JP2007012734A (en) * 2005-06-29 2007-01-18 Matsushita Electric Ind Co Ltd Method and device for plasma etching
US7402534B2 (en) 2005-08-26 2008-07-22 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
US10161041B2 (en) * 2015-10-14 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Thermal chemical vapor deposition system and operating method thereof
CN111048416A (en) * 2019-12-25 2020-04-21 上海华力微电子有限公司 Deposition method of polycrystalline silicon film
JP7023308B2 (en) * 2020-03-19 2022-02-21 株式会社Kokusai Electric Semiconductor device manufacturing method, substrate processing device, program and substrate processing method

Citations (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4484538A (en) * 1983-11-16 1984-11-27 Btu Engineering Corporation Apparatus for providing depletion-free uniform thickness CVD thin-film on semiconductor wafers
US4545327A (en) * 1982-08-27 1985-10-08 Anicon, Inc. Chemical vapor deposition apparatus
US4728389A (en) * 1985-05-20 1988-03-01 Applied Materials, Inc. Particulate-free epitaxial process
US4816113A (en) * 1987-02-24 1989-03-28 Semiconductor Energy Laboratory Co., Ltd. Method of eliminating undesirable carbon product deposited on the inside of a reaction chamber
US4870245A (en) * 1985-04-01 1989-09-26 Motorola, Inc. Plasma enhanced thermal treatment apparatus
US4911102A (en) * 1987-01-31 1990-03-27 Toyoda Gosei Co., Ltd. Process of vapor growth of gallium nitride and its apparatus
US4920918A (en) * 1989-04-18 1990-05-01 Applied Materials, Inc. Pressure-resistant thermal reactor system for semiconductor processing
US5085887A (en) * 1990-09-07 1992-02-04 Applied Materials, Inc. Wafer reactor vessel window with pressure-thermal compensation
US5108792A (en) * 1990-03-09 1992-04-28 Applied Materials, Inc. Double-dome reactor for semiconductor processing
US5194401A (en) * 1989-04-18 1993-03-16 Applied Materials, Inc. Thermally processing semiconductor wafers at non-ambient pressures
US5370709A (en) * 1990-07-18 1994-12-06 Kabushiki Kaisha Toshiba Semiconductor wafer processing apparatus having a Bernoulli chuck
US5551985A (en) * 1995-08-18 1996-09-03 Torrex Equipment Corporation Method and apparatus for cold wall chemical vapor deposition
US5607724A (en) * 1991-08-09 1997-03-04 Applied Materials, Inc. Low temperature high pressure silicon deposition method
US5951772A (en) * 1993-08-25 1999-09-14 Tokyo Electron Limited Vacuum processing apparatus
US6167837B1 (en) * 1998-01-15 2001-01-02 Torrex Equipment Corp. Apparatus and method for plasma enhanced chemical vapor deposition (PECVD) in a single wafer reactor
US6287635B1 (en) * 1997-08-11 2001-09-11 Torrex Equipment Corp. High rate silicon deposition method at low pressures
US6321680B2 (en) * 1997-08-11 2001-11-27 Torrex Equipment Corporation Vertical plasma enhanced process apparatus and method
US6352593B1 (en) * 1997-08-11 2002-03-05 Torrex Equipment Corp. Mini-batch process chamber

Family Cites Families (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS51144183A (en) 1975-06-06 1976-12-10 Hitachi Ltd Semiconductor element containing surface protection film
JPS53112066A (en) 1977-03-11 1978-09-30 Fujitsu Ltd Plasma treatment apparatus
DE2849240C2 (en) 1978-11-13 1983-01-13 Siemens Ag, 1000 Berlin Und 8000 Muenchen CVD coating device for small parts and their use
JPS5846057B2 (en) 1979-03-19 1983-10-14 富士通株式会社 Plasma treatment method
US4381965A (en) 1982-01-06 1983-05-03 Drytek, Inc. Multi-planar electrode plasma etching
US4565157A (en) 1983-03-29 1986-01-21 Genus, Inc. Method and apparatus for deposition of tungsten silicides
US4811684A (en) 1984-11-26 1989-03-14 Semiconductor Energy Laboratory Co., Ltd. Photo CVD apparatus, with deposition prevention in light source chamber
US4693777A (en) 1984-11-30 1987-09-15 Kabushiki Kaisha Toshiba Apparatus for producing semiconductor devices
JPS61191015A (en) 1985-02-20 1986-08-25 Hitachi Ltd Semiconductor vapor growth and equipment thereof
JPS61197638A (en) 1985-02-28 1986-09-01 Sumitomo Bakelite Co Ltd Method and apparatus for carrying out plasma treatment
US4653428A (en) 1985-05-10 1987-03-31 General Electric Company Selective chemical vapor deposition apparatus
US4969416A (en) 1986-07-03 1990-11-13 Emcore, Inc. Gas treatment apparatus and method
US4951601A (en) 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
US4976996A (en) 1987-02-17 1990-12-11 Lam Research Corporation Chemical vapor deposition reactor and method of use thereof
KR960012876B1 (en) 1988-06-16 1996-09-25 도오교오 에레구토론 사가미 가부시끼가이샤 Heat treating apparatus with cooling fluid nozzles
US5458724A (en) 1989-03-08 1995-10-17 Fsi International, Inc. Etch chamber with gas dispersing membrane
JPH02298024A (en) 1989-05-12 1990-12-10 Tadahiro Omi Reactive ion etching apparatus
JP2603722B2 (en) 1989-06-09 1997-04-23 日本電子株式会社 High frequency inductively coupled plasma mass spectrometer
DE69032952T2 (en) 1989-11-15 1999-09-30 Kokusai Electric Co Ltd Dry treatment device
US5203956A (en) 1990-01-08 1993-04-20 Lsi Logic Corporation Method for performing in-situ etch of a CVD chamber
US5269847A (en) * 1990-08-23 1993-12-14 Applied Materials, Inc. Variable rate distribution gas flow reaction chamber
JP3156326B2 (en) 1992-01-07 2001-04-16 富士通株式会社 Semiconductor growth apparatus and semiconductor growth method using the same
US5291030A (en) 1992-06-04 1994-03-01 Torrex Equipment Corporation Optoelectronic detector for chemical reactions
US5383984A (en) 1992-06-17 1995-01-24 Tokyo Electron Limited Plasma processing apparatus etching tunnel-type
TW337513B (en) * 1992-11-23 1998-08-01 Cvd Inc Chemical vapor deposition-produced silicon carbide having improved properties and preparation process thereof
US5356475A (en) 1993-02-22 1994-10-18 Lsi Logic Corporation Ceramic spacer assembly for ASM PECVD boat
JPH06330323A (en) 1993-05-18 1994-11-29 Mitsubishi Electric Corp Production device for semiconductor device and cleaning method therefor
JP2776726B2 (en) 1993-09-21 1998-07-16 日本電気株式会社 Method for manufacturing semiconductor device
EP0664347A3 (en) 1994-01-25 1997-05-14 Applied Materials Inc Apparatus for depositing a uniform layer of material on a substrate.
US5493987A (en) 1994-05-16 1996-02-27 Ag Associates, Inc. Chemical vapor deposition reactor and method
JP3058037B2 (en) 1994-11-07 2000-07-04 株式会社島津製作所 Mass spectrometer
US5558717A (en) 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
US5556521A (en) 1995-03-24 1996-09-17 Sony Corporation Sputter etching apparatus with plasma source having a dielectric pocket and contoured plasma source
US5613821A (en) 1995-07-06 1997-03-25 Brooks Automation, Inc. Cluster tool batchloader of substrate carrier
US5844195A (en) 1996-11-18 1998-12-01 Applied Materials, Inc. Remote plasma source
US5759263A (en) * 1996-12-05 1998-06-02 Abb Research Ltd. Device and a method for epitaxially growing objects by cvd

Patent Citations (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4545327A (en) * 1982-08-27 1985-10-08 Anicon, Inc. Chemical vapor deposition apparatus
US4484538A (en) * 1983-11-16 1984-11-27 Btu Engineering Corporation Apparatus for providing depletion-free uniform thickness CVD thin-film on semiconductor wafers
US4870245A (en) * 1985-04-01 1989-09-26 Motorola, Inc. Plasma enhanced thermal treatment apparatus
US4728389A (en) * 1985-05-20 1988-03-01 Applied Materials, Inc. Particulate-free epitaxial process
US4911102A (en) * 1987-01-31 1990-03-27 Toyoda Gosei Co., Ltd. Process of vapor growth of gallium nitride and its apparatus
US4816113A (en) * 1987-02-24 1989-03-28 Semiconductor Energy Laboratory Co., Ltd. Method of eliminating undesirable carbon product deposited on the inside of a reaction chamber
US4920918A (en) * 1989-04-18 1990-05-01 Applied Materials, Inc. Pressure-resistant thermal reactor system for semiconductor processing
US5194401A (en) * 1989-04-18 1993-03-16 Applied Materials, Inc. Thermally processing semiconductor wafers at non-ambient pressures
US5108792A (en) * 1990-03-09 1992-04-28 Applied Materials, Inc. Double-dome reactor for semiconductor processing
US5370709A (en) * 1990-07-18 1994-12-06 Kabushiki Kaisha Toshiba Semiconductor wafer processing apparatus having a Bernoulli chuck
US5085887A (en) * 1990-09-07 1992-02-04 Applied Materials, Inc. Wafer reactor vessel window with pressure-thermal compensation
US5607724A (en) * 1991-08-09 1997-03-04 Applied Materials, Inc. Low temperature high pressure silicon deposition method
US5951772A (en) * 1993-08-25 1999-09-14 Tokyo Electron Limited Vacuum processing apparatus
US5551985A (en) * 1995-08-18 1996-09-03 Torrex Equipment Corporation Method and apparatus for cold wall chemical vapor deposition
US6287635B1 (en) * 1997-08-11 2001-09-11 Torrex Equipment Corp. High rate silicon deposition method at low pressures
US6321680B2 (en) * 1997-08-11 2001-11-27 Torrex Equipment Corporation Vertical plasma enhanced process apparatus and method
US6352593B1 (en) * 1997-08-11 2002-03-05 Torrex Equipment Corp. Mini-batch process chamber
US6167837B1 (en) * 1998-01-15 2001-01-02 Torrex Equipment Corp. Apparatus and method for plasma enhanced chemical vapor deposition (PECVD) in a single wafer reactor

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107578983A (en) * 2013-03-15 2018-01-12 应用材料公司 Deposition and the apparatus and method of etching are excited for pulsed light
US9368380B2 (en) 2013-04-08 2016-06-14 Eugene Technology Co., Ltd. Substrate processing device with connection space
US10933471B2 (en) 2014-07-09 2021-03-02 Honda Motor Co., Ltd. Method for continuous and controllable production of single walled carbon nanotubes

Also Published As

Publication number Publication date
US6780464B2 (en) 2004-08-24
US20020028290A1 (en) 2002-03-07

Similar Documents

Publication Publication Date Title
US6506691B2 (en) High rate silicon nitride deposition method at low pressures
JP3581388B2 (en) Deposited polysilicon film with improved uniformity and apparatus therefor
US20030049372A1 (en) High rate deposition at low pressures in a small batch reactor
US5551985A (en) Method and apparatus for cold wall chemical vapor deposition
US4800105A (en) Method of forming a thin film by chemical vapor deposition
US6471771B2 (en) In-situ post epitaxial treatment process
US6808564B2 (en) In-situ post epitaxial treatment process
US5411590A (en) Gas injectors for reaction chambers in CVD systems
US6780464B2 (en) Thermal gradient enhanced CVD deposition at low pressure
US20040050325A1 (en) Apparatus and method for delivering process gas to a substrate processing system
US6287635B1 (en) High rate silicon deposition method at low pressures
US20010047764A1 (en) Method and apparatus for improved chemical vapor deposition processes using tunable temperature controlled gas injectors
US20040050326A1 (en) Apparatus and method for automatically controlling gas flow in a substrate processing system
US20020173127A1 (en) Doped silicon deposition process in resistively heated single wafer chamber
US5261960A (en) Reaction chambers for CVD systems
US5096534A (en) Method for improving the reactant gas flow in a reaction chamber
US5390626A (en) Process for formation of silicon carbide film
US5044315A (en) Apparatus for improving the reactant gas flow in a reaction chamber
EP1123423B1 (en) High rate silicon deposition method at low pressures
JPH01253229A (en) Vapor growth device
US6235652B1 (en) High rate silicon dioxide deposition at low pressures
US5604152A (en) CVD process for deposition of amorphous silicon
WO2000016387A1 (en) High rate silicon nitride deposition method at low pressures
US5063086A (en) Vacuum deposition process and apparatus for producing films having high uniformity
JP3124302B2 (en) Film formation method

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION