US20040117705A1 - Method and system for disabling a scanout line of a register flip-flop - Google Patents

Method and system for disabling a scanout line of a register flip-flop Download PDF

Info

Publication number
US20040117705A1
US20040117705A1 US10/719,227 US71922703A US2004117705A1 US 20040117705 A1 US20040117705 A1 US 20040117705A1 US 71922703 A US71922703 A US 71922703A US 2004117705 A1 US2004117705 A1 US 2004117705A1
Authority
US
United States
Prior art keywords
flip
flop
output
scan
scan output
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/719,227
Inventor
Thomas Zounes
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Individual
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US10/719,227 priority Critical patent/US20040117705A1/en
Publication of US20040117705A1 publication Critical patent/US20040117705A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3185Reconfiguring for testing, e.g. LSSD, partitioning
    • G01R31/318533Reconfiguring for testing, e.g. LSSD, partitioning using scanning techniques, e.g. LSSD, Boundary Scan, JTAG
    • G01R31/318541Scan latches or cell details
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3185Reconfiguring for testing, e.g. LSSD, partitioning
    • G01R31/318533Reconfiguring for testing, e.g. LSSD, partitioning using scanning techniques, e.g. LSSD, Boundary Scan, JTAG
    • G01R31/318572Input/Output interfaces

Definitions

  • the present invention is generally related to registers having serial scan capabilities and, in particular, to selectively disabling a scan output of flip-flops forming the registers.
  • VLSI Very Large Scale Integration
  • DFT design for test
  • a test input signal pattern is shifted in the serial shift register chain, the registers are then configured into a normal mode of operation, one or more clock pulses occur, the registers are reconfigured in the test mode of operation, and the new values stored in the registers are shifted out of the serial shift register while a new test input signal pattern is shifted therein.
  • the scan output of the serial shift register is monitored and compared to expected data. These steps are repeated until a desired fault coverage level of the IC chip has occurred.
  • Conventional register flip-flops include a clock input, at least one data input, and at least one data output.
  • Conventional register flip-flips having serial scan capability may include a scan input, a scan output, and a test enable input which are utilized in the testing operation.
  • the scan output of the flip-flop typically follows the data output or the logical inverse thereof This is acceptable when the flip-flop is configured in the test mode of operation.
  • the scan output is not typically used and thus will needlessly dissipate power when following the data output signal.
  • the scan output will undesirably generate noise when toggling between logic states, which may affect others components and signals in the integrated circuit chip. Based on the foregoing, there is a need for an improved register flip-flop having serial scan capabilities.
  • the present invention describes an integrated circuit that is configured to operate in a normal mode of operation and a test mode of operation.
  • the registers within the integrated circuit form a serial shift register chain when in the test mode of operation.
  • the registers contain therein flip-flops, each of the flip-flops having at least one data input, a scan test input, a data output, and a scan output.
  • the flip-flop is capable of storing either the signal appearing on the at least one data input or the signal appearing on the scan test input, based on the mode of operation of the flip-flop.
  • the flip-flop includes a circuit coupled between the data output and the scan output for selectively disabling the scan output from following the value of the data output.
  • the scan output is enabled to output the logic value stored in the flip-flop when the flip-flop is in the test mode of operation and is disabled from outputting the logic value stored in the flip-flop when the flip-flop is in the normal mode of operation.
  • the scan output is disabled from following the data output, the scan output is driven to a predetermined logic value.
  • FIG. 1 illustrates an integrated circuit chip having registers therein according to an exemplary embodiment of the present invention
  • FIG. 2 illustrates a more detailed view of the integrated circuit chip of FIG. 1;
  • FIG. 3 illustrates an exemplary embodiment of a flip-flop of the integrated circuit chip of FIG. 2, according to the teachings of the present invention
  • FIG. 4 is a flow diagram illustrating an operation of the integrated circuit chip of FIG. 1, according to an exemplary embodiment of the present invention.
  • FIG. 5 is a flow diagram illustrating an operation of the flip-flop of FIG. 3 according to an exemplary embodiment of the present invention.
  • the integrated circuit chip 10 may contain therein a number of registers 12 . Each one of the registers 12 may contain therein register flip-flops 20 .
  • the registers 12 shown in FIG. 1 are depicted as 8-bit registers but it should be understood that each register 12 may contain a different number of bits.
  • the registers 12 are coupled to combinational logic 14 that may contain therein logic gates and/or other logic circuitry.
  • the integrated circuit chip 10 may further include one or more data input signals (Data_In), one or more data output signals (Data_Out) and one or more control input signals (Control). It is understood that the particular structural relationship between registers 12 , combinational logic 14 , the data input signals (Data_In), and the data output signals (Data_Out) depends on the desired operation of the integrated circuit chip 10 .
  • the integrated circuit chip 10 is configurable into a test mode of operation and a normal mode of operation. When in the normal mode of operation, the integrated circuit chip 10 receives data input signals (Data_In), performs internal computations using the registers 12 and the combinational logic 14 , and generates signals on data output signals (Data_Out). The integrated circuit chip 10 also receives a clock signal (CLK) that is connected to each register flip-flop 20 within the registers 12 . For reasons of simplicity, each register flip-flop 20 within the registers 12 is connected to the same clock signal (CLK). It is understood, however, that registers 12 may receive different clock signals. Moreover, the integrated circuit chip 10 may receive at least one control signal (Control) that may be used to control the operation of the integrated circuit chip 10 .
  • Data_In data input signals
  • Data_Out data output signals
  • CLK clock signal
  • each register flip-flop 20 within the registers 12 is connected to the same clock signal (CLK). It is understood, however, that registers 12 may receive different clock signals.
  • the integrated circuit chip 10 may have a scan input signal (Scan_In), a scan output signal (Scan_Out), and a test enable signal (Test_Enable).
  • the registers 12 form at least one serial shift register chain to allow for a serial input test pattern to be shifted therein through the scan input signal (Scan_In) and a serial output test pattern to be shifted out through the scan output signal (Scan_Out).
  • the test enable signal (Test_Enable) is utilized to configure the integrated circuit chip 10 into and out of the test mode of operation.
  • first and second registers 12 of the integrated circuit chip 10 there is illustrated an exemplary embodiment of first and second registers 12 of the integrated circuit chip 10 .
  • the flip-flops 20 within the other registers 12 of the integrated circuit chip 10 may have substantially the same configuration as illustrated herein for the first and second registers 12 .
  • the flip-flops 20 depicted and described hereinbelow are D-type flip-flops and it should be understood that other types of flip-flops may be utilized in registers 12 .
  • the flip-flops 20 within the registers 12 may have their data input (D) and data output (Q) connected to the combinational logic 14 .
  • the combinational-logic 14 may contain one or more logical components such as logic gates, wires, etc. that determine the operation of the integrated circuit chip 10 .
  • Combinational logic 14 may be connected to one or more registers 12 within the integrated circuit chip 10 .
  • the data output (Q) of a flip-flop 20 may be connected to the data input (D) of another flip-flop 20 through a circuit path in the combinational logic 14 that may include a logic gate, a plurality of logic gates, or merely a wire segment.
  • Each flip-flop 20 includes a test enable terminal (TE) that determines whether the flip-flop 20 is configured in the test mode of operation or normal mode of operation.
  • the test enable terminal (TE) is connected to the test enable signal (Test_Enable) to receive a mode configuration signal therefrom that configures each flip-flop 20 in either one of the two modes of operation.
  • the flip-flops 20 are coupled together using the scan inputs (SI) and scan outputs (SO) thereof
  • the scan output (SO) of one flip-flop 20 may be connected to the scan input (SI) of another flip-flop 20 .
  • This connection of the scan output (SO) of one flip-flop 20 to the scan input (SI) of a next flip-flop 20 is repeated for the flip-flops 20 within each of the registers 12 and also between different registers 12 so as to form at least one serial shift register chain.
  • data may be serially shifted into the registers 12 using the serial shift register chain.
  • Data shifted in the registers 12 will propagate through the flip-flops 20 within the registers using the connections between the scan outputs (SO) and the scan inputs (SI) of the flip-flops 20 .
  • the data may also be shifted out of the serial shift register through the scan output signal (Scan_Out) of the integrated circuit chip 10 .
  • FIG. 3 illustrates an exemplary embodiment of the flip-flop 20 according to an exemplary embodiment of the present invention.
  • the flip-flop 20 contains therein a master latch 38 and a slave latch 42 .
  • the master latch 38 receives the signal applied to the data input (D) when in a normal mode of operation ( TE being in a logic low state) and the signal applied to the scan input (SI) when in a test mode of operation ( TE being in the logic high state).
  • TE may be inverted in certain implementations of the flip-flop such that when the test enable terminal (TE) has a low logic value thereon, the flip-flop 20 is in the test mode of operation and when the test enable terminal (TE) has a high logic value thereon, the flip-flop is in the normal mode of operation.
  • the master latch 38 is updated (i.e., receives the signal on either D or SI) when clock signal (CLK) is at a logic low value.
  • the master latch 38 stores and/or latches either the signal appearing on data input (D) or scan input (SI) when the clock signal (CLK) is at a high logic value.
  • the slave latch 42 is coupled to the master latch 38 and receives the logical inverse of the value stored in the master latch 38 when the clock signal (CLK) is at a high logic value. The received value is then stored or latched in the slave latch 42 when the clock signal (CLK) is at a logic low value. Thus, a data output (Q), which is coupled to the slave latch 42 , may change state on the rising edge of the clock signal (CLK). It should be understood that other circuit configurations of the flip-flop 20 may be implemented that are capable of performing the functions described hereinabove.
  • a scan output (SO) of flip-flop 20 does not always follows the data output (Q) (or the logical inverse of the data output (Q′), depending on the design). Rather, flip-flop 20 includes a scan output enable/disable circuit 50 (FIGS. 2 and 3) which enables the scan output (SO) to follow the data output (Q) during the test mode of operation (TE being at a high logic value) and disables the scan output (SO) from following the data output (Q) during the normal mode of operation (TE being at a low logic value).
  • the scan output enable/disable circuit 50 is coupled between the data output (Q) and the scan output (SO) of the flip-flop 20 .
  • the circuit 50 includes a pass-gate circuit 52 and a pull down transistor 58 .
  • the pass-gate circuit 52 is activated to enable the scan output (SO) to follow the data output (Q) when the flip-flop 20 is in the test mode of operation, i.e., when a high logic value appears on the test enable terminal (TE).
  • the pass-gate circuit 52 is deactivated to disable the scan output (SO) from following the data output (Q).
  • the pass-gate circuit 52 includes two transistors, a PMOS transistor 54 and a NMOS transistor 56 , coupled between the data output (Q) and the scan output (SO).
  • the gate/control terminal of the NMOS transistor 56 is coupled to the test enable terminal (TE)
  • the gate/control terminal of the PMOS transistor 54 is coupled to the logical inverse of the test enable terminal (TE).
  • the pull down transistor 58 is connected between the scan output (SO) of the flip-flop 20 and a predetermined voltage level, i.e., ground.
  • the gate/control terminal of the pull down transistor 58 is coupled to the logical inverse of the test enable terminal (TE).
  • the pull down transistor 58 is operable to pull the scan output (SO) to a predetermined logic value, i.e., a low logic value, when the flip-flop 20 is in the normal mode of operation and the pass-gate circuit 52 is deactivated. However, when the flip-flop 20 is in the test mode of operation (TE being at a high logic value), the pull down transistor 58 is deactivated.
  • a pull up transistor may be utilized instead of the pull down transistor 58 which will selectively drive the scan output (SO) to a high logic value.
  • a pass-gate circuit 52 and a pull down transistor 58 may be implemented.
  • a logic AND gate may be utilized that has an input coupled to the data output (Q) of the flip-flop 20 , a second input coupled to the test enable terminal (TE), and an output coupled to the scan output (SO).
  • the scan output (SO) follows the data output (Q) when the flip-flop 20 is configured in the test mode of operation and is fixed at a predetermined value (i.e., the logic low value) when the flip-flop 20 is in the normal mode of operation.
  • the scan output (SO) does not toggle so that less power is dissipated by the integrated circuit chip 10 . Moreover, less noise is generated within the integrated circuit chip 10 due to the scan output (SO) being prevented from toggling between logic states.
  • FIG. 4 there is illustrated a flow diagram of an operation of the integrated circuit chip 10 of FIG. 1.
  • a number of test patterns are required to achieve a desired fault coverage level for the integrated circuit chip 10 .
  • Each test pattern is specifically formulated to test one or more elements and/or connections in the integrated circuit chip 10 .
  • the integrated circuit chip 10 is first configured in the test mode of operation (step 106 ).
  • Test_Enable i.e., providing a high logic value on the test enable signal (Test_Enable), which activates the pass-gate circuit 52 in flip-flops 20 so that, for each flip-flop 20 , the scan output (SO) follows the data output (Q).
  • the assertion of the test enable signal (Test_Enable) also configures registers 12 to form at least one serial shift register.
  • the first test pattern is then serially loaded into the register bits, i.e., flip-flips 20 , of the registers 12 within the integrated circuit chip 10 (step 108 ) through the scan input signal Scan_In.
  • the integrated circuit chip 10 is then configured in the normal mode of operation (step 112 ).
  • test enable signal (Test_Enable) now being at a low logic value will cause the test enable terminal (TE) of each flip-flop 20 to be at a low logic level.
  • this disables the scan output (SO) from following the data output (Q) by turning off the pass-gate circuit 52 , and enables the pull down transistor 58 to drive the scan output (SO) to the predetermined value, i.e., the low logic value.
  • a clock pulse on clock signal (CLK) is then applied (step 114 ) which causes each flip-flop 20 to load data appearing at the data input (D) terminal of each flip-flop 20 .
  • the registers 12 have been updated with new values based upon the particular implementation of the combinational logic 14 and the relationship between the combinational logic 14 , registers 12 and the signal values applied to the data input signals (Data_In).
  • the integrated circuit chip 10 is again configured in the test mode of operation (step 106 ) and the values stored in the register bits, upon application of pulses on clock signal (CLK), are shifted out (step 108 ) through the scan output signal (Scan_Out).
  • the scan output signal (Scan_Out) is examined and compared to expected output values.
  • a new data pattern is serially shifted in the register bits. The examination of various test patterns improve the controllability and/or observability of the internal nodes within the integrated circuit chip 10 . Once all the test patterns required to achieve the desired fault coverage level have been used in the testing of the integrated circuit chip 10 , the testing operation is terminated.
  • FIG. 5 is a flow diagram illustrating an operation of the flip-flop 20 according to the exemplary embodiment of the present invention.
  • the flip-flop 20 receives via circuit 36 a signal appearing on scan input (SI) when in the test mode of operation and a signal appearing on scan data input (D) via circuit 34 when in the normal mode of operation (step 154 ). If test enable terminal (TE) has a high logic value, the occurrence of a rising edge appearing on clock signal (CLK) (step 158 ) causes flip-flop 20 to store the signal appearing on the scan input (SI) (step 162 ).
  • SI scan input
  • D scan data input
  • the signal appearing on the test enable terminal (TE) is at a low logic value during the occurrence of a rising edge of the clock signal (CLK)
  • the signal appearing on the data input (D) is stored in the flip-flop 20 (step 164 ).
  • the stored value in the flip-flop 20 is outputted to the data output (Q)(step 168 ). It should be understood that in the implementation of flip-flop 20 of FIG. 3, the rising edge of the clock signal (CLK) is utilized whereas in other configurations of the flip-flop the falling edge of the clock may be utilized to trigger the storing operation.
  • the scan output (SO) of the flip-flop 20 follows the data output (Q) thereof and outputs the stored value maintained by the flip-flop 20 (step 172 ) if the flip-flop is configured in the test mode of operation, i.e., a high logic value appearing on the test enable terminal (TE). However, the scan output (SO) is disabled from following the data output (Q) and is instead fixed at a predetermined logic value (step 174 ) if the flip-flop is configured in the normal mode of operation, i.e., a low logic value appearing on the test enable terminal (TE). This process is repeated for each received input signal.
  • a scan output (SO) within the flip-flop 20 follows the data output (Q) when the flip-flop 20 is configured in the test mode of operation, so as to be capable of forming a serial shift register chain.
  • the scan output (SO) is not needed and thus is disabled from following the data output (Q) or otherwise toggling, thereby reducing power dissipated by the flip-flop 20 and reducing noise levels within the integrated circuit chip 10 .

Abstract

A method and apparatus for disabling the scan output of flip-flops contained within an integrated circuit. Registers within the integrated circuit form a serial shift register chain when in the test mode of operation. The registers contain therein flip-flops, each of the flip-flops having at least one data input, a scan test input, a data output, and a scan output. The flip-flop is capable of storing either the signal appearing on the at least one data input or the signal appearing on the scan test input, based on the mode of operation of the flip-flop. The flip-flop includes a circuit coupled between the data output and the scan output for selectively disabling the scan output from following the value of the data output. Consequently, the scan output is enabled to output the logic value stored in the flip-flop when the flip-flop is in the test mode of operation and is disabled from outputting the logic value stored in the flip-flop when the flip-flop is in the normal mode of operation. When the scan output is disabled from following the data output, the scan output is driven to a predetermined logic value.

Description

    BACKGROUND OF THE INVENTION
  • 1. Technical Field of the Invention [0001]
  • The present invention is generally related to registers having serial scan capabilities and, in particular, to selectively disabling a scan output of flip-flops forming the registers. [0002]
  • 2. Description of Related Art [0003]
  • Testing of integrated circuits has become a vital operation during the manufacturing, packaging, and the active life of the integrated circuits. However, due to the complexity of integrated circuits and the implementation of the integrated circuits using Very Large Scale Integration (VLSI) processing, the accessibility of every node within the integrated circuit has become difficult and almost impossible without employment of suitable design for test techniques. [0004]
  • A number of design for test (DFT) techniques exist which improve the controllability and/or observability of the internal nodes within an integrated circuit (IC) chip. One known DFT technique for synchronous digital integrated circuits is a serial scan test technique. According to the serial scan test technique, the integrated circuit chip is selectively configured into a test mode of operation wherein the registers in the integrated circuit chip are configured as at least one serial shift register chain having an input and an output externally accessible from outside the integrated circuit chip. In general terms, a test input signal pattern is shifted in the serial shift register chain, the registers are then configured into a normal mode of operation, one or more clock pulses occur, the registers are reconfigured in the test mode of operation, and the new values stored in the registers are shifted out of the serial shift register while a new test input signal pattern is shifted therein. The scan output of the serial shift register is monitored and compared to expected data. These steps are repeated until a desired fault coverage level of the IC chip has occurred. [0005]
  • Conventional register flip-flops include a clock input, at least one data input, and at least one data output. Conventional register flip-flips having serial scan capability may include a scan input, a scan output, and a test enable input which are utilized in the testing operation. The scan output of the flip-flop typically follows the data output or the logical inverse thereof This is acceptable when the flip-flop is configured in the test mode of operation. However, when in the normal mode of operation, the scan output is not typically used and thus will needlessly dissipate power when following the data output signal. Moreover, the scan output will undesirably generate noise when toggling between logic states, which may affect others components and signals in the integrated circuit chip. Based on the foregoing, there is a need for an improved register flip-flop having serial scan capabilities. [0006]
  • SUMMARY OF THE INVENTION
  • The present invention describes an integrated circuit that is configured to operate in a normal mode of operation and a test mode of operation. The registers within the integrated circuit form a serial shift register chain when in the test mode of operation. The registers contain therein flip-flops, each of the flip-flops having at least one data input, a scan test input, a data output, and a scan output. The flip-flop is capable of storing either the signal appearing on the at least one data input or the signal appearing on the scan test input, based on the mode of operation of the flip-flop. The flip-flop includes a circuit coupled between the data output and the scan output for selectively disabling the scan output from following the value of the data output. Consequently, the scan output is enabled to output the logic value stored in the flip-flop when the flip-flop is in the test mode of operation and is disabled from outputting the logic value stored in the flip-flop when the flip-flop is in the normal mode of operation. When the scan output is disabled from following the data output, the scan output is driven to a predetermined logic value. Thus, by disabling the scan output from following the value of the data output during the normal mode of operation and causing the scan output to be at a fixed logic level, the power dissipation caused by the unnecessary toggling of the scan output is substantially eliminated and the noise produced in the integrated circuit chip is reduced. [0007]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • A more complete understanding of the method and apparatus of the present invention may be acquired by reference to the following Detailed Description when taken in conjunction with the accompanying Drawings wherein: [0008]
  • FIG. 1 illustrates an integrated circuit chip having registers therein according to an exemplary embodiment of the present invention; [0009]
  • FIG. 2 illustrates a more detailed view of the integrated circuit chip of FIG. 1; [0010]
  • FIG. 3 illustrates an exemplary embodiment of a flip-flop of the integrated circuit chip of FIG. 2, according to the teachings of the present invention; [0011]
  • FIG. 4 is a flow diagram illustrating an operation of the integrated circuit chip of FIG. 1, according to an exemplary embodiment of the present invention; and [0012]
  • FIG. 5 is a flow diagram illustrating an operation of the flip-flop of FIG. 3 according to an exemplary embodiment of the present invention.[0013]
  • DETAILED DESCRIPTION OF THE INVENTION
  • The numerous innovative teachings of the present application will be described with particular reference to the exemplary embodiments. However, it should be understood that the exemplary embodiments provide only a few examples of the many advantageous uses of the innovative teachings herein. [0014]
  • Referring to FIG. 1, there is illustrated an [0015] integrated circuit chip 10 within a system 5. The integrated circuit chip 10 may contain therein a number of registers 12. Each one of the registers 12 may contain therein register flip-flops 20. The registers 12 shown in FIG. 1 are depicted as 8-bit registers but it should be understood that each register 12 may contain a different number of bits. The registers 12 are coupled to combinational logic 14 that may contain therein logic gates and/or other logic circuitry. The integrated circuit chip 10 may further include one or more data input signals (Data_In), one or more data output signals (Data_Out) and one or more control input signals (Control). It is understood that the particular structural relationship between registers 12, combinational logic 14, the data input signals (Data_In), and the data output signals (Data_Out) depends on the desired operation of the integrated circuit chip 10.
  • The [0016] integrated circuit chip 10 is configurable into a test mode of operation and a normal mode of operation. When in the normal mode of operation, the integrated circuit chip 10 receives data input signals (Data_In), performs internal computations using the registers 12 and the combinational logic 14, and generates signals on data output signals (Data_Out). The integrated circuit chip 10 also receives a clock signal (CLK) that is connected to each register flip-flop 20 within the registers 12. For reasons of simplicity, each register flip-flop 20 within the registers 12 is connected to the same clock signal (CLK). It is understood, however, that registers 12 may receive different clock signals. Moreover, the integrated circuit chip 10 may receive at least one control signal (Control) that may be used to control the operation of the integrated circuit chip 10.
  • In addition, the [0017] integrated circuit chip 10 may have a scan input signal (Scan_In), a scan output signal (Scan_Out), and a test enable signal (Test_Enable). When the integrated circuit chip 10 is configured in the test mode of operation, the registers 12 form at least one serial shift register chain to allow for a serial input test pattern to be shifted therein through the scan input signal (Scan_In) and a serial output test pattern to be shifted out through the scan output signal (Scan_Out). The test enable signal (Test_Enable) is utilized to configure the integrated circuit chip 10 into and out of the test mode of operation.
  • Referring now to FIG. 2, there is illustrated an exemplary embodiment of first and [0018] second registers 12 of the integrated circuit chip 10. It should be understood that the flip-flops 20 within the other registers 12 of the integrated circuit chip 10 may have substantially the same configuration as illustrated herein for the first and second registers 12. The flip-flops 20 depicted and described hereinbelow are D-type flip-flops and it should be understood that other types of flip-flops may be utilized in registers 12.
  • The flip-[0019] flops 20 within the registers 12 may have their data input (D) and data output (Q) connected to the combinational logic 14. The combinational-logic 14 may contain one or more logical components such as logic gates, wires, etc. that determine the operation of the integrated circuit chip 10. Combinational logic 14 may be connected to one or more registers 12 within the integrated circuit chip 10. For example, the data output (Q) of a flip-flop 20 may be connected to the data input (D) of another flip-flop 20 through a circuit path in the combinational logic 14 that may include a logic gate, a plurality of logic gates, or merely a wire segment.
  • Each flip-[0020] flop 20 includes a test enable terminal (TE) that determines whether the flip-flop 20 is configured in the test mode of operation or normal mode of operation. The test enable terminal (TE) is connected to the test enable signal (Test_Enable) to receive a mode configuration signal therefrom that configures each flip-flop 20 in either one of the two modes of operation. The flip-flops 20 are coupled together using the scan inputs (SI) and scan outputs (SO) thereof The scan output (SO) of one flip-flop 20 may be connected to the scan input (SI) of another flip-flop 20. This connection of the scan output (SO) of one flip-flop 20 to the scan input (SI) of a next flip-flop 20 is repeated for the flip-flops 20 within each of the registers 12 and also between different registers 12 so as to form at least one serial shift register chain. When the integrated circuit chip 10 is configured in the test mode of operation, data may be serially shifted into the registers 12 using the serial shift register chain. Data shifted in the registers 12 will propagate through the flip-flops 20 within the registers using the connections between the scan outputs (SO) and the scan inputs (SI) of the flip-flops 20. The data may also be shifted out of the serial shift register through the scan output signal (Scan_Out) of the integrated circuit chip 10.
  • FIG. 3 illustrates an exemplary embodiment of the flip-[0021] flop 20 according to an exemplary embodiment of the present invention. The flip-flop 20 contains therein a master latch 38 and a slave latch 42. The master latch 38 receives the signal applied to the data input (D) when in a normal mode of operation ( TE being in a logic low state) and the signal applied to the scan input (SI) when in a test mode of operation ( TE being in the logic high state). It should be understood that TE may be inverted in certain implementations of the flip-flop such that when the test enable terminal (TE) has a low logic value thereon, the flip-flop 20 is in the test mode of operation and when the test enable terminal (TE) has a high logic value thereon, the flip-flop is in the normal mode of operation. The master latch 38 is updated (i.e., receives the signal on either D or SI) when clock signal (CLK) is at a logic low value. The master latch 38 stores and/or latches either the signal appearing on data input (D) or scan input (SI) when the clock signal (CLK) is at a high logic value.
  • The slave latch [0022] 42 is coupled to the master latch 38 and receives the logical inverse of the value stored in the master latch 38 when the clock signal (CLK) is at a high logic value. The received value is then stored or latched in the slave latch 42 when the clock signal (CLK) is at a logic low value. Thus, a data output (Q), which is coupled to the slave latch 42, may change state on the rising edge of the clock signal (CLK). It should be understood that other circuit configurations of the flip-flop 20 may be implemented that are capable of performing the functions described hereinabove.
  • Unlike in conventional designs, a scan output (SO) of flip-[0023] flop 20 does not always follows the data output (Q) (or the logical inverse of the data output (Q′), depending on the design). Rather, flip-flop 20 includes a scan output enable/disable circuit 50 (FIGS. 2 and 3) which enables the scan output (SO) to follow the data output (Q) during the test mode of operation (TE being at a high logic value) and disables the scan output (SO) from following the data output (Q) during the normal mode of operation (TE being at a low logic value). The scan output enable/disable circuit 50 is coupled between the data output (Q) and the scan output (SO) of the flip-flop 20. In an exemplary embodiment of the present invention, the circuit 50 includes a pass-gate circuit 52 and a pull down transistor 58. The pass-gate circuit 52 is activated to enable the scan output (SO) to follow the data output (Q) when the flip-flop 20 is in the test mode of operation, i.e., when a high logic value appears on the test enable terminal (TE). However, when the flip-flop 20 is in the normal mode of operation (TE being at a low logic value), the pass-gate circuit 52 is deactivated to disable the scan output (SO) from following the data output (Q). In an exemplary embodiment of the present invention, the pass-gate circuit 52 includes two transistors, a PMOS transistor 54 and a NMOS transistor 56, coupled between the data output (Q) and the scan output (SO). The gate/control terminal of the NMOS transistor 56 is coupled to the test enable terminal (TE), and the gate/control terminal of the PMOS transistor 54 is coupled to the logical inverse of the test enable terminal (TE). The pull down transistor 58 is connected between the scan output (SO) of the flip-flop 20 and a predetermined voltage level, i.e., ground. The gate/control terminal of the pull down transistor 58 is coupled to the logical inverse of the test enable terminal (TE). The pull down transistor 58 is operable to pull the scan output (SO) to a predetermined logic value, i.e., a low logic value, when the flip-flop 20 is in the normal mode of operation and the pass-gate circuit 52 is deactivated. However, when the flip-flop 20 is in the test mode of operation (TE being at a high logic value), the pull down transistor 58 is deactivated.
  • It should be understood that a pull up transistor may be utilized instead of the pull down transistor [0024] 58 which will selectively drive the scan output (SO) to a high logic value. It should also be understood that other configurations of a pass-gate circuit 52 and a pull down transistor 58 may be implemented. For example, a logic AND gate may be utilized that has an input coupled to the data output (Q) of the flip-flop 20, a second input coupled to the test enable terminal (TE), and an output coupled to the scan output (SO). In this way, the scan output (SO) follows the data output (Q) when the flip-flop 20 is configured in the test mode of operation and is fixed at a predetermined value (i.e., the logic low value) when the flip-flop 20 is in the normal mode of operation.
  • By disabling the scan output (SO) from following the data output (Q) and instead driving it to the predetermined logic level during the normal mode of operation, the scan output (SO) does not toggle so that less power is dissipated by the [0025] integrated circuit chip 10. Moreover, less noise is generated within the integrated circuit chip 10 due to the scan output (SO) being prevented from toggling between logic states.
  • It should be understood that driving of the scan output (SO) of flip-[0026] flop 20 to the predetermined logic value may not be necessary depending on the particular implementation of the integrated circuit and particularly flip-flop 20. For example, if the scan output (SO) of each flip-flop 20 is only connected to the scan input (SI) of another flip-flop 20 implemented as shown in FIG. 3, then driving the scan output (SO) to any predetermined logic value may not be necessary since the scan input (SI) is prevented by circuit 36 of flip-flop 20 from creating a current path in flip-flop 20 between a power supply and a ground reference due to the scan input (SI) being at an intermediate voltage level.
  • Turning now to FIG. 4, there is illustrated a flow diagram of an operation of the [0027] integrated circuit chip 10 of FIG. 1. As described hereinabove, a number of test patterns are required to achieve a desired fault coverage level for the integrated circuit chip 10. Each test pattern is specifically formulated to test one or more elements and/or connections in the integrated circuit chip 10. To perform the testing operation, the integrated circuit chip 10 is first configured in the test mode of operation (step 106). This is performed by asserting the test enable signal (Test_Enable), i.e., providing a high logic value on the test enable signal (Test_Enable), which activates the pass-gate circuit 52 in flip-flops 20 so that, for each flip-flop 20, the scan output (SO) follows the data output (Q). The assertion of the test enable signal (Test_Enable) also configures registers 12 to form at least one serial shift register. The first test pattern is then serially loaded into the register bits, i.e., flip-flips 20, of the registers 12 within the integrated circuit chip 10 (step 108) through the scan input signal Scan_In. The integrated circuit chip 10 is then configured in the normal mode of operation (step 112). This is performed by de-asserting the test enable signal (Test_Enable). The test enable signal (Test_Enable) now being at a low logic value will cause the test enable terminal (TE) of each flip-flop 20 to be at a low logic level. For each flip-flop 20, this disables the scan output (SO) from following the data output (Q) by turning off the pass-gate circuit 52, and enables the pull down transistor 58 to drive the scan output (SO) to the predetermined value, i.e., the low logic value. A clock pulse on clock signal (CLK) is then applied (step 114) which causes each flip-flop 20 to load data appearing at the data input (D) terminal of each flip-flop 20. At this point, the registers 12 have been updated with new values based upon the particular implementation of the combinational logic 14 and the relationship between the combinational logic 14, registers 12 and the signal values applied to the data input signals (Data_In).
  • The integrated [0028] circuit chip 10 is again configured in the test mode of operation (step 106) and the values stored in the register bits, upon application of pulses on clock signal (CLK), are shifted out (step 108) through the scan output signal (Scan_Out). The scan output signal (Scan_Out) is examined and compared to expected output values. During the shifting out of the stored values (step 108), a new data pattern is serially shifted in the register bits. The examination of various test patterns improve the controllability and/or observability of the internal nodes within the integrated circuit chip 10. Once all the test patterns required to achieve the desired fault coverage level have been used in the testing of the integrated circuit chip 10, the testing operation is terminated.
  • FIG. 5 is a flow diagram illustrating an operation of the flip-[0029] flop 20 according to the exemplary embodiment of the present invention. The flip-flop 20 receives via circuit 36 a signal appearing on scan input (SI) when in the test mode of operation and a signal appearing on scan data input (D) via circuit 34 when in the normal mode of operation (step 154). If test enable terminal (TE) has a high logic value, the occurrence of a rising edge appearing on clock signal (CLK) (step 158) causes flip-flop 20 to store the signal appearing on the scan input (SI) (step 162). However, if the signal appearing on the test enable terminal (TE) is at a low logic value during the occurrence of a rising edge of the clock signal (CLK), the signal appearing on the data input (D) is stored in the flip-flop 20 (step 164). The stored value in the flip-flop 20 is outputted to the data output (Q)(step 168). It should be understood that in the implementation of flip-flop 20 of FIG. 3, the rising edge of the clock signal (CLK) is utilized whereas in other configurations of the flip-flop the falling edge of the clock may be utilized to trigger the storing operation.
  • The scan output (SO) of the flip-[0030] flop 20 follows the data output (Q) thereof and outputs the stored value maintained by the flip-flop 20 (step 172) if the flip-flop is configured in the test mode of operation, i.e., a high logic value appearing on the test enable terminal (TE). However, the scan output (SO) is disabled from following the data output (Q) and is instead fixed at a predetermined logic value (step 174) if the flip-flop is configured in the normal mode of operation, i.e., a low logic value appearing on the test enable terminal (TE). This process is repeated for each received input signal.
  • A scan output (SO) within the flip-[0031] flop 20 follows the data output (Q) when the flip-flop 20 is configured in the test mode of operation, so as to be capable of forming a serial shift register chain. When in the normal mode of operation, the scan output (SO) is not needed and thus is disabled from following the data output (Q) or otherwise toggling, thereby reducing power dissipated by the flip-flop 20 and reducing noise levels within the integrated circuit chip 10.
  • Although exemplary embodiments of the method and apparatus of the present invention have been illustrated in the accompanying drawings and described in the foregoing detailed description, it will be understood that the invention is not limited to the embodiments disclosed, but is capable of numerous rearrangements, modifications and substitutions without departing from the spirit of the invention as set forth and defined by the following claims. [0032]

Claims (25)

What is claimed is:
1. A flip-flop comprising:
a mode configuration input for receiving a test enable signal for configuring the flip-flop between a test mode of operation and a normal mode of operation;
at least one data input for receiving at least one data signal, said flip-flop being capable of storing the at least one data signal when the flip-flop is in the normal mode of operation;
a scan input for receiving a test data signal, said flip-flop being capable of storing the test data signal when the flip-flop is in the test mode of operation;
a scan output; and
a circuit for enabling the scan output to output the logic value stored in the flip-flop when the flip-flop is in the test mode of operation and for disabling the scan output from outputting the logic value stored in the flip-flop when the flip-flop is in the normal mode of operation.
2. The flip-flop of claim 1, further comprising at least one latch, said circuit being coupled between the scan output and the at least one latch.
3. The flip-flop of claim 1, further comprising a data output for providing the value stored in the flip-flop, said circuit being coupled between the data output and the scan output.
4. The flip-flop of claim 3, wherein the circuit comprises at least one pass gate transistor coupled between the data output of the flip-flop and the scan output so that the scan output selectively follows the data output of the flip-flop.
5. The flip-flop of claim 1, wherein the circuit drives said scan output to a predetermined logic value when the flip-flop is in the normal mode of operation.
6. The flip-flop of claim 5, wherein the circuit comprises a pull down transistor coupled to the scan output for selectively driving the scan output to a low logic value.
7. The flip-flop of claim 5, wherein the circuit comprises a pull up transistor coupled to the scan output for selectively driving the scan output to a high logic value.
8. The flip-flop of claim 1, wherein the flip-flop is a D-flip-flop.
9. The flip-flop of claim 1, further comprising a data output for outputting the logic value stored in the flip-flop, wherein the circuit comprises a logic gate having a first input coupled to a data output of the flip-flop and an output coupled to the scan output for generating an output logic value.
10. The flip-flop of claim 9, wherein a second input of the logic gate is coupled to the mode configuration input.
11. An integrated circuit configured to operate in a normal mode of operation and a test mode of operation, said integrated circuit comprising:
a plurality of registers selectively connected together to form at least one serial shift register when the integrated circuit is configured in the test mode of operation, each of the registers including at least one flip-flop, said at least one flip-flop within each of the registers including at least a test enable input, a scan input, a data input, a scan output and a data output;
a combinational logic circuit for receiving as input the data output of the at least one flip-flop within a first of the registers and for generating a signal coupled to the data input of the at least one flip-flop within a second of the registers;
wherein the at least one flip-flop in each of the registers is enabled for storing the signal appearing on the scan input of the at least one flip-flop and disabled from storing the signal appearing on the data input of the at least one flip-flop when the integrated circuit is in the test mode of operation, disabled from storing the signal appearing on the scan input of the at least one flip-flop and enabled for storing the signal appearing on the data input of the at least one flip-flop when the integrated circuit is in the normal mode of operation, and enabled to output a logic value stored by the at least one flip-flop on the scan output of the at least one flip-flop when in the test mode of operation and disabled from outputting on the scan output the logic value stored by the at least one flip-flop when in the normal mode of operation.
12. The integrated circuit of claim 11, wherein said at least one flip-flop of each register comprises at least one pass gate transistor coupled between the data output and the scan output of the flip-flop for selectively providing, by the scan output, the logic value stored by the flip-flop.
13. The integrated circuit of claim 11, wherein the scan output of the at least one flip-flop of each register is driven to a predetermined logic value when in the normal mode of operation.
14. The integrated circuit of claim 13, wherein the at least one flip-flop of each register comprises a transistor coupled to the scan output for driving the scan output to the predetermined logic value.
15. The integrated circuit of claim 11, wherein the at least one flip-flop of each register comprises a logic gate having a first input coupled to a data output of the flip-flop, a second input of the logic gate coupled to the test enable input and an output coupled to the scan output.
16. A method for testing an integrated circuit having a plurality of registers therein, each one of the registers including at least one register bit having a scan output, said method comprising the steps of:
configuring the registers to form at least one serial shift register using the scan output of each register bit;
shifting a test pattern into the at least one serial shift register;
configuring the registers in a normal mode of operation, including disabling the scan output of at least some register bits so that for each of the at least some register bits, the scan output thereof is disabled from providing a value indicative of a value maintained by the register bit; and
applying at least one clock cycle to the registers.
17. The method of claim 16, wherein the step of configuring the registers in a normal mode-of operation comprises the step of driving the scan output of at least some of the register bits to a predetermined logic value.
18. The method of claim 16, further comprising repeating the steps of configuring the registers to form at least one serial shift register chain, shifting, configuring the registers in a normal mode of operation, and applying the at least one clock cycle for different test patterns a number of times.
19. The method of claim 18, further comprising, following the step of repeating, the step of:
configuring the registers in a normal mode of operation, including disabling the scan output of the at least some register bits and driving the scan output to the predetermined logic value.
20. A method for operating a flip-flop having serial scan capabilities including a scan output, said method comprising the steps of
receiving a mode configuration signal for configuring the flip-flop between a test mode of operation and a normal mode of operation; and
selectively disabling the scan output from providing the logic value stored by the flip-flop based upon the value of the mode configuration signal received.
21. The method of claim 20, further comprising:
selectively driving the scan output to a predetermined logic value based upon the value of the mode configuration signal received.
22. The method of claim 21, wherein the step of driving comprises driving the scan output to a low logic value.
23. The method of claim 21, wherein the step of driving comprises driving the scan output to a high logic value.
24. The flip-flop of claim 20, wherein the logic value stored in the flip-flop corresponds to an output of the flip-flop.
25. The flip-flop of claim 20, wherein the step of selectively disabling is performed when said mode configuration signal configures the flip-flop in the normal mode of operation.
US10/719,227 2002-05-14 2003-11-21 Method and system for disabling a scanout line of a register flip-flop Abandoned US20040117705A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US10/719,227 US20040117705A1 (en) 2002-05-14 2003-11-21 Method and system for disabling a scanout line of a register flip-flop

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/146,407 US6680622B2 (en) 2002-05-14 2002-05-14 Method and system for disabling a scanout line of a register flip-flop
US10/719,227 US20040117705A1 (en) 2002-05-14 2003-11-21 Method and system for disabling a scanout line of a register flip-flop

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/146,407 Division US6680622B2 (en) 2002-05-14 2002-05-14 Method and system for disabling a scanout line of a register flip-flop

Publications (1)

Publication Number Publication Date
US20040117705A1 true US20040117705A1 (en) 2004-06-17

Family

ID=29418815

Family Applications (2)

Application Number Title Priority Date Filing Date
US10/146,407 Expired - Lifetime US6680622B2 (en) 2002-05-14 2002-05-14 Method and system for disabling a scanout line of a register flip-flop
US10/719,227 Abandoned US20040117705A1 (en) 2002-05-14 2003-11-21 Method and system for disabling a scanout line of a register flip-flop

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US10/146,407 Expired - Lifetime US6680622B2 (en) 2002-05-14 2002-05-14 Method and system for disabling a scanout line of a register flip-flop

Country Status (1)

Country Link
US (2) US6680622B2 (en)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070061647A1 (en) * 2004-10-28 2007-03-15 Dhong Sang H Scan Chain Disable Function for Power Saving
US20080250283A1 (en) * 2007-04-04 2008-10-09 Lsi Logic Corporation Power saving flip-flop
US20090079448A1 (en) * 2007-09-25 2009-03-26 Formfactor, Inc. Method And Apparatus For Testing Devices Using Serially Controlled Resources
US8683278B2 (en) * 2011-06-13 2014-03-25 Fujitsu Semiconductor Limited Semiconductor device
CN109884496A (en) * 2018-11-13 2019-06-14 创意电子(南京)有限公司 Test macro and test method

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6853212B2 (en) * 2002-12-20 2005-02-08 Texas Instruments Incorporated Gated scan output flip-flop
KR101076809B1 (en) * 2004-06-18 2011-10-25 삼성전자주식회사 Scan flip-flop circuit to reduce redundant power consumption
US7154317B2 (en) * 2005-01-11 2006-12-26 Arm Limited Latch circuit including a data retention latch
US7409631B2 (en) * 2005-12-30 2008-08-05 Intel Corporation Error-detection flip-flop
KR100852189B1 (en) * 2006-11-30 2008-08-13 삼성전자주식회사 Pad with a test logic for having a function of noise reduction and driving method of system having the same
JP2009288056A (en) * 2008-05-29 2009-12-10 Toshiba Corp Scan flip-flop having scan output signal cutoff function
US7683666B1 (en) * 2009-01-21 2010-03-23 Xilinx, Inc. Circuit component with programmable characteristics and method of operating same
JP4892044B2 (en) * 2009-08-06 2012-03-07 株式会社東芝 Semiconductor device
US20120176144A1 (en) * 2011-01-07 2012-07-12 International Business Machines Corporation At-speed scan enable switching circuit
US9110141B2 (en) * 2012-11-02 2015-08-18 Nvidia Corporation Flip-flop circuit having a reduced hold time requirement for a scan input
US10024909B2 (en) 2016-04-11 2018-07-17 Nxp Usa, Inc. Multi-bit data flip-flop with scan initialization
US10060971B2 (en) * 2016-08-16 2018-08-28 International Business Machines Corporation Adjusting latency in a scan cell

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4495629A (en) * 1983-01-25 1985-01-22 Storage Technology Partners CMOS scannable latch
US4602210A (en) * 1984-12-28 1986-07-22 General Electric Company Multiplexed-access scan testable integrated circuit
US5444404A (en) * 1994-03-03 1995-08-22 Vlsi Technology, Inc. Scan flip-flop with power saving feature
US5668490A (en) * 1996-05-01 1997-09-16 Sun Microsystems, Inc. Flip-flop with full scan capability
US5838693A (en) * 1995-12-05 1998-11-17 Atg Technology, Inc. Partial scan logic
US6114892A (en) * 1998-08-31 2000-09-05 Adaptec, Inc. Low power scan test cell and method for making the same
US6271700B1 (en) * 1999-09-14 2001-08-07 Fujitsu Limited Semiconductor integrated circuit having scan path
US6380780B1 (en) * 2000-06-01 2002-04-30 Agilent Technologies, Inc Integrated circuit with scan flip-flop

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4495629A (en) * 1983-01-25 1985-01-22 Storage Technology Partners CMOS scannable latch
US4602210A (en) * 1984-12-28 1986-07-22 General Electric Company Multiplexed-access scan testable integrated circuit
US5444404A (en) * 1994-03-03 1995-08-22 Vlsi Technology, Inc. Scan flip-flop with power saving feature
US5838693A (en) * 1995-12-05 1998-11-17 Atg Technology, Inc. Partial scan logic
US5668490A (en) * 1996-05-01 1997-09-16 Sun Microsystems, Inc. Flip-flop with full scan capability
US6114892A (en) * 1998-08-31 2000-09-05 Adaptec, Inc. Low power scan test cell and method for making the same
US6271700B1 (en) * 1999-09-14 2001-08-07 Fujitsu Limited Semiconductor integrated circuit having scan path
US6380780B1 (en) * 2000-06-01 2002-04-30 Agilent Technologies, Inc Integrated circuit with scan flip-flop

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070061647A1 (en) * 2004-10-28 2007-03-15 Dhong Sang H Scan Chain Disable Function for Power Saving
US7962811B2 (en) * 2004-10-28 2011-06-14 International Business Machines Corporation Scan chain disable function for power saving
US20080250283A1 (en) * 2007-04-04 2008-10-09 Lsi Logic Corporation Power saving flip-flop
US7650548B2 (en) * 2007-04-04 2010-01-19 Lsi Corporation Power saving flip-flop
US20090079448A1 (en) * 2007-09-25 2009-03-26 Formfactor, Inc. Method And Apparatus For Testing Devices Using Serially Controlled Resources
US7888955B2 (en) * 2007-09-25 2011-02-15 Formfactor, Inc. Method and apparatus for testing devices using serially controlled resources
US8683278B2 (en) * 2011-06-13 2014-03-25 Fujitsu Semiconductor Limited Semiconductor device
CN109884496A (en) * 2018-11-13 2019-06-14 创意电子(南京)有限公司 Test macro and test method

Also Published As

Publication number Publication date
US6680622B2 (en) 2004-01-20
US20030214318A1 (en) 2003-11-20

Similar Documents

Publication Publication Date Title
US6680622B2 (en) Method and system for disabling a scanout line of a register flip-flop
US6753714B2 (en) Reducing power and area consumption of gated clock enabled flip flops
US10931264B2 (en) Low-power flip flop circuit
US7320098B2 (en) Semiconductor integrated circuit device having scan flip-flop circuit
US6065029A (en) Method and system for providing a random number generator
JP3612336B2 (en) I / O toggle test method and apparatus using JTAG
US20090300448A1 (en) Scan flip-flop device
JPH03103781A (en) Method and apparatus for testing delay defect
US20160091566A1 (en) Integrated circuit wth low power scan flip-flop
US7492201B2 (en) Two-latch clocked-LSSD flip-flop
US20110276849A1 (en) System, circuit, and device for asynchronously scan capturing multi-clock domains
US9535123B2 (en) Frequency scaled segmented scan chain for integrated circuits
US20080016417A1 (en) Cell Supporting Scan-based Tests and With Reduced Time Delay in Functional Mode
US8209573B2 (en) Sequential element low power scan implementation
Chiu et al. Jump scan: A DFT technique for low power testing
US7237164B1 (en) Area optimized edge-triggered flip-flop for high-speed memory dominated design
US7406639B2 (en) Scan chain partition for reducing power in shift mode
US9021323B1 (en) Test techniques and circuitry
US6693460B2 (en) Scan flip-flop and semiconductor integrated circuit device
US6487688B1 (en) Method for testing circuits with tri-state drivers and circuit for use therewith
CN113608112A (en) Scan output flip-flop
JP4999632B2 (en) Semiconductor integrated circuit
US7389452B2 (en) Methods and apparatus for monitoring internal signals in an integrated circuit
US20100033189A1 (en) Semiconductor integrated circuit and test method using the same
US6853698B1 (en) Ripple counter circuits and methods providing improved self-testing functionality

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION