US20040084414A1 - Polishing method and polishing composition used for polishing - Google Patents

Polishing method and polishing composition used for polishing Download PDF

Info

Publication number
US20040084414A1
US20040084414A1 US10/642,929 US64292903A US2004084414A1 US 20040084414 A1 US20040084414 A1 US 20040084414A1 US 64292903 A US64292903 A US 64292903A US 2004084414 A1 US2004084414 A1 US 2004084414A1
Authority
US
United States
Prior art keywords
polishing
acid
polishing composition
composition
conductor layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/642,929
Inventor
Kenji Sakai
Kazusei Tamai
Atsunori Kawamura
Tsuyoshi Matsuda
Tatsuhiko Hirano
Katsuyoshi Ina
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Fujimi Inc
Original Assignee
Fujimi Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fujimi Inc filed Critical Fujimi Inc
Assigned to FUJIMI INCORPORATED reassignment FUJIMI INCORPORATED ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: HIRANO, TATSUHIKO, INA, KATSUYOSHI, KAWAMURA, ATSUNORI, MATSUDA, TSUYOSHI, SAKAI, KENJI, TAMAI, KAZUSEI
Publication of US20040084414A1 publication Critical patent/US20040084414A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/02Polishing compositions containing abrasives or grinding agents
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F3/00Brightening metals by chemical means

Definitions

  • the present invention relates to a polishing method used when, for example, forming a wiring structure of a semiconductor device, and to a polishing composition used for polishing.
  • a wiring structure of a semiconductor device can be formed by using a chemical mechanical polishing (CMP) method.
  • CMP chemical mechanical polishing
  • a multilayer is prepared as a polishing target.
  • the multilayer includes an insulation layer 11 , a barrier layer 14 , which is located on the insulation layer 11 ; and a conductor layer 13 , which is located on the barrier layer 14 .
  • the insulation layer 11 has trenches 12 on its surface.
  • Each of the barrier layer 14 and the conductor layer 13 has an inner portion located inside the trenches 12 and an outer portion located outside the trenches 12 .
  • the multilayer is polished to remove the outer portion of the conductor layer 13 and the outer portion of the barrier layer 14 .
  • a wiring portion 17 (see FIG. 1( d )), which is made of the inner portion of the conductor layer 13 , is formed in the trenches 12 .
  • a multilayer is polished using a polishing composition that efficiently polishes the conductor layer 13 to remove the outer portion of the conductor layer 13 . Then, the multilayer is polished using a different polishing composition that efficiently polishes the barrier layer 14 to remove the outer portion of the barrier layer 14 .
  • a multilayer is polished using a polishing composition that efficiently polishes the conductor layer 13 to remove part of the outer portion of the conductor layer 13 . Then, the multilayer is polished using a different polishing composition that efficiently polishes the conductor layer 13 and the barrier layer 14 to remove a remaining part of the outer portion of the conductor layer 13 and the outer portion of the barrier layer 14 .
  • the first method a considerable amount of the inner portion of the conductor layer 13 is removed with the outer portion of the conductor layer 13 in the first polishing step.
  • the second method a considerable amount of the inner portion of the conductor layer 13 is removed with the remaining part of the outer portion of the conductor layer 13 and the outer portion of the barrier layer 14 in the second polishing step.
  • the present invention provides a method for polishing a polishing target.
  • the polishing target has an insulation layer, a barrier layer, and a conductor layer.
  • the insulation layer has a surface, which includes a trench.
  • the barrier layer is located on the insulation layer.
  • the conductor layer is made of metal that includes copper and is located on the barrier layer.
  • Each of the barrier layer and the conductor layer has an inner portion located inside the trench and an outer portion located outside the trench.
  • the method includes: polishing the polishing target using a first polishing composition to remove part of the outer portion of the conductor layer; wherein the first polishing composition includes abrasive, a polishing accelerator, hydrogen peroxide, and water; wherein the abrasive includes at least one of silicon dioxide and aluminum oxide; and wherein the polishing accelerator includes at least one of glycine and ⁇ -alanine; polishing the polishing target using a second polishing composition to remove a remaining part of the outer portion of the conductor layer; and polishing the polishing target using a third polishing composition to remove the outer portion of the barrier layer.
  • the first polishing composition includes abrasive, a polishing accelerator, hydrogen peroxide, and water
  • the abrasive includes at least one of silicon dioxide and aluminum oxide
  • the polishing accelerator includes at least one of glycine and ⁇ -alanine
  • the present invention also provides a method for polishing a polishing target.
  • the polishing target has an insulation layer, a barrier layer, and a conductor layer.
  • the insulation layer has a surface, which includes a trench.
  • the barrier layer is located on the insulation layer.
  • the conductor layer is made of metal that includes copper and is located on the barrier layer.
  • Each of the barrier layer and the conductor layer has an inner portion located inside the trench and an outer portion located outside the trench.
  • the method includes: polishing the polishing target with a first polishing composition to remove part of the outer portion of the conductor layer; polishing the polishing target with a second polishing composition to remove a remaining part of the outside portion of the conductor layer; wherein the second polishing composition includes abrasive, a polishing accelerator, an organic compound, a corrosion inhibitor, hydrogen peroxide, and water; wherein the abrasive includes at least one of silicon dioxide and aluminum oxide; wherein the polishing accelerator includes at least one of glycine and ⁇ -alanine; and wherein the organic compound includes at least one compound selected from the group consisting of polyethylene oxide, polypropylene oxide, polyoxyethylene alkyl ether, polyoxypropylene alkyl ether, polyoxyethylene polyoxypropylene alkyl ether, and an addition polymer of polyoxyalkylene represented by the following chemical formula (1),
  • each of R 1 to R 6 represents a hydrogen atom or an alkyl group the carbon number of which is any of integer numbers 1 to 10, wherein each of X and Y represents an ethyleneoxy group or a propyleneoxy group, wherein each of m and n represents any of integer numbers 1 to 20, and wherein the corrosion inhibitor includes at least one of benzotriazole and a derivative of benzotriazole; and polishing the polishing target using a third polishing composition to remove the outer portion of the barrier layer.
  • a further aspect of the present invention is a method for polishing a polishing target.
  • the polishing target has an insulation layer, a barrier layer, and a conductor layer.
  • the insulation layer has a surface, which includes a trench.
  • the barrier layer is located on the insulation layer.
  • the conductor layer is made of metal that includes copper and is located on the barrier layer.
  • Each of the barrier layer and the conductor layer has an inner portion located inside the trench and an outer portion located outside the trench.
  • the method includes: polishing the polishing target using a first polishing composition to remove part of the outer portion of the conductor layer; polishing the polishing target using a second polishing composition to remove a remaining part of the outer portion of the conductor layer; and polishing the polishing target using a third polishing composition to remove the outer portion of the barrier layer; wherein the third polishing composition includes abrasive, acid or alkali, a corrosion inhibitor, and water; wherein the abrasive includes at least one of silicon dioxide and aluminum oxide; wherein the acid includes at least one acid selected from the group consisting of nitric acid, hydrochloric acid, lactic acid, phosphoric acid, sulfuric acid, acetic acid, oxalic acid, citric acid, tartaric acid, malonic acid, succinic acid, maleic acid, and fumaric acid; wherein the alkali includes at least one alkali selected from the group consisting of potassium hydroxide, ammonium hydroxide, and sodium hydroxide; and wherein the corrosion
  • the present invention may also be embodied in a method for polishing a polishing target.
  • the polishing target has an insulation layer, a barrier layer, and a conductor layer.
  • the insulation layer has a surface, which includes a trench.
  • the barrier layer is located on the insulation layer.
  • the conductor layer is made of metal that includes copper and is located on the barrier layer.
  • Each of the barrier layer and the conductor layer has an inner portion located inside the trench and an outer portion located outside the trench.
  • the method includes: polishing the polishing target using a first polishing composition to remove part of the outer portion of the conductor layer; wherein the first polishing composition includes abrasive, a polishing accelerator, hydrogen peroxide, and water; polishing the polishing target using a second polishing composition to remove a remaining part of the outer portion of the conductor layer; wherein the second polishing composition includes abrasive, a polishing accelerator, an organic compound, a corrosion inhibitor, hydrogen peroxide, and water; and polishing the polishing target using a third polishing composition to remove the outer portion of the barrier layer; wherein the third polishing composition includes abrasive, acid or alkali, a corrosion inhibitor, and water.
  • Each abrasive includes at least one of silicon dioxide and aluminum oxide.
  • Each polishing accelerator includes at least one of glycine and ⁇ -alanine.
  • the organic compound includes at least one compound selected from the group consisting of polyethylene oxide, polypropylene oxide, polyoxyethylene alkyl ether, polyoxypropylene alkyl ether, polyoxyethylene polyoxypropylene alkyl ether, and an addition polymer of polyoxyalkylene represented by the following chemical formula (1).
  • Each of R 1 to R 6 represents a hydrogen atom or an alkyl group the carbon number of which is any of integer numbers 1 to 10.
  • Each of X and Y represents an ethyleneoxy group or a propyleneoxy group.
  • Each of m and n represents any of integer numbers 1 to 20.
  • the acid includes at least one acid selected from the group consisting of nitric acid, hydrochloric acid, lactic acid, phosphoric acid, sulfuric acid, acetic acid, oxalic acid, citric acid, tartaric acid, malonic acid, succinic acid, maleic acid, and fumaric acid.
  • the alkali includes at least one alkali selected from the group consisting of potassium hydroxide, ammonium hydroxide, and sodium hydroxide.
  • Each corrosion inhibitor includes at least one of benzotriazole and a derivative of benzotriazole.
  • the present invention provides a polishing composition used for polishing a polishing target.
  • the polishing target has an insulation layer, a barrier layer, and a conductor layer.
  • the insulation layer has a surface, which includes a trench.
  • the barrier layer is located on the insulation layer.
  • the conductor layer is made of metal that includes copper and is located on the barrier layer.
  • Each of the barrier layer and the conductor layer has an inner portion located inside the trench and an outer portion located outside the trench.
  • the polishing composition is used to polish the polishing target to remove part of the outer portion of the conductor layer.
  • the polishing composition includes abrasive, a polishing accelerator, hydrogen peroxide, and water.
  • the abrasive includes at least one of silicon dioxide and aluminum oxide.
  • the polishing accelerator includes at least one of glycine and ⁇ -alanine.
  • the present invention also provides a polishing composition used for polishing a polishing target.
  • the polishing target has an insulation layer, a barrier layer, and a conductor layer.
  • the insulation layer has a surface, which includes a trench.
  • the barrier layer is located on the insulation layer.
  • the conductor layer is made of metal that includes copper and is located on the barrier layer.
  • Each of the barrier layer and the conductor layer has an inner portion located inside the trench and an outer portion located outside the trench.
  • the polishing composition is used to polish the polishing target from which part of the outer portion of the conductor layer is removed by prepolishing to remove a remaining part of the outer portion of the conductor layer.
  • the polishing composition includes abrasive, a polishing accelerator, an organic compound, a corrosion inhibitor, hydrogen peroxide, and water.
  • the abrasive includes at least one of silicon dioxide and aluminum oxide.
  • the polishing accelerator includes at least one of glycine and ⁇ -alanine.
  • the organic compound includes at least one compound selected from the group consisting of polyethylene oxide, polypropylene oxide, polyoxyethylene alkyl ether, polyoxypropylene alkyl ether, polyoxyethylene polyoxypropylene alkyl ether, and an addition polymer of polyoxyalkylene represented by the following chemical formula (1).
  • Each of R 1 to R 6 represents a hydrogen atom or an alkyl group the carbon number of which is any of integer numbers 1 to 10.
  • Each of X and Y represents an ethyleneoxy group or a propyleneoxy group.
  • Each of m and n represents any of integer numbers 1 to 20.
  • the corrosion inhibitor includes at least one of benzotriazole and a derivative of benzotriazole.
  • a further aspect of the present invention is a polishing composition used for polishing a polishing target.
  • the polishing target has an insulation layer, a barrier layer, and a conductor layer.
  • the insulation layer has a surface, which includes a trench.
  • the barrier layer is located on the insulation layer.
  • the conductor layer is made of metal that includes copper and is located on the barrier layer.
  • Each of the barrier layer and the conductor layer has an inner portion located inside the trench and an outer portion located outside the trench.
  • the polishing composition is used to polish the polishing target from which the outer portion of the conductor layer is removed by prepolishing to remove the outer portion of the barrier layer.
  • the polishing composition includes abrasive, acid or alkali, a corrosion inhibitor, and water.
  • the abrasive includes at least one of silicon dioxide and aluminum oxide.
  • the acid includes at least one acid selected from the group consisting of nitric acid, hydrochloric acid, lactic acid, phosphoric acid, sulfuric acid, acetic acid, oxalic acid, citric acid, tartaric acid, malonic acid, succinic acid, maleic acid, and fumaric acid.
  • the alkali includes at least one alkali selected from the group consisting of potassium hydroxide, ammonium hydroxide, and sodium hydroxide.
  • the corrosion inhibitor includes at least one of benzotriazole and a derivative of benzotriazole.
  • FIGS. 1 ( a ) to 1 ( d ) are cross-sectional views illustrating part of a multilayer to explain a polishing method according to a preferred embodiment of the present invention
  • FIG. 2( a ) is an enlarged partial cross-sectional view illustrating a portion of the multilayer shown in FIG. 1( c ) where dishing occurred;
  • FIG. 2( b ) is an enlarged partial cross-sectional view illustrating a portion of the multilayer shown in FIG. 1( c ) where erosion occurred;
  • FIG. 3( a ) is an enlarged partial cross-sectional view illustrating a portion of the multilayer shown in FIG. 1( d ) where dishing occurred;
  • FIG. 3( b ) is an enlarged partial cross-sectional view illustrating a portion of the multilayer shown in FIG. 1( d ) where erosion occurred.
  • a polishing method and a polishing composition according to the preferred embodiment are used when forming a wiring structure of a semiconductor device.
  • a method for manufacturing a multilayer that is prepared for forming a wiring structure of a semiconductor device will be described first.
  • a multilayer is generally manufactured in the following manner.
  • an insulation layer 11 is formed on a base plate (not shown).
  • the insulation layer 11 is, for example, a Sio 2 film, a SiOF film, or a SiOC film; and is formed by a chemical vapor deposition (CVD) method, which uses tetraethoxysilane (TEOS)
  • CVD chemical vapor deposition
  • TEOS tetraethoxysilane
  • trenches 12 are formed on the surface of the insulation layer 11 .
  • the trenches 12 are formed by known lithography or etching, and have a predetermined pattern based on a circuit design.
  • a barrier layer 14 is formed on the insulation layer 11 .
  • the barrier layer 14 is formed by a spattering method.
  • the barrier layer 14 is formed of a simple substance of tantalum or a compound that includes tantalum, such as tantalum nitride.
  • the barrier layer 14 prevents copper in a conductor layer 13 , which will be described later, from being scattered to the insulation layer 11 .
  • the barrier layer 14 has a predetermined thickness and has an inner portion located inside the trenches 12 and an outer portion located outside the trenches 12 .
  • the conductor layer 13 is formed on the barrier layer 14 .
  • the conductor layer 13 is formed of metal that includes copper, such as a simple substance of copper, an alloy of copper and aluminum, and an alloy of copper and titanium.
  • the conductor layer 13 completely fills the trenches 12 .
  • the conductor layer 13 has an inner portion located inside the trenches 12 and an outer portion located outside the trenches 12 .
  • Hollows 15 are formed due to the trenches 12 at the portions of the surface of the conductor layer 13 that correspond to the trenches 12 .
  • the depth of the hollows 15 is referred to as a step height.
  • the multilayer manufactured as mentioned above is then polished to remove the outer portion of the conductor layer 13 and the outer portion of the barrier layer 14 .
  • a wiring portion 17 (see FIG. 1( d )), which is made by the inner portion of the conductor layer 13 , is formed in the trenches 12 .
  • the multilayer is polished in the following manner in the preferred embodiment.
  • the multilayer is polished using a first polishing composition to remove part of the outer portion of the conductor layer 13 (see FIG. 1( b )).
  • the hollows 15 are preferably removed almost completely.
  • the depth of the hollows 15 after polishing is preferably less than or equal to 500 ⁇ , and more preferably, less than or equal to 200 ⁇ .
  • the multilayer is polished using a second polishing composition to remove a remaining part of the outer portion of the conductor layer 13 (see FIG. 1( c )).
  • the dimension represented by d1 in FIG. 2( a ) is a dishing amount measured after polishing with the second polishing composition at a portion of the multilayer that corresponds to the trench 12 the width of which is relatively large, for example, a portion of the multilayer that corresponds to the trench 12 the width of which is 10 ⁇ m.
  • the dishing amount dl is preferably less than or equal to 500 ⁇ , and more preferably, less than or equal to 300 ⁇ .
  • the dishing is a phenomenon where part of the inner portion of the conductor layer 13 is removed, and the surface of the inner portion of the conductor layer 13 becomes hollow.
  • the dimension represented by e1 in FIG. 2( b ) is an erosion amount measured after polishing with the second polishing composition at a portion of the multilayer where the trenches 12 are densely arranged, such as where the trenches 12 the width of which is 9 ⁇ m are located at intervals of 1 ⁇ m.
  • the erosion amount e1 is preferably less than or equal to 500 ⁇ , and more preferably, less than or equal to 300 ⁇ .
  • the erosion is a phenomenon where part of the insulation layer 11 between the adjacent trenches 12 is removed, and the surface of the portion of the multilayer where the trenches 12 are arranged densely becomes hollow.
  • the multilayer is polished using a third polishing composition to remove the outer portion of the barrier layer 14 (see FIG. 1( d )).
  • the dimension represented by d2 shown in FIG. 3( a ) is a dishing amount measured after polishing with the third polishing composition at a portion of the multilayer that corresponds to the trench 12 , the width of which is relatively large, for example, a portion of the multilayer that corresponds to the trench 12 the width of which is 10 ⁇ m.
  • the dimension represented by e2 shown in FIG. 3( b ) is an erosion amount measured after polishing with the third polishing composition at a portion of the multilayer where the trenches 12 are densely arranged, such as where the trenches 12 , the width of which is 9 ⁇ m, are located at intervals of 1 ⁇ m.
  • the polishing time taken in each of the first to third steps is preferably the same as the polishing time of the other two steps. This improves the efficiency in forming the wiring structure.
  • the first polishing composition includes abrasive, a polishing accelerator, hydrogen peroxide, and water.
  • the second polishing composition includes abrasive, a polishing accelerator, a nonionic organic compound, a corrosion inhibitor, hydrogen peroxide, and water.
  • the third polishing composition includes abrasive, acid or alkali, a corrosion inhibitor, and water, and further includes a polishing accelerator and hydrogen peroxide as required. If part of the outer portion of the conductor layer 13 remains after polishing with the second polishing composition, the third polishing composition preferably includes hydrogen peroxide.
  • the abrasive serves to mechanically polish the polishing target.
  • Each of the first to third polishing compositions includes at least one of silicon dioxide and aluminum oxide.
  • Silicon dioxide such as colloidal silica and fumed silica, is preferably used as abrasive.
  • Colloidal silica is particularly preferable for abrasive. This is because silicon dioxide is highly stable and colloidal silica does not easily form scratches on the polishing target.
  • the average particle size of the abrasive obtained from the surface area of the abrasive measured by a BET method is preferably 3 to 100 nm, more preferably 5 to 60 nm, and most preferably 10 to 50 nm. If the average particle size of the abrasive is less than 3 nm, the polishing performance of the polishing composition deteriorates. If the average particle size of the abrasive exceeds 100 nm, the polishing composition often forms scratches on the polishing target.
  • the content of abrasive in each of the first to third polishing compositions is preferably 1 to 100 g/L, more preferably 2 to 50 g/L. If the content of abrasive is less than 1 g/L, the polishing performance of the polishing composition decreases. If the content exceeds 100 g/L, the abrasive easily cohere with each other in the polishing composition and the polishing composition often forms scratches on the polishing target.
  • the polishing accelerator causes a chelate bond with copper in the conductor layer 13 to accelerate polishing of the conductor layer 13 .
  • Each of the first and second polishing compositions includes at least one of glycine and ⁇ -alanine as the polishing accelerator.
  • a preferable polishing accelerator is ⁇ -alanine since the polishing composition that includes ⁇ -alanine reliably polishes the polishing target.
  • the content of the polishing accelerator in the first polishing composition is preferably 2 to 30 g/L, more preferably 5 to 20 g/L. If the content of the polishing accelerator is less than 2 g/L, the polishing performance of the first polishing composition deteriorates, and if the content of the polishing accelerator exceeds 30 g/L, the polishing performance of the first polishing composition excessively increases.
  • the content of the polishing accelerator in the second polishing composition is preferably 2 to 20 g/L, more preferably 5 to 20 g/L.
  • the polishing performance of the second polishing composition deteriorates, and if the content of the polishing accelerator exceeds 20 g/L, the polishing performance of the second polishing composition excessively increases.
  • the nonionic organic compound serves to suppress generation of dishing and erosion.
  • the second polishing composition includes at least one of polyethylene oxide, polypropylene oxide, polyoxyethylene alkyl ether, polyoxypropylene alkyl ether, polyoxyethylene polyoxypropylene alkyl ether, and an addition polymer of polyoxyalkylene represented by the following chemical formula (1).
  • each of R 1 to R 6 represents a hydrogen atom or an alkyl group the carbon number of which is any of integer numbers 1 to 10
  • each of X and Y represents an ethyleneoxy group or a propyleneoxy group
  • each of m and n represents any of integer numbers 1 to 20.
  • the second polishing composition preferably includes dialkyl dimethyl butynediol polyoxyethylene glycol ether represented by the following chemical formula (2).
  • the second polishing composition more preferably includes the dialkyl dimethyl butynediol polyoxyethylene glycol ether and the polyoxyethylene polyoxypropylene alkyl ether.
  • the dialkyl dimethyl butynediol polyoxyethylene glycol ether is kind of the addition polymer of polyoxyalkylene and strongly suppresses the inner portion of the conductor layer 13 from being removed by chemical etching.
  • each of R 5 and R 6 represents a hydrogen atom or an alkyl group the carbon number of which is any of integer numbers 1 to 10, and each of m and n represents any of integer numbers 1 to 20.
  • the polyethylene oxide is also referred to as polyethylene glycol and is represented by the following chemical formula (3).
  • the polypropylene oxide is also referred to as polypropylene glycol and is represented by the following chemical formula (4).
  • the average molecular weight of the polyethylene oxide and the polypropylene oxide is preferably 100 to 10000, more preferably 200 to 1000. If the average molecular weight is less than 100, occurrence of dishing and erosion is not effectively suppressed. If the average molecular weight exceeds 10000, the solubility in water is decreased.
  • a character n in the chemical formula (3) represents the number average degree of polymerization of ethylene glycol, and a character m in the chemical formula (4) represents the number average degree of polymerization of propylene glycol.
  • the polyoxyethylene alkyl ether is combined by addition polymerization of ethylene oxide with straight chain or branched higher alcohol.
  • the polyoxyethylene alkyl ether is represented by the following chemical formula (5).
  • the polyoxypropylene alkyl ether is combined by addition polymerization of propylene oxide with straight chain or branched higher alcohol.
  • the polyoxypropylene alkyl ether is represented by the following chemical formula (6).
  • R represents an alkyl group
  • n represents the number average degree of polymerization of ethylene glycol
  • m represents the number average degree of polymerization of propylene glycol.
  • the polyoxyethylene polyoxypropylene alkyl ether is combined by addition polymerization of propylene oxide and ethylene oxide with straight chain or branched higher alcohol.
  • the polyoxyethylene polyoxypropylene alkyl ether is represented by the following chemical formula (7).
  • R represents an alkyl group
  • n represents the number average degree of polymerization of ethylene glycol
  • m represents the number average degree of polymerization of propylene glycol.
  • the proportion of hydrophilic group, or ethylene oxide, in the molecules of each of the polyoxyethylene alkyl ether, the polyoxypropylene alkyl ether, the polyoxyethylene polyoxypropylene alkyl ether, and the addition polymer of polyoxyalkylene is preferably 10 to 80%. If the proportion is less than 10% or greater than 80%, occurrence of dishing and erosion is not effectively suppressed.
  • the average molecular weight of each of the polyoxyethylene alkyl ether, the polyoxypropylene alkyl ether, the polyoxyethylene polyoxypropylene alkyl ether, and the addition polymer of polyoxyalkylene is preferably 1000 to 30000, more preferably 2000 to 20000. If the average molecular weight is less than 1000, occurrence of dishing and erosion is not effectively suppressed. If the average molecular weight exceeds 30000, the solubility in water is decreased.
  • the content of nonionic organic compound in the second polishing composition is preferably 2 to 30 g/L, more preferably 4 to 20 g/L. If the content of the nonionic organic compound is less than 2 g/L, occurrence of dishing and erosion is not effectively suppressed. If the content of the nonionic organic compound exceeds 30 g/L, the polishing performance of the second polishing composition deteriorates.
  • the polishing target which includes the conductor layer 13 including copper and the barrier layer 14 including tantalum
  • the conventional polishing composition functions as an electrolyte during polishing.
  • the second polishing composition according to the preferred embodiment does not function as an electrolyte during polishing. Therefore, when polishing with the second polishing composition, occurrence of dishing due to electrochemical reaction is prevented.
  • the second polishing composition does not function as an electrolyte during polishing because the nonionic organic compound included in the second polishing composition decreases the electric conductivity of the second polishing composition.
  • the corrosion inhibitor serves to suppress corrosion of the conductor layer 13 during and after polishing, and to suppress occurrence of dishing and erosion.
  • Each of the second and third polishing compositions includes at least one of benzotriazole and a derivative of benzotriazole as the corrosion inhibitor.
  • the preferable corrosion inhibitor is benzotriazole since the benzotriazole more reliably prevent corrosion of the conductor layer 13 .
  • each of R 7 to R 11 represents a hydrogen atom or an alkyl group.
  • the alkyl group may include hydroxyl group or carboxyl group.
  • Fourth, fifth, sixth, and seventh carbon atoms in the chemical formula (8) may be replaced with nitrogen atoms.
  • a third nitrogen atom may be replaced with a carbon atom.
  • Examples of a derivative of benzotriazole are 1-(2,3dihydroxypropyl) benzotriazole, 1-[N, N-Bis (hydroxyethyl) aminomethyl] benzotriazole, 1-(hydroxymethyl) benzotriazole, and 1-(1,2-dicarboxyethyl) benzotriazole.
  • the content of the corrosion inhibitor in each of the second and third polishing compositions is preferably 0.01 to 0.1 g/L, more preferably 0.02 to 0.06 g/L. If the content of the corrosion inhibitor is less than 0.01 g/L, occurrence of dishing and erosion is not effectively suppressed. If the content of the corrosion inhibitor exceeds 0.1 g/L, the polishing performance of the polishing composition deteriorates.
  • the acid and alkali serves to accelerate polishing of the barrier layer 14 .
  • the third polishing composition includes at least one acid selected from the group consisting of nitric acid, hydrochloric acid, lactic acid, phosphoric acid, sulfuric acid, acetic acid, oxalic acid, citric acid, tartaric acid, malonic acid, succinic acid, maleic acid, and fumaric acid, or at least one alkali selected from the group consisting of potassium hydroxide, ammonium hydroxide, and sodium hydroxide.
  • the preferable acid is lactic acid and nitric acid.
  • the preferable alkali is potassium hydroxide. This is because the lactic acid, nitric acid, and potassium hydroxide strongly accelerate polishing of the barrier layer 14 .
  • the content of acid and the content of alkali in the third polishing composition are preferably 1 to 20 g/L, more preferably 2 to 10 g/L. If the content of acid or the content of alkali is less than 1 g/L, polishing of the barrier layer 14 is not effectively accelerated. If the content of acid or the content of alkali exceeds 20 g/L, handling of the third polishing composition becomes difficult.
  • the pH of the third polishing composition is preferably 2 to 4. If the pH is less than 2, handling of the third polishing composition becomes difficult. If the pH exceeds 4, polishing of the barrier layer 14 is not effectively accelerated.
  • the pH of the third polishing composition is preferably 9 to 11. If the pH exceeds 11, handling of the third polishing composition becomes difficult. If the pH is less than 9, polishing of the barrier layer 14 is not effectively accelerated.
  • the hydrogen peroxide serves as an oxidant to accelerate polishing of the conductor layer 13 .
  • the content of the hydrogen peroxide in the first polishing composition is preferably 1 to 20 g/L, more preferably 3 to 10 g/L. If the content of the hydrogen peroxide is less than 1 g/L or if the content of the hydrogen peroxide is greater than 20 g/L, polishing of the conductor layer 13 is not effectively accelerated.
  • the content of the hydrogen peroxide in the second polishing composition is preferably 1 to 15 g/L, more preferably 2 to 10 g/L.
  • the content of the hydrogen peroxide in the third polishing composition is preferably 0.5 to 20 g/L, more preferably 1 to 10 g/L. If the content of the hydrogen peroxide is less than 0.5 g/L, polishing of the conductor layer 13 is not effectively accelerated. If the content of the hydrogen peroxide exceeds 20 g/L, dishing and erosion are often caused.
  • the water serves as a medium for dispersing and dissolving components other than water included in each of the first to third polishing compositions.
  • the water preferably does not include impurities.
  • the preferable water is filtered ion exchanged water and distilled water.
  • the rate that the first polishing composition polishes the conductor layer 13 is preferably 5000 to 100000 ⁇ /min., more preferably 7000 to 9000 ⁇ /min. If the rate is less than 5000 ⁇ /min., the polishing time is increased. If the rate exceeds 10000 ⁇ /min., the polishing rate is not easily maintained in a stable manner.
  • the rate that the second polishing composition polishes the conductor layer 13 is preferably 1000 to 4000 ⁇ /min., more preferably 2000 to 3000 ⁇ /min. If the rate is less than 10000 ⁇ /min., the polishing time is increased. If the rate exceeds 4000 ⁇ /min., dishing and erosion are often caused.
  • the rate that the second polishing composition polishes the conductor layer 13 is preferably 100 to 10000 times the rate that the second polishing composition polishes the barrier layer 14 . More preferably, the rate that the second polishing composition polishes the conductor layer 13 is 200 to 800 times the rate that the second polishing composition polishes the barrier layer 14 . If the rate of polishing the conductor layer 13 is less than 100 times the rate of polishing the barrier layer 14 , the barrier layer 14 is excessively polished. It is difficult to prepare the second polishing composition that polishes the conductor layer 13 at the polishing rate that exceeds 10000 times the rate of polishing the barrier layer 14 .
  • the rate that the third polishing composition polishes the barrier layer 14 is preferably 500 to 1500 ⁇ /min., more preferably 700 to 1000 ⁇ /min. If the rate is less than 500 ⁇ /min., the polishing time increases. If the rate exceeds 1500 ⁇ /min., the polishing speed is not easily maintained in a stable manner.
  • the rate that the third polishing composition polishes the insulation layer 11 is preferably less than 100 ⁇ /min., more preferably less than 50 ⁇ /min. If the rate exceeds 100 ⁇ /min., the insulation layer 11 is excessively polished.
  • the rate that the third polishing composition that does not include hydrogen peroxide polishes the conductor layer 13 is preferably less than 100 ⁇ /min., more preferably less than 50 ⁇ /min. If the rate exceeds 100 ⁇ /min., dishing and erosion are often caused.
  • the rate that the third polishing composition that includes hydrogen peroxide polishes the conductor layer 13 is preferably 100 to 300 ⁇ /min., more preferably 150 to 250 ⁇ /min. If the rate is less than 100 ⁇ /min., the polishing time increases. If the rate exceeds 300 ⁇ /min., dishing and erosion are often caused.
  • Each of the first to third polishing compositions may be formed by mixing agents that are prepared separately when using the first, second, or third polishing composition.
  • the first polishing composition may be prepared by mixing a mixture of abrasive, a polishing accelerator, and water with hydrogen peroxide when using the first polishing composition.
  • the second polishing composition may be prepared by mixing a mixture of abrasive, a polishing accelerator, a nonionic organic compound, a corrosion inhibitor, and water with hydrogen peroxide when using the second polishing composition.
  • the third polishing composition may be prepared by mixing a mixture of abrasive, acid or alkali, a corrosion inhibitor, and water with hydrogen peroxide when using the third polishing composition.
  • a main composition which includes abrasive, a polishing accelerator, and water; a first sub-composition, which includes a nonionic organic compound, a corrosion inhibitor, and water; a second sub-composition, which includes acid or alkali, a corrosion inhibitor, and water; and hydrogen peroxide may be prepared in advance.
  • the first polishing composition is prepared by mixing the main composition and the hydrogen peroxide
  • the second polishing composition is prepared by mixing the main composition, the first sub-composition, and the hydrogen peroxide
  • the third polishing composition is prepared by mixing the main composition, the second sub-composition, and hydrogen peroxide as required.
  • liquid that includes abrasive is difficult to preserve. Therefore, a complicated maintenance is required to preserve the first to third polishing compositions in a good condition for a long time.
  • a complicated maintenance is required to preserve the first to third polishing compositions in a good condition for a long time.
  • each of the first to third polishing compositions is formed by mixing agents that are separately prepared when using the first, second, or third polishing composition, only the main composition includes abrasive during preservation, which reduces the maintenance process.
  • Each of the main composition, the first sub-composition, and the second sub-composition preferably includes components other than water at a relatively high density to reduce the transport cost of the compositions. More specifically, it is preferable that the main composition is reliably used as the first polishing composition by diluting the main composition with water that is 2 to 20 times the volume of the main composition and adding hydrogen peroxide. It is more preferable that the main composition is reliably used as the first polishing composition by diluting the main composition with water that is 5 to 15 times the volume of the main composition and adding hydrogen peroxide.
  • a mixture of the main composition and the first sub-composition that is 1 to 9 times the volume of the main composition is reliably used as the second polishing composition by diluting the mixture with water that is 1 to 10 times the volume of the main composition and adding hydrogen peroxide. It is preferable that a mixture of the main composition and the second sub-composition that is 1 to 7 times the volume of the main composition is reliably used as the third polishing composition by diluting the mixture with water that is 1 to 16 times the volume of the main composition and adding hydrogen peroxide as required.
  • the first polishing composition may further include the corrosion inhibitor.
  • the corrosion inhibitor when the multilayer is exposed to the first polishing composition for a long time in the first step, the conductor layer 13 is prevented from being polished excessively.
  • abrasive which is colloidal silica the average particle size of which is 35 nm
  • a polishing accelerator which is ⁇ -alanine or glycine
  • a corrosion inhibitor which is benzotriazole
  • water water
  • ⁇ -alanine was used as the polishing accelerator.
  • glycine was used as the polishing accelerator.
  • first polishing compositions were prepared by adding 30% aqueous solution of hydrogen peroxide and water to the main composition.
  • a copper blanket wafer was polished with each first polishing composition under the following polishing conditions (1) for one minute.
  • the thickness of each copper blanket wafer was measured before and after polishing using a sheet resistor“IVR-120” manufactured by Kokusai Electric System Service Co., Ltd.
  • the polishing speed was calculated from the difference between the thicknesses before and after polishing. The result is shown in Table 1.
  • the copper blanket wafer is manufactured by forming a copper film on a surface of a silicon wafer by electrolytic plating.
  • the copper pattern wafer which is 854 mask pattern manufactured by SEMATECH, Inc., was polished with each first polishing composition under the following polishing conditions (1).
  • the copper pattern wafer is manufactured by depositing a barrier layer, which is formed of tantalum and has the thickness of 250 ⁇ , and a conductor layer, which is formed of copper and has the thickness of 10000 ⁇ , on an insulation layer having trenches. Hollows the depths of which are 8000 ⁇ are formed on portions of the surface of the conductor layer that correspond to the trenches.
  • the polishing was terminated when the thickness of the conductor layer of the copper pattern wafer becomes 2000 ⁇ .
  • the step height was measured at the 90% high density wiring area of the copper pattern wafer using a profiler “HRP340” manufactured by KLA-Tencor Corporation. The result is shown in Table 1.
  • Polishing Machine Polishing machine “Mirra” for single-sided
  • Polishing Pad Polyurethane polishing pad “IC-1000/Suba400” manufactured by Rodel Corporation
  • Polishing Pressure 2.5 psi (approx. 17.3 kPa)
  • Rotational Speed Of Carrier 90 rpm TABLE 1 Main Composition First Polishing (g/L) Main Composition (g/L) Polishing Step Polishing Corrosion Composition:Water Polishing Corrosion Hydrogen Speed Height Abrasive Accelerator Inhibitor (Volume Ratio) Abrasive Accelerator Inhibitor Peroxide ( ⁇ /min.) ( ⁇ ) Ex. 1 20 100 0.1 1:9 2 10 0.01 3 6000 150 Ex. 2 150 100 0.1 1:9 15 10 0.01 3 7410 150 Ex. 3 150 25 0.03 1:2 50 8.3 0.01 3 7680 150 Ex. 4 200 100 0.1 1:19 10 5 0.005 3 4420 150 Ex.
  • the polishing speeds when the first polishing compositions of the examples 1 to 11 were used were significantly greater than the polishing speeds when the first polishing compositions of the comparison examples 1 to 3 were used.
  • the maximum step height measured after polishing with the first polishing compositions of the examples 1 to 11 was as small as 210 ⁇ .
  • the step height was incapable of measurement in the comparison examples 1 to 3. This is because when the first polishing compositions of the comparison examples 1 to 3 are used, the copper pattern wafer cannot be. polished till the thickness of the conductor layer becomes 2000 ⁇ .
  • Several main compositions were prepared by mixing colloidal silica the average particle size of which is 35 nm, ⁇ -alanine, benzotriazole, and water.
  • Several first sub-compositions were prepared by mixing nonionic organic compound, benzotriazole, and water.
  • second polishing compositions were prepared by mixing the main composition, the first sub-composition, 30% aqueous solution of hydrogen peroxide, and water.
  • the nonionic organic compound is a mixture of diisobutyl dimethyl butynediol polyoxyethylene glycol ether represented by the following chemical formula (8) and polyoxyethylene polyoxypropylene alkyl ether the molecular weight of which is 8000 in the weight proportion of 2:1.
  • the copper blanket wafer, a tantalum blanket wafer, and a silicon dioxide blanket wafer were polished with each second polishing composition under the following polishing conditions (2) for one minute.
  • the thickness of each blanket wafer was measured before and after polishing.
  • the polishing speed was calculated from the difference between the thicknesses measured before and after polishing. The result is shown in Table 2.
  • the thicknesses of the copper blanket wafer and the tantalum blanket wafer were measured by the sheet resistor “VR-120.”
  • the thickness of the silicon dioxide blanket wafer was measured by an optical film thickness gauge “VM-2030” manufactured by Dainippon Screen Mfg. Co., Ltd.
  • the tantalum blanket wafer is made by forming a tantalum film on the surface of a silicon wafer by a spattering method.
  • the silicon dioxide blanket wafer is made by forming a silicon dioxide film on the surface of a silicon wafer by a CVD method.
  • the prepolished copper pattern wafer was polished with each second polishing composition under the following polishing conditions (2).
  • the dishing amount at a portion of the copper pattern wafer that corresponds to the trench the width of which is 10 ⁇ m was measured with the profiler “HRP340.”
  • the erosion amount was measured at the 90% high density wiring area of the copper pattern wafer.
  • Table 2 The prepolished copper pattern wafer refers to the copper pattern wafer that is polished with any of the first polishing compositions of examples 1 to 5 and 8 till the thickness of the conductor layer becomes 2000 ⁇ .
  • Polishing Machine “Mirra”
  • Polishing Pad Polyurethane polishing pad “IC-1400” manufactured by Rodel Corporation
  • Polishing Pressure 2 psi (approx. 13.8 kPa)
  • Polishing Time Time required for removing portion of the conductor layer outside the trenches and half that time.
  • the polishing speeds when the second polishing compositions of the examples 12 to 23 were used were fine.
  • the maximum dishing amount and the maximum erosion amount measured after polishing with the second polishing compositions of the examples 12 to 23 were as small as 310 ⁇ .
  • the dishing amount and the erosion amount measured after polishing with the second polishing compositions of the comparison examples 4 and 5 were as large as greater than or equal to 600 ⁇ .
  • the dishing amount and the erosion amount were incapable of measurement in the comparison example 6. This is because the copper pattern wafer cannot be polished when the second polishing composition of the comparison example 6 is used.
  • compositions were prepared by mixing colloidal silica the average particle size of which is 35 nm, ⁇ -alanine, benzotriazole, and water.
  • second sub-compositions were prepared by mixing acid, which is lactic acid, or alkali, which is potassium hydroxide, benzotriazole, and water.
  • third polishing compositions were prepared by mixing the main composition, the second sub-composition, water, and 30% aqueous solution of hydrogen peroxide as required.
  • the copper pattern wafer that is polished in advance was polished with each third polishing composition under the following polishing conditions (3).
  • the dishing amount at a portion of the copper pattern wafer that corresponds to the trench the width of which is 10 ⁇ m was measured using the profiler “HRP340.”
  • the erosion amount was measured at the 90% high density wiring area of the copper pattern wafer.
  • Table 3 The copper pattern wafer that is polished in advance is the copper pattern wafer that is polished with any of the first polishing compositions of examples 1 to 5 and 8 till the thickness of the conductor layer becomes 2000 ⁇ and further polished with any of the second polishing composition of examples 12 to 16 and 21 until all the portion of the conductor layer outside the trenches is removed.
  • Polishing Machine “Mirra”
  • Polishing Pad “IC-1000/Suba400”
  • Polishing Pressure 2.5 psi
  • Polishing Time Twice the time required for removing portion of the barrier layer outside the trenches.
  • the polishing speeds when the third polishing compositions of the examples 24 to 45 were used were fine.
  • the maximum dishing amount and the maximum erosion amount measured after polishing with the third polishing compositions of the examples 24 to 45 were as small as 310 ⁇ .
  • the dishing amount and the erosion amount were incapable of measurement in the comparison examples 7 and 8. This is because the copper pattern wafer cannot be polished when the third polishing compositions of the comparison example 7 and 8 are used.
  • the dishing amount and the erosion amount measured after polishing with the third polishing compositions of comparison examples 9 and 10 were as large as greater than or equal to 800 ⁇ .
  • the copper pattern wafer was polished with the first polishing composition of the example 2 under the following polishing conditions (4).
  • the dishing amount at a portion of the copper pattern wafer that corresponds to the trench the width of which is 10 ⁇ m was measured using the profiler “HRP340.”
  • the erosion amount was measured at the 90% high density wiring area of the copper pattern wafer. As a result, the dishing amount and the erosion amount were as large as 650 ⁇ .
  • Polishing Machine “Mirra”
  • Polishing Pad “IC-1400”
  • Polishing Pressure 2 psi
  • Polishing Time Time required for removing portion of the conductor layer outside the trenches and half that time.

Abstract

A polishing method for reliably polishing a polishing target and a polishing composition used for polishing are provided. The polishing method of the present invention includes a first step in which the polishing target is polished with a first polishing composition, a second step in which the polishing target is polished with a second polishing composition, and a third step in which polishing target is polished with a third polishing composition. The polishing target is a multilayer, which includes an insulation layer, which has trenches on its surface, a barrier layer located on the insulation layer, and a conductor layer located on the barrier layer. In the first step, part of a portion of the conductor layer located outside the trenches is removed. In the second step, a remaining part of the portion of the conductor layer located outside the trenches is removed. In the third step, a portion of the barrier layer located outside the trenches is removed.

Description

    BACKGROUND OF THE INVENTION
  • The present invention relates to a polishing method used when, for example, forming a wiring structure of a semiconductor device, and to a polishing composition used for polishing. [0001]
  • A wiring structure of a semiconductor device can be formed by using a chemical mechanical polishing (CMP) method. When forming a wiring structure using the CMP method, a multilayer is prepared as a polishing target. As shown in FIG. 1([0002] a), the multilayer includes an insulation layer 11, a barrier layer 14, which is located on the insulation layer 11; and a conductor layer 13, which is located on the barrier layer 14. The insulation layer 11 has trenches 12 on its surface. Each of the barrier layer 14 and the conductor layer 13 has an inner portion located inside the trenches 12 and an outer portion located outside the trenches 12.
  • The multilayer is polished to remove the outer portion of the [0003] conductor layer 13 and the outer portion of the barrier layer 14. As a result, a wiring portion 17 (see FIG. 1(d)), which is made of the inner portion of the conductor layer 13, is formed in the trenches 12.
  • The following first and second methods have been proposed as methods for polishing a multilayer. [0004]
  • In the first method, a multilayer is polished using a polishing composition that efficiently polishes the [0005] conductor layer 13 to remove the outer portion of the conductor layer 13. Then, the multilayer is polished using a different polishing composition that efficiently polishes the barrier layer 14 to remove the outer portion of the barrier layer 14.
  • In the second method, a multilayer is polished using a polishing composition that efficiently polishes the [0006] conductor layer 13 to remove part of the outer portion of the conductor layer 13. Then, the multilayer is polished using a different polishing composition that efficiently polishes the conductor layer 13 and the barrier layer 14 to remove a remaining part of the outer portion of the conductor layer 13 and the outer portion of the barrier layer 14.
  • However, in the first method, a considerable amount of the inner portion of the [0007] conductor layer 13 is removed with the outer portion of the conductor layer 13 in the first polishing step. In the second method, a considerable amount of the inner portion of the conductor layer 13 is removed with the remaining part of the outer portion of the conductor layer 13 and the outer portion of the barrier layer 14 in the second polishing step.
  • SUMMARY OF THE INVENTION
  • Accordingly, it is an objective of the present invention to provide a polishing method that reliably polishes a polishing target and a polishing composition used for polishing. [0008]
  • To achieve the above objective, the present invention provides a method for polishing a polishing target. The polishing target has an insulation layer, a barrier layer, and a conductor layer. The insulation layer has a surface, which includes a trench. The barrier layer is located on the insulation layer. The conductor layer is made of metal that includes copper and is located on the barrier layer. Each of the barrier layer and the conductor layer has an inner portion located inside the trench and an outer portion located outside the trench. The method includes: polishing the polishing target using a first polishing composition to remove part of the outer portion of the conductor layer; wherein the first polishing composition includes abrasive, a polishing accelerator, hydrogen peroxide, and water; wherein the abrasive includes at least one of silicon dioxide and aluminum oxide; and wherein the polishing accelerator includes at least one of glycine and α-alanine; polishing the polishing target using a second polishing composition to remove a remaining part of the outer portion of the conductor layer; and polishing the polishing target using a third polishing composition to remove the outer portion of the barrier layer. [0009]
  • The present invention also provides a method for polishing a polishing target. The polishing target has an insulation layer, a barrier layer, and a conductor layer. The insulation layer has a surface, which includes a trench. The barrier layer is located on the insulation layer. The conductor layer is made of metal that includes copper and is located on the barrier layer. Each of the barrier layer and the conductor layer has an inner portion located inside the trench and an outer portion located outside the trench. The method includes: polishing the polishing target with a first polishing composition to remove part of the outer portion of the conductor layer; polishing the polishing target with a second polishing composition to remove a remaining part of the outside portion of the conductor layer; wherein the second polishing composition includes abrasive, a polishing accelerator, an organic compound, a corrosion inhibitor, hydrogen peroxide, and water; wherein the abrasive includes at least one of silicon dioxide and aluminum oxide; wherein the polishing accelerator includes at least one of glycine and α-alanine; and wherein the organic compound includes at least one compound selected from the group consisting of polyethylene oxide, polypropylene oxide, polyoxyethylene alkyl ether, polyoxypropylene alkyl ether, polyoxyethylene polyoxypropylene alkyl ether, and an addition polymer of polyoxyalkylene represented by the following chemical formula (1), [0010]
    Figure US20040084414A1-20040506-C00001
  • wherein each of R[0011] 1 to R6 represents a hydrogen atom or an alkyl group the carbon number of which is any of integer numbers 1 to 10, wherein each of X and Y represents an ethyleneoxy group or a propyleneoxy group, wherein each of m and n represents any of integer numbers 1 to 20, and wherein the corrosion inhibitor includes at least one of benzotriazole and a derivative of benzotriazole; and polishing the polishing target using a third polishing composition to remove the outer portion of the barrier layer.
  • A further aspect of the present invention is a method for polishing a polishing target. The polishing target has an insulation layer, a barrier layer, and a conductor layer. The insulation layer has a surface, which includes a trench. The barrier layer is located on the insulation layer. The conductor layer is made of metal that includes copper and is located on the barrier layer. Each of the barrier layer and the conductor layer has an inner portion located inside the trench and an outer portion located outside the trench. The method includes: polishing the polishing target using a first polishing composition to remove part of the outer portion of the conductor layer; polishing the polishing target using a second polishing composition to remove a remaining part of the outer portion of the conductor layer; and polishing the polishing target using a third polishing composition to remove the outer portion of the barrier layer; wherein the third polishing composition includes abrasive, acid or alkali, a corrosion inhibitor, and water; wherein the abrasive includes at least one of silicon dioxide and aluminum oxide; wherein the acid includes at least one acid selected from the group consisting of nitric acid, hydrochloric acid, lactic acid, phosphoric acid, sulfuric acid, acetic acid, oxalic acid, citric acid, tartaric acid, malonic acid, succinic acid, maleic acid, and fumaric acid; wherein the alkali includes at least one alkali selected from the group consisting of potassium hydroxide, ammonium hydroxide, and sodium hydroxide; and wherein the corrosion inhibitor includes at least one of benzotriazole and a derivative of benzotriazole. [0012]
  • The present invention may also be embodied in a method for polishing a polishing target. The polishing target has an insulation layer, a barrier layer, and a conductor layer. The insulation layer has a surface, which includes a trench. The barrier layer is located on the insulation layer. The conductor layer is made of metal that includes copper and is located on the barrier layer. Each of the barrier layer and the conductor layer has an inner portion located inside the trench and an outer portion located outside the trench. The method includes: polishing the polishing target using a first polishing composition to remove part of the outer portion of the conductor layer; wherein the first polishing composition includes abrasive, a polishing accelerator, hydrogen peroxide, and water; polishing the polishing target using a second polishing composition to remove a remaining part of the outer portion of the conductor layer; wherein the second polishing composition includes abrasive, a polishing accelerator, an organic compound, a corrosion inhibitor, hydrogen peroxide, and water; and polishing the polishing target using a third polishing composition to remove the outer portion of the barrier layer; wherein the third polishing composition includes abrasive, acid or alkali, a corrosion inhibitor, and water. Each abrasive includes at least one of silicon dioxide and aluminum oxide. Each polishing accelerator includes at least one of glycine and α-alanine. The organic compound includes at least one compound selected from the group consisting of polyethylene oxide, polypropylene oxide, polyoxyethylene alkyl ether, polyoxypropylene alkyl ether, polyoxyethylene polyoxypropylene alkyl ether, and an addition polymer of polyoxyalkylene represented by the following chemical formula (1). [0013]
    Figure US20040084414A1-20040506-C00002
  • Each of R[0014] 1 to R6 represents a hydrogen atom or an alkyl group the carbon number of which is any of integer numbers 1 to 10. Each of X and Y represents an ethyleneoxy group or a propyleneoxy group. Each of m and n represents any of integer numbers 1 to 20. The acid includes at least one acid selected from the group consisting of nitric acid, hydrochloric acid, lactic acid, phosphoric acid, sulfuric acid, acetic acid, oxalic acid, citric acid, tartaric acid, malonic acid, succinic acid, maleic acid, and fumaric acid. The alkali includes at least one alkali selected from the group consisting of potassium hydroxide, ammonium hydroxide, and sodium hydroxide. Each corrosion inhibitor includes at least one of benzotriazole and a derivative of benzotriazole.
  • The present invention provides a polishing composition used for polishing a polishing target. The polishing target has an insulation layer, a barrier layer, and a conductor layer. The insulation layer has a surface, which includes a trench. The barrier layer is located on the insulation layer. The conductor layer is made of metal that includes copper and is located on the barrier layer. Each of the barrier layer and the conductor layer has an inner portion located inside the trench and an outer portion located outside the trench. The polishing composition is used to polish the polishing target to remove part of the outer portion of the conductor layer. The polishing composition includes abrasive, a polishing accelerator, hydrogen peroxide, and water. The abrasive includes at least one of silicon dioxide and aluminum oxide. The polishing accelerator includes at least one of glycine and α-alanine. [0015]
  • The present invention also provides a polishing composition used for polishing a polishing target. The polishing target has an insulation layer, a barrier layer, and a conductor layer. The insulation layer has a surface, which includes a trench. The barrier layer is located on the insulation layer. The conductor layer is made of metal that includes copper and is located on the barrier layer. Each of the barrier layer and the conductor layer has an inner portion located inside the trench and an outer portion located outside the trench. The polishing composition is used to polish the polishing target from which part of the outer portion of the conductor layer is removed by prepolishing to remove a remaining part of the outer portion of the conductor layer. The polishing composition includes abrasive, a polishing accelerator, an organic compound, a corrosion inhibitor, hydrogen peroxide, and water. The abrasive includes at least one of silicon dioxide and aluminum oxide. The polishing accelerator includes at least one of glycine and α-alanine. The organic compound includes at least one compound selected from the group consisting of polyethylene oxide, polypropylene oxide, polyoxyethylene alkyl ether, polyoxypropylene alkyl ether, polyoxyethylene polyoxypropylene alkyl ether, and an addition polymer of polyoxyalkylene represented by the following chemical formula (1). [0016]
    Figure US20040084414A1-20040506-C00003
  • Each of R[0017] 1 to R6 represents a hydrogen atom or an alkyl group the carbon number of which is any of integer numbers 1 to 10. Each of X and Y represents an ethyleneoxy group or a propyleneoxy group. Each of m and n represents any of integer numbers 1 to 20. The corrosion inhibitor includes at least one of benzotriazole and a derivative of benzotriazole.
  • A further aspect of the present invention is a polishing composition used for polishing a polishing target. The polishing target has an insulation layer, a barrier layer, and a conductor layer. The insulation layer has a surface, which includes a trench. The barrier layer is located on the insulation layer. The conductor layer is made of metal that includes copper and is located on the barrier layer. Each of the barrier layer and the conductor layer has an inner portion located inside the trench and an outer portion located outside the trench. The polishing composition is used to polish the polishing target from which the outer portion of the conductor layer is removed by prepolishing to remove the outer portion of the barrier layer. The polishing composition includes abrasive, acid or alkali, a corrosion inhibitor, and water. The abrasive includes at least one of silicon dioxide and aluminum oxide. The acid includes at least one acid selected from the group consisting of nitric acid, hydrochloric acid, lactic acid, phosphoric acid, sulfuric acid, acetic acid, oxalic acid, citric acid, tartaric acid, malonic acid, succinic acid, maleic acid, and fumaric acid. The alkali includes at least one alkali selected from the group consisting of potassium hydroxide, ammonium hydroxide, and sodium hydroxide. The corrosion inhibitor includes at least one of benzotriazole and a derivative of benzotriazole. [0018]
  • Other aspects and advantages of the invention will become apparent from the following description, taken in conjunction with the accompanying drawings, illustrating by way of example the principles of the invention.[0019]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The invention, together with objects and advantages thereof, may best be understood by reference to the following description of the presently preferred embodiments together with the accompanying drawings in which: [0020]
  • FIGS. [0021] 1(a) to 1(d) are cross-sectional views illustrating part of a multilayer to explain a polishing method according to a preferred embodiment of the present invention;
  • FIG. 2([0022] a) is an enlarged partial cross-sectional view illustrating a portion of the multilayer shown in FIG. 1(c) where dishing occurred;
  • FIG. 2([0023] b) is an enlarged partial cross-sectional view illustrating a portion of the multilayer shown in FIG. 1(c) where erosion occurred;
  • FIG. 3([0024] a) is an enlarged partial cross-sectional view illustrating a portion of the multilayer shown in FIG. 1(d) where dishing occurred; and
  • FIG. 3([0025] b) is an enlarged partial cross-sectional view illustrating a portion of the multilayer shown in FIG. 1(d) where erosion occurred.
  • DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS
  • A preferred embodiment of the present invention will now be described with reference to FIGS. [0026] 1(a) to 3(b).
  • A polishing method and a polishing composition according to the preferred embodiment are used when forming a wiring structure of a semiconductor device. A method for manufacturing a multilayer that is prepared for forming a wiring structure of a semiconductor device will be described first. A multilayer is generally manufactured in the following manner. [0027]
  • As shown in FIG. 1([0028] a), an insulation layer 11 is formed on a base plate (not shown). The insulation layer 11 is, for example, a Sio2 film, a SiOF film, or a SiOC film; and is formed by a chemical vapor deposition (CVD) method, which uses tetraethoxysilane (TEOS) The surface of the insulation layer 11 is preferably flat.
  • Then, [0029] trenches 12 are formed on the surface of the insulation layer 11. The trenches 12 are formed by known lithography or etching, and have a predetermined pattern based on a circuit design.
  • Then, a [0030] barrier layer 14 is formed on the insulation layer 11. The barrier layer 14 is formed by a spattering method. The barrier layer 14 is formed of a simple substance of tantalum or a compound that includes tantalum, such as tantalum nitride. The barrier layer 14 prevents copper in a conductor layer 13, which will be described later, from being scattered to the insulation layer 11. The barrier layer 14 has a predetermined thickness and has an inner portion located inside the trenches 12 and an outer portion located outside the trenches 12.
  • Consequently, the [0031] conductor layer 13 is formed on the barrier layer 14. The conductor layer 13 is formed of metal that includes copper, such as a simple substance of copper, an alloy of copper and aluminum, and an alloy of copper and titanium. The conductor layer 13 completely fills the trenches 12. The conductor layer 13 has an inner portion located inside the trenches 12 and an outer portion located outside the trenches 12. Hollows 15 are formed due to the trenches 12 at the portions of the surface of the conductor layer 13 that correspond to the trenches 12. The depth of the hollows 15 is referred to as a step height.
  • The multilayer manufactured as mentioned above is then polished to remove the outer portion of the [0032] conductor layer 13 and the outer portion of the barrier layer 14. As a result, a wiring portion 17 (see FIG. 1(d)), which is made by the inner portion of the conductor layer 13, is formed in the trenches 12.
  • The multilayer is polished in the following manner in the preferred embodiment. [0033]
  • In a first step, the multilayer is polished using a first polishing composition to remove part of the outer portion of the conductor layer [0034] 13 (see FIG. 1(b)). After polishing with the first polishing composition, the hollows 15 are preferably removed almost completely. The depth of the hollows 15 after polishing is preferably less than or equal to 500 Å, and more preferably, less than or equal to 200 Å.
  • In a second step, the multilayer is polished using a second polishing composition to remove a remaining part of the outer portion of the conductor layer [0035] 13 (see FIG. 1(c)).
  • The dimension represented by d1 in FIG. 2([0036] a) is a dishing amount measured after polishing with the second polishing composition at a portion of the multilayer that corresponds to the trench 12 the width of which is relatively large, for example, a portion of the multilayer that corresponds to the trench 12 the width of which is 10 μm. The dishing amount dl is preferably less than or equal to 500 Å, and more preferably, less than or equal to 300 Å. The dishing is a phenomenon where part of the inner portion of the conductor layer 13 is removed, and the surface of the inner portion of the conductor layer 13 becomes hollow.
  • The dimension represented by e1 in FIG. 2([0037] b) is an erosion amount measured after polishing with the second polishing composition at a portion of the multilayer where the trenches 12 are densely arranged, such as where the trenches 12 the width of which is 9 μm are located at intervals of 1 μm. The erosion amount e1 is preferably less than or equal to 500 Å, and more preferably, less than or equal to 300 Å. The erosion is a phenomenon where part of the insulation layer 11 between the adjacent trenches 12 is removed, and the surface of the portion of the multilayer where the trenches 12 are arranged densely becomes hollow. The portion of the multilayer where the proportion of the trenches 12 to the entire multilayer is 90%, such as the portion of the multilayer where the trenches 12 the width of which is 9 μm are located at intervals of 1 μm, is referred to as a 90% high density wiring area.
  • Finally, in a third step, the multilayer is polished using a third polishing composition to remove the outer portion of the barrier layer [0038] 14 (see FIG. 1(d)).
  • The dimension represented by d2 shown in FIG. 3([0039] a) is a dishing amount measured after polishing with the third polishing composition at a portion of the multilayer that corresponds to the trench 12, the width of which is relatively large, for example, a portion of the multilayer that corresponds to the trench 12 the width of which is 10 μm. The dimension represented by e2 shown in FIG. 3(b) is an erosion amount measured after polishing with the third polishing composition at a portion of the multilayer where the trenches 12 are densely arranged, such as where the trenches 12, the width of which is 9 μm, are located at intervals of 1 μm.
  • The polishing time taken in each of the first to third steps is preferably the same as the polishing time of the other two steps. This improves the efficiency in forming the wiring structure. [0040]
  • The first polishing composition includes abrasive, a polishing accelerator, hydrogen peroxide, and water. The second polishing composition includes abrasive, a polishing accelerator, a nonionic organic compound, a corrosion inhibitor, hydrogen peroxide, and water. The third polishing composition includes abrasive, acid or alkali, a corrosion inhibitor, and water, and further includes a polishing accelerator and hydrogen peroxide as required. If part of the outer portion of the [0041] conductor layer 13 remains after polishing with the second polishing composition, the third polishing composition preferably includes hydrogen peroxide.
  • The abrasive serves to mechanically polish the polishing target. Each of the first to third polishing compositions includes at least one of silicon dioxide and aluminum oxide. Silicon dioxide, such as colloidal silica and fumed silica, is preferably used as abrasive. Colloidal silica is particularly preferable for abrasive. This is because silicon dioxide is highly stable and colloidal silica does not easily form scratches on the polishing target. [0042]
  • The average particle size of the abrasive obtained from the surface area of the abrasive measured by a BET method is preferably 3 to 100 nm, more preferably 5 to 60 nm, and most preferably 10 to 50 nm. If the average particle size of the abrasive is less than 3 nm, the polishing performance of the polishing composition deteriorates. If the average particle size of the abrasive exceeds 100 nm, the polishing composition often forms scratches on the polishing target. [0043]
  • The content of abrasive in each of the first to third polishing compositions is preferably 1 to 100 g/L, more preferably 2 to 50 g/L. If the content of abrasive is less than 1 g/L, the polishing performance of the polishing composition decreases. If the content exceeds 100 g/L, the abrasive easily cohere with each other in the polishing composition and the polishing composition often forms scratches on the polishing target. [0044]
  • The polishing accelerator causes a chelate bond with copper in the [0045] conductor layer 13 to accelerate polishing of the conductor layer 13. Each of the first and second polishing compositions includes at least one of glycine and α-alanine as the polishing accelerator. A preferable polishing accelerator is α-alanine since the polishing composition that includes α-alanine reliably polishes the polishing target.
  • The content of the polishing accelerator in the first polishing composition is preferably 2 to 30 g/L, more preferably 5 to 20 g/L. If the content of the polishing accelerator is less than 2 g/L, the polishing performance of the first polishing composition deteriorates, and if the content of the polishing accelerator exceeds 30 g/L, the polishing performance of the first polishing composition excessively increases. The content of the polishing accelerator in the second polishing composition is preferably 2 to 20 g/L, more preferably 5 to 20 g/L. If the content of the polishing accelerator is less than 2 g/L, the polishing performance of the second polishing composition deteriorates, and if the content of the polishing accelerator exceeds 20 g/L, the polishing performance of the second polishing composition excessively increases. [0046]
  • The nonionic organic compound serves to suppress generation of dishing and erosion. The second polishing composition includes at least one of polyethylene oxide, polypropylene oxide, polyoxyethylene alkyl ether, polyoxypropylene alkyl ether, polyoxyethylene polyoxypropylene alkyl ether, and an addition polymer of polyoxyalkylene represented by the following chemical formula (1). In the chemical formula (1), each of R[0047] 1 to R6 represents a hydrogen atom or an alkyl group the carbon number of which is any of integer numbers 1 to 10, each of X and Y represents an ethyleneoxy group or a propyleneoxy group, and each of m and n represents any of integer numbers 1 to 20.
    Figure US20040084414A1-20040506-C00004
  • The second polishing composition preferably includes dialkyl dimethyl butynediol polyoxyethylene glycol ether represented by the following chemical formula (2). The second polishing composition more preferably includes the dialkyl dimethyl butynediol polyoxyethylene glycol ether and the polyoxyethylene polyoxypropylene alkyl ether. The dialkyl dimethyl butynediol polyoxyethylene glycol ether is kind of the addition polymer of polyoxyalkylene and strongly suppresses the inner portion of the [0048] conductor layer 13 from being removed by chemical etching. In the chemical formula (2), each of R5 and R6 represents a hydrogen atom or an alkyl group the carbon number of which is any of integer numbers 1 to 10, and each of m and n represents any of integer numbers 1 to 20.
    Figure US20040084414A1-20040506-C00005
  • The polyethylene oxide is also referred to as polyethylene glycol and is represented by the following chemical formula (3). The polypropylene oxide is also referred to as polypropylene glycol and is represented by the following chemical formula (4). The average molecular weight of the polyethylene oxide and the polypropylene oxide is preferably 100 to 10000, more preferably 200 to 1000. If the average molecular weight is less than 100, occurrence of dishing and erosion is not effectively suppressed. If the average molecular weight exceeds 10000, the solubility in water is decreased. A character n in the chemical formula (3) represents the number average degree of polymerization of ethylene glycol, and a character m in the chemical formula (4) represents the number average degree of polymerization of propylene glycol. [0049]
  • H—(OCH2CH2)n—OH  (3)
  • H—(OCH (CH3)CH2)m—OH  (4)
  • The polyoxyethylene alkyl ether is combined by addition polymerization of ethylene oxide with straight chain or branched higher alcohol. The polyoxyethylene alkyl ether is represented by the following chemical formula (5). The polyoxypropylene alkyl ether is combined by addition polymerization of propylene oxide with straight chain or branched higher alcohol. The polyoxypropylene alkyl ether is represented by the following chemical formula (6). In chemical formulas (5) and (6), R represents an alkyl group, n represents the number average degree of polymerization of ethylene glycol, and m represents the number average degree of polymerization of propylene glycol. [0050]
  • R—O—(CH2CH2O)n—H  (5)
  • R—O—(CH2CH(CH3)O)m  (6)
  • The polyoxyethylene polyoxypropylene alkyl ether is combined by addition polymerization of propylene oxide and ethylene oxide with straight chain or branched higher alcohol. The polyoxyethylene polyoxypropylene alkyl ether is represented by the following chemical formula (7). In the chemical formula (7), R represents an alkyl group, n represents the number average degree of polymerization of ethylene glycol, and m represents the number average degree of polymerization of propylene glycol. [0051]
  • R—O—(CH2CH(CH3)O)m—(CH2CH2O)n—H  (7)
  • The proportion of hydrophilic group, or ethylene oxide, in the molecules of each of the polyoxyethylene alkyl ether, the polyoxypropylene alkyl ether, the polyoxyethylene polyoxypropylene alkyl ether, and the addition polymer of polyoxyalkylene is preferably 10 to 80%. If the proportion is less than 10% or greater than 80%, occurrence of dishing and erosion is not effectively suppressed. [0052]
  • The average molecular weight of each of the polyoxyethylene alkyl ether, the polyoxypropylene alkyl ether, the polyoxyethylene polyoxypropylene alkyl ether, and the addition polymer of polyoxyalkylene is preferably 1000 to 30000, more preferably 2000 to 20000. If the average molecular weight is less than 1000, occurrence of dishing and erosion is not effectively suppressed. If the average molecular weight exceeds 30000, the solubility in water is decreased. [0053]
  • The content of nonionic organic compound in the second polishing composition is preferably 2 to 30 g/L, more preferably 4 to 20 g/L. If the content of the nonionic organic compound is less than 2 g/L, occurrence of dishing and erosion is not effectively suppressed. If the content of the nonionic organic compound exceeds 30 g/L, the polishing performance of the second polishing composition deteriorates. [0054]
  • When the polishing target, which includes the [0055] conductor layer 13 including copper and the barrier layer 14 including tantalum, is polished with the conventional polishing composition, an electrochemical reaction occurs between copper and tantalum. As a result, the conductor layer 13 is selectively removed and dishing is caused. This is because the conventional polishing composition functions as an electrolyte during polishing. In contrast, the second polishing composition according to the preferred embodiment does not function as an electrolyte during polishing. Therefore, when polishing with the second polishing composition, occurrence of dishing due to electrochemical reaction is prevented. The second polishing composition does not function as an electrolyte during polishing because the nonionic organic compound included in the second polishing composition decreases the electric conductivity of the second polishing composition.
  • The corrosion inhibitor serves to suppress corrosion of the [0056] conductor layer 13 during and after polishing, and to suppress occurrence of dishing and erosion. Each of the second and third polishing compositions includes at least one of benzotriazole and a derivative of benzotriazole as the corrosion inhibitor. The preferable corrosion inhibitor is benzotriazole since the benzotriazole more reliably prevent corrosion of the conductor layer 13.
  • The benzotriazole and a derivative of benzotriazole are represented by the following chemical formula (8). In the chemical formula (8), each of R[0057] 7 to R11 represents a hydrogen atom or an alkyl group. When R11 represents an alkyl group, the alkyl group may include hydroxyl group or carboxyl group. Fourth, fifth, sixth, and seventh carbon atoms in the chemical formula (8) may be replaced with nitrogen atoms. A third nitrogen atom may be replaced with a carbon atom.
    Figure US20040084414A1-20040506-C00006
  • Examples of a derivative of benzotriazole are 1-(2,3dihydroxypropyl) benzotriazole, 1-[N, N-Bis (hydroxyethyl) aminomethyl] benzotriazole, 1-(hydroxymethyl) benzotriazole, and 1-(1,2-dicarboxyethyl) benzotriazole. [0058]
  • The content of the corrosion inhibitor in each of the second and third polishing compositions is preferably 0.01 to 0.1 g/L, more preferably 0.02 to 0.06 g/L. If the content of the corrosion inhibitor is less than 0.01 g/L, occurrence of dishing and erosion is not effectively suppressed. If the content of the corrosion inhibitor exceeds 0.1 g/L, the polishing performance of the polishing composition deteriorates. [0059]
  • The acid and alkali serves to accelerate polishing of the [0060] barrier layer 14. The third polishing composition includes at least one acid selected from the group consisting of nitric acid, hydrochloric acid, lactic acid, phosphoric acid, sulfuric acid, acetic acid, oxalic acid, citric acid, tartaric acid, malonic acid, succinic acid, maleic acid, and fumaric acid, or at least one alkali selected from the group consisting of potassium hydroxide, ammonium hydroxide, and sodium hydroxide. The preferable acid is lactic acid and nitric acid. The preferable alkali is potassium hydroxide. This is because the lactic acid, nitric acid, and potassium hydroxide strongly accelerate polishing of the barrier layer 14.
  • The content of acid and the content of alkali in the third polishing composition are preferably 1 to 20 g/L, more preferably 2 to 10 g/L. If the content of acid or the content of alkali is less than 1 g/L, polishing of the [0061] barrier layer 14 is not effectively accelerated. If the content of acid or the content of alkali exceeds 20 g/L, handling of the third polishing composition becomes difficult.
  • When the third polishing composition includes acid, the pH of the third polishing composition is preferably 2 to 4. If the pH is less than 2, handling of the third polishing composition becomes difficult. If the pH exceeds 4, polishing of the [0062] barrier layer 14 is not effectively accelerated. When the third polishing composition includes alkali, the pH of the third polishing composition is preferably 9 to 11. If the pH exceeds 11, handling of the third polishing composition becomes difficult. If the pH is less than 9, polishing of the barrier layer 14 is not effectively accelerated.
  • The hydrogen peroxide serves as an oxidant to accelerate polishing of the [0063] conductor layer 13. The content of the hydrogen peroxide in the first polishing composition is preferably 1 to 20 g/L, more preferably 3 to 10 g/L. If the content of the hydrogen peroxide is less than 1 g/L or if the content of the hydrogen peroxide is greater than 20 g/L, polishing of the conductor layer 13 is not effectively accelerated. The content of the hydrogen peroxide in the second polishing composition is preferably 1 to 15 g/L, more preferably 2 to 10 g/L. If the content of the hydrogen peroxide is less than 1 g/L or if the content of the hydrogen peroxide exceeds 15 g/L, polishing of the conductor layer 13 is not effectively accelerated. When the third polishing composition includes the hydrogen peroxide, the content of the hydrogen peroxide in the third polishing composition is preferably 0.5 to 20 g/L, more preferably 1 to 10 g/L. If the content of the hydrogen peroxide is less than 0.5 g/L, polishing of the conductor layer 13 is not effectively accelerated. If the content of the hydrogen peroxide exceeds 20 g/L, dishing and erosion are often caused.
  • The water serves as a medium for dispersing and dissolving components other than water included in each of the first to third polishing compositions. The water preferably does not include impurities. The preferable water is filtered ion exchanged water and distilled water. [0064]
  • The rate that the first polishing composition polishes the [0065] conductor layer 13 is preferably 5000 to 100000 Å/min., more preferably 7000 to 9000 Å/min. If the rate is less than 5000 Å/min., the polishing time is increased. If the rate exceeds 10000 Å/min., the polishing rate is not easily maintained in a stable manner.
  • The rate that the second polishing composition polishes the [0066] conductor layer 13 is preferably 1000 to 4000 Å/min., more preferably 2000 to 3000 Å/min. If the rate is less than 10000 Å/min., the polishing time is increased. If the rate exceeds 4000 Å/min., dishing and erosion are often caused.
  • The rate that the second polishing composition polishes the [0067] conductor layer 13 is preferably 100 to 10000 times the rate that the second polishing composition polishes the barrier layer 14. More preferably, the rate that the second polishing composition polishes the conductor layer 13 is 200 to 800 times the rate that the second polishing composition polishes the barrier layer 14. If the rate of polishing the conductor layer 13 is less than 100 times the rate of polishing the barrier layer 14, the barrier layer 14 is excessively polished. It is difficult to prepare the second polishing composition that polishes the conductor layer 13 at the polishing rate that exceeds 10000 times the rate of polishing the barrier layer 14.
  • The rate that the third polishing composition polishes the [0068] barrier layer 14 is preferably 500 to 1500 Å/min., more preferably 700 to 1000 Å/min. If the rate is less than 500 Å/min., the polishing time increases. If the rate exceeds 1500 Å/min., the polishing speed is not easily maintained in a stable manner.
  • The rate that the third polishing composition polishes the [0069] insulation layer 11 is preferably less than 100 Å/min., more preferably less than 50 Å/min. If the rate exceeds 100 Å/min., the insulation layer 11 is excessively polished.
  • The rate that the third polishing composition that does not include hydrogen peroxide polishes the [0070] conductor layer 13 is preferably less than 100 Å/min., more preferably less than 50 Å/min. If the rate exceeds 100 Å/min., dishing and erosion are often caused. The rate that the third polishing composition that includes hydrogen peroxide polishes the conductor layer 13 is preferably 100 to 300 Å/min., more preferably 150 to 250 Å/min. If the rate is less than 100 Å/min., the polishing time increases. If the rate exceeds 300 Å/min., dishing and erosion are often caused.
  • It should be apparent to those skilled in the art that the present invention may be embodied in many other specific forms without departing from the spirit or scope of the invention. Particularly, it should be understood that the invention may be embodied in the following forms. [0071]
  • Each of the first to third polishing compositions may be formed by mixing agents that are prepared separately when using the first, second, or third polishing composition. For example, the first polishing composition may be prepared by mixing a mixture of abrasive, a polishing accelerator, and water with hydrogen peroxide when using the first polishing composition. The second polishing composition may be prepared by mixing a mixture of abrasive, a polishing accelerator, a nonionic organic compound, a corrosion inhibitor, and water with hydrogen peroxide when using the second polishing composition. The third polishing composition may be prepared by mixing a mixture of abrasive, acid or alkali, a corrosion inhibitor, and water with hydrogen peroxide when using the third polishing composition. [0072]
  • Alternatively, a main composition, which includes abrasive, a polishing accelerator, and water; a first sub-composition, which includes a nonionic organic compound, a corrosion inhibitor, and water; a second sub-composition, which includes acid or alkali, a corrosion inhibitor, and water; and hydrogen peroxide may be prepared in advance. In this case, the first polishing composition is prepared by mixing the main composition and the hydrogen peroxide, the second polishing composition is prepared by mixing the main composition, the first sub-composition, and the hydrogen peroxide, and the third polishing composition is prepared by mixing the main composition, the second sub-composition, and hydrogen peroxide as required. [0073]
  • In general, liquid that includes abrasive is difficult to preserve. Therefore, a complicated maintenance is required to preserve the first to third polishing compositions in a good condition for a long time. However, as mentioned above, in the case where each of the first to third polishing compositions is formed by mixing agents that are separately prepared when using the first, second, or third polishing composition, only the main composition includes abrasive during preservation, which reduces the maintenance process. [0074]
  • Each of the main composition, the first sub-composition, and the second sub-composition preferably includes components other than water at a relatively high density to reduce the transport cost of the compositions. More specifically, it is preferable that the main composition is reliably used as the first polishing composition by diluting the main composition with water that is 2 to 20 times the volume of the main composition and adding hydrogen peroxide. It is more preferable that the main composition is reliably used as the first polishing composition by diluting the main composition with water that is 5 to 15 times the volume of the main composition and adding hydrogen peroxide. It is preferable that a mixture of the main composition and the first sub-composition that is 1 to 9 times the volume of the main composition is reliably used as the second polishing composition by diluting the mixture with water that is 1 to 10 times the volume of the main composition and adding hydrogen peroxide. It is preferable that a mixture of the main composition and the second sub-composition that is 1 to 7 times the volume of the main composition is reliably used as the third polishing composition by diluting the mixture with water that is 1 to 16 times the volume of the main composition and adding hydrogen peroxide as required. [0075]
  • The first polishing composition may further include the corrosion inhibitor. In this case, when the multilayer is exposed to the first polishing composition for a long time in the first step, the [0076] conductor layer 13 is prevented from being polished excessively.
  • The present invention will further be described with examples and comparison examples. [0077]
  • EXAMPLES 1 TO 11 AND COMPARISON EXAMPLES 1 TO 3
  • Several main compositions were prepared by mixing abrasive, which is colloidal silica the average particle size of which is 35 nm; a polishing accelerator, which is α-alanine or glycine; a corrosion inhibitor, which is benzotriazole; and water. In the examples 1 to 8 and the comparison examples 1 to 3, α-alanine was used as the polishing accelerator. In the examples 9 to 11, glycine was used as the polishing accelerator. Several first polishing compositions were prepared by adding 30% aqueous solution of hydrogen peroxide and water to the main composition. [0078]
  • A copper blanket wafer was polished with each first polishing composition under the following polishing conditions (1) for one minute. The thickness of each copper blanket wafer was measured before and after polishing using a sheet resistor“IVR-120” manufactured by Kokusai Electric System Service Co., Ltd. The polishing speed was calculated from the difference between the thicknesses before and after polishing. The result is shown in Table 1. The copper blanket wafer is manufactured by forming a copper film on a surface of a silicon wafer by electrolytic plating. [0079]
  • The copper pattern wafer, which is 854 mask pattern manufactured by SEMATECH, Inc., was polished with each first polishing composition under the following polishing conditions (1). The copper pattern wafer is manufactured by depositing a barrier layer, which is formed of tantalum and has the thickness of 250 Å, and a conductor layer, which is formed of copper and has the thickness of 10000 Å, on an insulation layer having trenches. Hollows the depths of which are 8000 Å are formed on portions of the surface of the conductor layer that correspond to the trenches. The polishing was terminated when the thickness of the conductor layer of the copper pattern wafer becomes 2000 Å. The step height was measured at the 90% high density wiring area of the copper pattern wafer using a profiler “HRP340” manufactured by KLA-Tencor Corporation. The result is shown in Table 1. [0080]
  • Polishing Conditions (1) [0081]
  • Polishing Machine: Polishing machine “Mirra” for single-sided [0082]
  • CMP manufactured by Applied Materials Inc. [0083]
  • Polishing Pad: Polyurethane polishing pad “IC-1000/Suba400” manufactured by Rodel Corporation [0084]
  • Polishing Pressure: 2.5 psi (approx. 17.3 kPa) [0085]
  • Rotational Speed Of Surface Plate: 90 rpm [0086]
  • Supply Speed Of First Polishing Composition: 200 ml/min. [0087]
  • Rotational Speed Of Carrier: 90 rpm [0088]
    TABLE 1
    Main Composition First Polishing
    (g/L) Main Composition (g/L) Polishing Step
    Polishing Corrosion Composition:Water Polishing Corrosion Hydrogen Speed Height
    Abrasive Accelerator Inhibitor (Volume Ratio) Abrasive Accelerator Inhibitor Peroxide (Å/min.) (Å)
    Ex. 1 20 100 0.1 1:9 2 10 0.01 3 6000 150
    Ex. 2 150 100 0.1 1:9 15 10 0.01 3 7410 150
    Ex. 3 150 25 0.03 1:2 50 8.3 0.01 3 7680 150
    Ex. 4 200 100 0.1  1:19 10 5 0.005 3 4420 150
    Ex. 5 150 200 0.1 1:9 15 20 0.01 3 8090 210
    Ex. 6 150 100 0.1 1:9 15 10 0.01 1 4430 150
    Ex. 7 150 100 0.1 1:9 15 10 0.01 10 6990 150
    Ex. 8 150 100 0 1:9 15 10 0 3 7920 200
    Ex. 9 150 100 0.4 1:9 15 10 0.04 5 4920 150
    Ex. 10 150 100 0.4 1:9 15 10 0.04 10 7970 150
    Ex. 11 150 100 0.4 1:9 15 10 0.04 20 6980 150
    C. Ex. 1 0 100 0.1 1:9 0 10 0.01 3 3010
    C. Ex. 2 150 0 0.1 1:9 15 0 0.01 3 1510
    C. Ex. 3 150 100 0.1 1:9 15 10 0.01 0 800
  • shown in Table 1, the polishing speeds when the first polishing compositions of the examples 1 to 11 were used were significantly greater than the polishing speeds when the first polishing compositions of the comparison examples 1 to 3 were used. In addition, the maximum step height measured after polishing with the first polishing compositions of the examples 1 to 11 was as small as 210 Å. On the other hand, the step height was incapable of measurement in the comparison examples 1 to 3. This is because when the first polishing compositions of the comparison examples 1 to 3 are used, the copper pattern wafer cannot be. polished till the thickness of the conductor layer becomes 2000 Å. [0089]
  • EXAMPLES 12 TO 23 AND COMPARISON EXAMPLES 4 TO 6
  • Several main compositions were prepared by mixing colloidal silica the average particle size of which is 35 nm, α-alanine, benzotriazole, and water. Several first sub-compositions were prepared by mixing nonionic organic compound, benzotriazole, and water. Several second polishing compositions were prepared by mixing the main composition, the first sub-composition, 30% aqueous solution of hydrogen peroxide, and water. The nonionic organic compound is a mixture of diisobutyl dimethyl butynediol polyoxyethylene glycol ether represented by the following chemical formula (8) and polyoxyethylene polyoxypropylene alkyl ether the molecular weight of which is 8000 in the weight proportion of 2:1. [0090]
    Figure US20040084414A1-20040506-C00007
  • The copper blanket wafer, a tantalum blanket wafer, and a silicon dioxide blanket wafer were polished with each second polishing composition under the following polishing conditions (2) for one minute. The thickness of each blanket wafer was measured before and after polishing. The polishing speed was calculated from the difference between the thicknesses measured before and after polishing. The result is shown in Table 2. The thicknesses of the copper blanket wafer and the tantalum blanket wafer were measured by the sheet resistor “VR-120.” The thickness of the silicon dioxide blanket wafer was measured by an optical film thickness gauge “VM-2030” manufactured by Dainippon Screen Mfg. Co., Ltd. The tantalum blanket wafer is made by forming a tantalum film on the surface of a silicon wafer by a spattering method. The silicon dioxide blanket wafer is made by forming a silicon dioxide film on the surface of a silicon wafer by a CVD method. [0091]
  • The prepolished copper pattern wafer was polished with each second polishing composition under the following polishing conditions (2). The dishing amount at a portion of the copper pattern wafer that corresponds to the trench the width of which is 10 μm was measured with the profiler “HRP340.” The erosion amount was measured at the 90% high density wiring area of the copper pattern wafer. The result is shown in Table 2. The prepolished copper pattern wafer refers to the copper pattern wafer that is polished with any of the first polishing compositions of examples 1 to 5 and 8 till the thickness of the conductor layer becomes 2000 Å. [0092]
  • Polishing Conditions (2) [0093]
  • Polishing Machine: “Mirra”[0094]
  • Polishing Pad: Polyurethane polishing pad “IC-1400” manufactured by Rodel Corporation [0095]
  • Polishing Pressure: 2 psi (approx. 13.8 kPa) [0096]
  • Polishing Time: Time required for removing portion of the conductor layer outside the trenches and half that time. [0097]
  • Rotational Speed Of Surface Plate: 90 rpm [0098]
  • Supply Speed Of Second Polishing Composition: 200 ml/min. [0099]
  • Rotational Speed Of Carrier: 90 rpm [0100]
    TABLE 2
    Main Composition First Sub-
    (g/L) Composition (g/L) Main Composition:
    Polishing Corrosion Organic Corrosion First Sub-Composition:Water
    Abrasive Accelerator Inhibitor Compound Inhibitor (Volume Ratio)
    Ex. 12 20 100 0.1 23 0.06 1:3:6
    Ex. 13 150 100 0.1 35 0.1 1:3:11
    Ex. 14 150 25 0.03 21 0.05 1:1:1
    Ex. 15 200 100 0.1 46 0.16 1:5:27
    Ex. 16 150 200 0.1 46 0.15 1:3:16
    Ex. 17 150 100 0.1 20 0.1 1:3:11
    Ex. 18 150 100 0.1 44.5 0.05 1:9:10
    Ex. 19 150 100 0.1 35 0.069 1:3:11
    Ex. 20 150 100 0.1 35 0.265 1:3:11
    Ex. 21 150 100 0 35 0.14 1:3:11
    Ex. 22 150 100 0.1 35 0.1 1:3:11
    Ex. 23 150 100 0.1 35 0.1 1:3:11
    C. Ex. 4 150 100 0.1 0 0.1 1:3:11
    C. Ex. 5 150 100 0 35 0 1:3:11
    C. Ex. 6 150 100 0.1 35 0.1 1:3:11
    Second Polishing First Polishing Polishing Speed
    Composition (g/L) Composition (Å/min.) Dishing Erosion
    Polishing Organic Corrosion Hydrogen Used In Silicon Amount Amount
    Abrasive Accelerator Compound Inhibitor Peroxide Prepolishing Copper Tantalum Dioxide (Å) (Å)
    Ex. 12 2.0 10.0 6.9 0.028 3 Ex. 1 2000 2 2 240 250
    Ex. 13 10.0 6.7 7.0 0.027 3 Ex. 2 3540 5 4 240 250
    Ex. 14 50.0 8.3 7.0 0.027 3 Ex. 3 3680 15 10 260 310
    Ex. 15 6.1 3.0 7.0 0.027 3 Ex. 4 2230 4 3 250 250
    Ex. 16 7.5 10.0 6.9 0.028 3 Ex. 5 4050 4 3 300 310
    Ex. 17 10.0 6.7 4.0 0.027 3 Ex. 2 3630 5 4 290 290
    Ex. 18 7.5 5.0 20.0 0.028 3 Ex. 2 3140 2 1 200 190
    Ex. 19 10.0 6.7 7.0 0.020 3 Ex. 2 3930 5 4 310 310
    Ex. 20 10.0 6.7 7.0 0.060 3 Ex. 2 2030 5 4 250 240
    Ex. 21 10.0 6.7 7.0 0.028 3 Ex. 8 2960 5 4 260 250
    Ex. 22 10.0 6.7 7.0 0.027 1 Ex. 2 2020 5 4 260 250
    Ex. 23 10.0 6.7 7.0 0.027 10 Ex. 2 2510 5 4 240 260
    C. Ex. 4 10.0 6.7 0 0.027 3 Ex. 2 3780 5 4 600 630
    C. Ex. 5 10.0 6.7 7.0 0 3 Ex. 8 6620 5 4 820 910
    C. Ex. 6 10.0 6.7 7.0 0.027 0 Ex. 2 600 5 4
  • As shown in Table 2, the polishing speeds when the second polishing compositions of the examples 12 to 23 were used were fine. In addition, the maximum dishing amount and the maximum erosion amount measured after polishing with the second polishing compositions of the examples 12 to 23 were as small as 310 Å. On the other hand, the dishing amount and the erosion amount measured after polishing with the second polishing compositions of the comparison examples 4 and 5 were as large as greater than or equal to 600 Å. The dishing amount and the erosion amount were incapable of measurement in the comparison example 6. This is because the copper pattern wafer cannot be polished when the second polishing composition of the comparison example 6 is used. [0101]
  • EXAMPLES 24 TO 45 AND COMPARISON EXAMPLES 7 TO 10
  • Several main compositions were prepared by mixing colloidal silica the average particle size of which is 35 nm, α-alanine, benzotriazole, and water. Several second sub-compositions were prepared by mixing acid, which is lactic acid, or alkali, which is potassium hydroxide, benzotriazole, and water. Several third polishing compositions were prepared by mixing the main composition, the second sub-composition, water, and 30% aqueous solution of hydrogen peroxide as required. [0102]
  • The copper blanket wafer, the tantalum blanket wafer, and the silicon dioxide blanket wafer were polished with each third polishing composition under the following polishing conditions (3) for one minute. The thickness of each blanket wafer was measured before and after polishing. The polishing speed was calculated from the difference between the thicknesses measured before and after polishing. The result is shown in Table 3. [0103]
  • The copper pattern wafer that is polished in advance was polished with each third polishing composition under the following polishing conditions (3). The dishing amount at a portion of the copper pattern wafer that corresponds to the trench the width of which is 10 μm was measured using the profiler “HRP340.” The erosion amount was measured at the 90% high density wiring area of the copper pattern wafer. The result is shown in Table 3. The copper pattern wafer that is polished in advance is the copper pattern wafer that is polished with any of the first polishing compositions of examples 1 to 5 and 8 till the thickness of the conductor layer becomes 2000 Å and further polished with any of the second polishing composition of examples 12 to 16 and 21 until all the portion of the conductor layer outside the trenches is removed. [0104]
  • Polishing Conditions (3) [0105]
  • Polishing Machine: “Mirra”[0106]
  • Polishing Pad: “IC-1000/Suba400”[0107]
  • Polishing Pressure: 2.5 psi [0108]
  • Polishing Time: Twice the time required for removing portion of the barrier layer outside the trenches. [0109]
  • Rotational Speed Of Surface Plate: 90 rpm [0110]
  • Supply Speed Of Third Polishing Composition: 200 ml/min. [0111]
  • Rotational Speed Of Carrier: 90 rpm [0112]
    TABLE 3
    Main Composition Second Sub- Main Composition:
    (g/L) Composition (g/L) Second Sub-
    Polishing Corrosion Corrosion Composition:Water
    Abrasive Accelerator Inhibitor Acid Alkali Inhibitor (Volume Ratio)
    Ex. 24 20 100 0.1 17 5.3 1:3:6
    Ex. 25 150 100 0.1 25 8 1:3:11
    Ex. 26 150 25 0.03 15 4.8 1:1:1
    Ex. 27 200 100 0.1 33 10.6 1:3:16
    Ex. 28 150 200 0.1 25 8 1:3:11
    Ex. 29 150 100 0.1 10 8 1:3:11
    Ex. 30 150 100 0.1 50 8 1:3:11
    Ex. 31 150 100 0.1 25 2.49 1:3:11
    Ex. 32 150 100 0.1 11 10.7 1:7:7
    Ex. 33 150 100 0.1 25 8 1:3:11
    Ex. 34 150 100 0.1 25 8 1:3:11
    Ex. 35 20 100 0.1 17 5.3 1:3:6
    Ex. 36 150 100 0.1 25 8 1:3:11
    Ex. 37 150 25 0.03 15 4.8 1:1:1
    Ex. 38 200 100 0.1 33 10.6 1:3:16
    Ex. 39 150 200 0.1 25 8 1:3:11
    Ex. 40 150 100 0.1 10 8 1:3:11
    Ex. 41 150 100 0.1 50 8 1:3:11
    Ex. 42 150 100 0.1 25 2.49 1:3:11
    Ex. 43 150 100 0.1 11 10.7 1:7:7
    Ex. 44 150 100 0.1 25 8 1:3:11
    Ex. 45 150 100 0.1 25 8 1:3:11
    C. Ex. 7 150 100 0.1 0 8 1:3:11
    C. Ex. 8 150 100 0.1 0 8 1:3:11
    C. Ex. 9 150 100 0 25 0 1:3:11
    C. Ex. 10 150 100 0 25 0 1:3:11
    Third Polishing Composition (g/L)
    Polishing Corrosion Hydrogen
    Abrasive Accelerator Acid Alkali Inhibitor Peroxide
    Ex. 24 2.0 10.0 5.1 1.60 0
    Ex. 25 10.0 6.7 5.0 1.61 0
    Ex. 26 50.0 8.3 5.0 1.61 0
    Ex. 27 10.0 5.0 5.0 1.60 0
    Ex. 28 10.0 13.3 5.0 1.61 0
    Ex. 29 10.0 6.7 2.0 1.61 0
    Ex. 30 10.0 6.7 10.0 1.61 0
    Ex. 31 10.0 6.7 5.0 0.50 0
    Ex. 32 10.0 6.7 5.1 5.00 0
    Ex. 33 10.0 6.7 5.0 1.61 1
    Ex. 34 10.0 6.7 5.0 1.61 10
    Ex. 35 2.0 10.0 5.1 1.60 0
    Ex. 36 10.0 6.7 5.0 1.61 0
    Ex. 37 50.0 8.3 5.0 1.61 0
    Ex. 38 10.0 5.0 5.0 1.60 0
    Ex. 39 10.0 13.3 5.0 1.61 0
    Ex. 40 10.0 6.7 2.0 1.61 0
    Ex. 41 10.0 6.7 10.0 1.61 0
    Ex. 42 10.0 6.7 5.0 0.50 0
    Ex. 43 10.0 6.7 5.1 5.00 0
    Ex. 44 10.0 6.7 5.0 1.61 1
    Ex. 45 10.0 6.7 5.0 1.61 10
    C. Ex. 7 10.0 6.7 0 1.61 0
    C. Ex. 8 10.0 6.7 0 1.61 0
    C. Ex. 9 10.0 6.7 5.0 0 0
    C. Ex. 10 10.0 6.7 5.0 0 0
    First Second
    Polishing Polishing Polishing Speed
    Composition Composition (Å/min.) Dishing Erosion
    Used In Used In Silicon Amount Amount
    Prepolishing Prepolishing Copper Tantalum Dioxide (Å) (Å)
    Ex. 24 Ex. 1 Ex. 12 30 710 20 140 260
    Ex. 25 Ex. 2 Ex. 13 50 690 30 140 240
    Ex. 26 Ex. 3 Ex. 14 80 690 100 150 300
    Ex. 27 Ex. 4 Ex. 15 50 700 30 140 260
    Ex. 28 Ex. 5 Ex. 16 50 710 30 140 250
    Ex. 29 Ex. 2 Ex. 13 50 510 30 150 260
    Ex. 30 Ex. 2 Ex. 13 50 710 30 150 260
    Ex. 31 Ex. 2 Ex. 13 100 690 30 250 300
    Ex. 32 Ex. 2 Ex. 13 20 700 30 160 190
    Ex. 33 Ex. 2 Ex. 13 200 710 30 210 240
    Ex. 34 Ex. 2 Ex. 13 300 690 30 310 290
    Ex. 35 Ex. 1 Ex. 12 30 710 20 150 250
    Ex. 36 Ex. 2 Ex. 13 50 700 30 160 240
    Ex. 37 Ex. 3 Ex. 14 80 700 100 160 300
    Ex. 38 Ex. 4 Ex. 15 50 700 30 140 250
    Ex. 39 Ex. 5 Ex. 16 50 710 30 150 240
    Ex. 40 Ex. 2 Ex. 13 50 510 30 150 250
    Ex. 41 Ex. 2 Ex. 13 50 700 30 150 260
    Ex. 42 Ex. 2 Ex. 13 100 690 30 250 300
    Ex. 43 Ex. 2 Ex. 13 20 690 30 140 200
    Ex. 44 Ex. 2 Ex. 13 200 690 30 190 260
    Ex. 45 Ex. 2 Ex. 13 300 690 30 300 300
    C. Ex. 7 Ex. 2 Ex. 13 50 2 30
    C. Ex. 8 Ex. 2 Ex. 13 50 2 30
    C. Ex. 9 Ex. 8 Ex. 21 990 700 30 820 880
    C. Ex. 10 Ex. 8 Ex. 21 1000 690 30 800 870
  • As shown in Table 3, the polishing speeds when the third polishing compositions of the examples 24 to 45 were used were fine. In addition, the maximum dishing amount and the maximum erosion amount measured after polishing with the third polishing compositions of the examples 24 to 45 were as small as 310 Å. On the other hand, the dishing amount and the erosion amount were incapable of measurement in the comparison examples 7 and 8. This is because the copper pattern wafer cannot be polished when the third polishing compositions of the comparison example 7 and 8 are used. The dishing amount and the erosion amount measured after polishing with the third polishing compositions of comparison examples 9 and 10 were as large as greater than or equal to 800 Å. [0113]
  • COMPARISON EXAMPLE 11
  • The copper pattern wafer was polished with the first polishing composition of the example 2 under the following polishing conditions (4). The dishing amount at a portion of the copper pattern wafer that corresponds to the trench the width of which is 10 μm was measured using the profiler “HRP340.” The erosion amount was measured at the 90% high density wiring area of the copper pattern wafer. As a result, the dishing amount and the erosion amount were as large as 650Å. [0114]
  • Polishing Conditions (4) [0115]
  • Polishing Machine: “Mirra”[0116]
  • Polishing Pad: “IC-1400”[0117]
  • Polishing Pressure: 2 psi [0118]
  • Polishing Time: Time required for removing portion of the conductor layer outside the trenches and half that time. [0119]
  • Rotational Speed Of Surface Plate: 90 rpm [0120]
  • Supply Speed Of First Polishing Composition: 200 ml/min. [0121]
  • Rotational Speed Of Carrier: 90 rpm [0122]
  • The present examples and embodiments are to be considered as illustrative and not restrictive and the invention is not to be limited to the details given herein, but may be modified within the scope and equivalence of the appended claims. [0123]

Claims (24)

1. A method for polishing a polishing target, wherein the polishing target has an insulation layer, a barrier layer, and a conductor layer, wherein the insulation layer has a surface, which includes a trench, wherein the barrier layer is located on the insulation layer, wherein the conductor layer is made of metal that includes copper and is located on the barrier layer, and wherein each of the barrier layer and the conductor layer has an inner portion located inside the trench and an outer portion located outside the trench, the method comprising:
polishing the polishing target using a first polishing composition to remove part of the outer portion of the conductor layer; wherein the first polishing composition includes abrasive, a polishing accelerator, hydrogen peroxide, and water; wherein the abrasive includes at least one of silicon dioxide and aluminum oxide; and wherein the polishing accelerator includes at least one of glycine and α-alanine;
polishing the polishing target using a second polishing composition to remove a remaining part of the outer portion of the conductor layer; and
polishing the polishing target using a third polishing composition to remove the outer portion of the barrier layer.
2. A method for polishing a polishing target, wherein the polishing target has an insulation layer, a barrier layer, and a conductor layer; wherein the insulation layer has a surface, which includes a trench; wherein the barrier layer is located on the insulation layer; wherein the conductor layer is made of metal that includes copper and is located on the barrier layer; and wherein each of the barrier layer and the conductor layer has an inner portion located inside the trench and an outer portion located outside the trench, the method comprising:
polishing the polishing target with a first polishing composition to remove part of the outer portion of the conductor layer;
polishing the polishing target with a second polishing composition to remove a remaining part of the outside portion of the conductor layer; wherein the second polishing composition includes abrasive, a polishing accelerator, an organic compound, a corrosion inhibitor, hydrogen peroxide, and water; wherein the abrasive includes at least one of silicon dioxide and aluminum oxide; wherein the polishing accelerator includes at least one of glycine and α-alanine; and wherein the organic compound includes at least one compound selected from the group consisting of polyethylene oxide, polypropylene oxide, polyoxyethylene alkyl ether, polyoxypropylene alkyl ether, polyoxyethylene polyoxypropylene alkyl ether, and an addition polymer of polyoxyalkylene represented by the following chemical formula (1),
Figure US20040084414A1-20040506-C00008
wherein each of R1 to R6 represents a hydrogen atom or an alkyl group the carbon number of which is any of integer numbers 1 to 10, wherein each of X and Y represents an ethyleneoxy group or a propyleneoxy group, wherein each of m and n represents any of integer numbers 1 to 20, and wherein the corrosion inhibitor includes at least one of benzotriazole and a derivative of benzotriazole; and
polishing the polishing target using a third polishing composition to remove the outer portion of the barrier layer.
3. The method according to claim 2, wherein the first polishing composition includes abrasive, which includes at least one of silicon dioxide and aluminum oxide; a polishing accelerator, which includes at least one of glycine and α-alanine; hydrogen peroxide; and water.
4. The method according to claim 2, wherein the third polishing composition includes abrasive, which includes at least one of silicon dioxide and aluminum oxide; acid or alkali, a corrosion inhibitor, which includes at least one of benzotriazole and a derivative of benzotriazole, and water, wherein the acid includes at least one acid selected from the group consisting of nitric acid, hydrochloric acid, lactic acid, phosphoric acid, sulfuric acid, acetic acid, oxalic acid, citric acid, tartaric acid, malonic acid, succinic acid, maleic acid, and fumaric acid, and wherein the alkali includes at least one alkali selected from the group consisting of potassium hydroxide, ammonium hydroxide, and sodium hydroxide.
5. A method for polishing a polishing target; wherein the polishing target has an insulation layer, a barrier layer, and a conductor layer; wherein the insulation layer has a surface, which includes a trench; wherein the barrier layer is located on the insulation layer; wherein the conductor layer is made of metal that includes copper and is located on the barrier layer; and wherein each of the barrier layer and the conductor layer has an inner portion located inside the trench and an outer portion located outside the trench, the method comprising:
polishing the polishing target using a first polishing composition to remove part of the outer portion of the conductor layer;
polishing the polishing target using a second polishing composition to remove a remaining part of the outer portion of the conductor layer; and
polishing the polishing target using a third polishing composition to remove the outer portion of the barrier layer; wherein the third polishing composition includes abrasive, acid or alkali, a corrosion inhibitor, and water; wherein the abrasive includes at least one of silicon dioxide and aluminum oxide; wherein the acid includes at least one acid selected from the group consisting of nitric acid, hydrochloric acid, lactic acid, phosphoric acid, sulfuric acid, acetic acid, oxalic acid, citric acid, tartaric acid, malonic acid, succinic acid, maleic acid, and fumaric acid; wherein the alkali includes at least one alkali selected from the group consisting of potassium hydroxide, ammonium hydroxide, and sodium hydroxide; and wherein the corrosion inhibitor includes at least one of benzotriazole and a derivative of benzotriazole.
6. The method according to claim 5, wherein the first polishing composition includes abrasive, which includes at least one of silicon dioxide and aluminum oxide; a polishing. accelerator; hydrogen peroxide; and water; and wherein the polishing accelerator includes at least one of glycine and α-alanine.
7. A method for polishing a polishing target, wherein the polishing target has an insulation layer, a barrier layer, and a conductor layer; wherein the insulation layer has a surface, which includes a trench; wherein the barrier layer is located on the insulation layer; wherein the conductor layer is made of metal that includes copper and is located on the barrier layer; and wherein each of the barrier layer and the conductor layer has an inner portion located inside the trench and an outer portion located outside the trench, the method comprising:
polishing the polishing target using a first polishing composition to remove part of the outer portion of the conductor layer; wherein the first polishing composition includes abrasive, a polishing accelerator, hydrogen peroxide, and water;
polishing the polishing target using a second polishing composition to remove a remaining part of the outer portion of the conductor layer; wherein the second polishing composition includes abrasive, a polishing accelerator, an organic compound, a corrosion inhibitor, hydrogen peroxide, and water; and
polishing the polishing target using a third polishing composition to remove the outer portion of the barrier layer; wherein the third polishing composition includes abrasive, acid or alkali, a corrosion inhibitor, and water;
wherein each abrasive includes at least one of silicon dioxide and aluminum oxide; wherein each polishing accelerator includes at least one of glycine and α-alanine; wherein the organic compound includes at least one compound selected from the group consisting of polyethylene oxide, polypropylene oxide, polyoxyethylene alkyl ether, polyoxypropylene alkyl ether, polyoxyethylene polyoxypropylene alkyl ether, and an addition polymer of polyoxyalkylene represented by the following chemical formula (1), and
Figure US20040084414A1-20040506-C00009
wherein each of R1 to R6 represents a hydrogen atom or an alkyl group the carbon number of which is any of integer numbers 1 to 10; wherein each of X and Y represents an ethyleneoxy group or a propyleneoxy group, wherein each of m and n represents any of integer numbers 1 to 20; wherein the acid includes at least one acid selected from the group consisting of nitric acid, hydrochloric acid, lactic acid, phosphoric acid, sulfuric acid, acetic acid, oxalic acid, citric acid, tartaric acid, malonic acid, succinic acid, maleic acid, and fumaric acid; wherein the alkali includes at least one alkali selected from the group consisting of potassium hydroxide, ammonium hydroxide, and sodium hydroxide; and wherein each corrosion inhibitor includes at least one of benzotriazole and a derivative of benzotriazole.
8. The method according to claim 7, wherein the third polishing composition further includes a polishing accelerator, which includes at least one of glycine and α-alanine.
9. The method according to claim 8, wherein a main composition, a first sub-composition, and a second sub-composition are prepared before polishing the polishing target,
wherein the main composition includes abrasive, a polishing accelerator, and water; wherein the abrasive includes at least one of silicon dioxide and aluminum oxide; wherein the polishing accelerator includes glycine and α-alanine; wherein the first sub-composition includes an organic compound, a first corrosion inhibitor, and water; and wherein the organic compound includes at least one compound selected from the group consisting of polyethylene oxide, polypropylene oxide, polyoxyethylene alkyl ether, polyoxypropylene alkyl ether, polyoxyethylene polyoxypropylene alkyl ether, and an addition polymer of polyoxyalkylene represented by the following chemical formula (1),
Figure US20040084414A1-20040506-C00010
wherein each of R1 to R6 represents a hydrogen atom or an alkyl group the carbon number of which is any of integer numbers 1 to 10 ; wherein each of X and Y represents an ethyleneoxy group or a propyleneoxy group; wherein each of m and n represents any of integer numbers 1 to 20; wherein the first corrosion inhibitor includes at least one of benzotriazole and a derivative of benzotriazole; wherein the second sub-composition includes acid or alkali, a second corrosion inhibitor, and water; wherein the acid includes at least one acid selected from the group consisting of nitric acid, hydrochloric acid, lactic acid, phosphoric acid, sulfuric acid, acetic acid, oxalic acid, citric acid, tartaric acid, malonic acid, succinic acid, maleic acid, and fumaric acid; wherein the alkali includes at least one alkali selected from the group consisting of potassium hydroxide, ammonium hydroxide, and sodium hydroxide; and wherein the second corrosion inhibitor includes at least one of benzotriazole and a derivative of benzotriazole; and
wherein the first polishing composition is prepared by mixing the main composition and hydrogen peroxide when using the first polishing composition; wherein the second polishing composition is prepared by mixing the main composition, the first sub-composition, and hydrogen peroxide when using the second polishing composition; and wherein the third polishing composition is prepared by mixing the main composition and the second sub-composition when using the third polishing composition.
10. The method according to claim 7, wherein the third polishing composition further includes hydrogen peroxide.
11. A polishing composition used for polishing a polishing target; wherein the polishing target has an insulation layer, a barrier layer, and a conductor layer; wherein the insulation layer has a surface, which includes a trench; wherein the barrier layer is located on the insulation layer; wherein the conductor layer is made of metal that includes copper and is located on the barrier layer; and wherein each of the barrier layer and the conductor layer has an inner portion located inside the trench and an outer portion located outside the trench;
wherein the polishing composition is used to polish the polishing target to remove part of the outer portion of the conductor layer; wherein the polishing composition includes abrasive, a polishing accelerator, hydrogen peroxide, and water; wherein the abrasive includes at least one of silicon dioxide and aluminum oxide; and wherein the polishing accelerator includes at least one of glycine and α-alanine.
12. The polishing composition according to claim 11, wherein the polishing composition is prepared by mixing a mixture of the abrasive, the polishing accelerator, and the water with the hydrogen peroxide when using the polishing composition.
13. The polishing composition according to claim 11, wherein the polishing composition is prepared by mixing a mixture of the abrasive, the polishing accelerator, and part of the water with the hydrogen peroxide when using the polishing composition; and further diluting the polishing composition with remaining water.
14. A polishing composition used for polishing a polishing target; wherein the polishing target has an insulation layer, a barrier layer, and a conductor layer; wherein the insulation layer has a surface, which includes a trench; wherein the barrier layer is located on the insulation layer; wherein the conductor layer is made of metal that includes copper and is located on the barrier layer; and wherein each of the barrier layer and the conductor layer has an inner portion located inside the trench and an outer portion located outside the trench,
wherein the polishing composition is used to polish the polishing target from which part of the outer portion of the conductor layer is removed by prepolishing to remove a remaining part of the outer portion of the conductor layer; wherein the polishing composition includes abrasive, a polishing accelerator, a organic compound, a corrosion inhibitor, hydrogen peroxide, and water; wherein the abrasive includes at least one of silicon dioxide and aluminum oxide; wherein the polishing accelerator includes at least one of glycine and α-alanine; and wherein the organic compound includes at least one compound selected from the group consisting of polyethylene oxide, polypropylene oxide, polyoxyethylene alkyl ether, polyoxypropylene alkyl ether, polyoxyethylene polyoxypropylene alkyl ether, and an addition polymer of polyoxyalkylene represented by the following chemical formula (1), and
Figure US20040084414A1-20040506-C00011
wherein each of R1 to R6 represents a hydrogen atom or an alkyl group the carbon number of which is any of integer numbers 1 to 10; wherein each of X and Y represents an ethyleneoxy group or a propyleneoxy group; wherein each of m and n represents any of integer numbers 1 to 20; and wherein the corrosion inhibitor includes at least one of benzotriazole and a derivative of benzotriazole.
15. The polishing composition according to claim 14, wherein the polishing composition is prepared by mixing a first mixture, which includes the abrasive, the polishing accelerator, and part of the water; a second mixture, which includes the organic compound, the corrosion inhibitor, and a remaining part of the water; and the hydrogen peroxide when using the polishing composition.
16. The polishing composition according to claim 14, wherein the polishing composition is prepared by mixing a first mixture, which includes the abrasive, the polishing accelerator, and part of the water; a second mixture, which includes the organic compound, the corrosion inhibitor, and another part of the water; and the hydrogen peroxide when using the polishing composition; and further diluting the polishing composition with a remaining part of the water.
17. A polishing composition used for polishing a polishing target; wherein the polishing target has an insulation layer, a barrier layer, and a conductor layer; wherein the insulation layer has a surface, which includes a trench; wherein the barrier layer is located on the insulation layer; wherein the conductor layer is made of metal that includes copper and is located on the barrier layer; and wherein each of the barrier layer and the conductor layer has an inner portion located inside the trench and an outer portion located outside the trench,
wherein the polishing composition is used to polish the polishing target from which the outer portion of the conductor layer is removed by prepolishing to remove the outer portion of the barrier layer; wherein the polishing composition includes abrasive, acid or alkali, a corrosion inhibitor, and water; wherein the abrasive includes at least one of silicon dioxide and aluminum oxide; wherein the acid includes at least one acid selected from the group consisting of nitric acid, hydrochloric acid, lactic acid, phosphoric acid, sulfuric acid, acetic acid, oxalic acid, citric acid, tartaric acid, malonic acid, succinic acid, maleic acid, and fumaric acid; wherein the alkali includes at least one alkali selected from the group consisting of potassium hydroxide, ammonium hydroxide, and sodium hydroxide; and wherein the corrosion inhibitor includes at least one of benzotriazole and a derivative of benzotriazole.
18. The polishing composition according to claim 17, further comprising a polishing accelerator, wherein the polishing accelerator includes at least one of glycine and α-alanine.
19. The polishing composition according to claim 18, wherein the polishing composition is prepared by mixing a first mixture, which includes the abrasive, the polishing accelerator, and part of the water; and a second mixture, which includes the acid or alkali, the corrosion inhibitor, and a remaining part of the water when using the polishing composition.
20. The polishing composition according to claim 18, wherein the polishing composition is prepared by mixing a first mixture, which includes the abrasive, the polishing accelerator, and part of the water; and a second mixture, which includes the acid or alkali, the corrosion inhibitor, and another part of the water when using the polishing composition; and further diluting the polishing composition with a remaining part of the water.
21. The polishing composition according to claim 17, further comprising hydrogen peroxide.
22. The polishing composition according to claim 18, further comprising hydrogen peroxide.
23. The polishing composition according to claim 22, wherein the polishing composition is prepared by mixing a first mixture, which includes the abrasive, the polishing accelerator, and part of the water; a second mixture, which includes the acid or alkali, the corrosion inhibitor, and a remaining part of the water; and hydrogen peroxide when using the polishing composition.
24. The polishing composition according to claim 22, wherein the polishing composition is prepared by mixing a first mixture, which includes the abrasive, the polishing accelerator, and part of the water; a second mixture, which includes the acid or alkali, the corrosion inhibitor, and another part of the water; and hydrogen peroxide when using the polishing composition; and further diluting the polishing composition with a remaining part of the water.
US10/642,929 2002-08-19 2003-08-18 Polishing method and polishing composition used for polishing Abandoned US20040084414A1 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2002-238596 2002-08-19
JP2002238596A JP4083502B2 (en) 2002-08-19 2002-08-19 Polishing method and polishing composition used therefor

Publications (1)

Publication Number Publication Date
US20040084414A1 true US20040084414A1 (en) 2004-05-06

Family

ID=32021964

Family Applications (1)

Application Number Title Priority Date Filing Date
US10/642,929 Abandoned US20040084414A1 (en) 2002-08-19 2003-08-18 Polishing method and polishing composition used for polishing

Country Status (2)

Country Link
US (1) US20040084414A1 (en)
JP (1) JP4083502B2 (en)

Cited By (110)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050108949A1 (en) * 2003-09-30 2005-05-26 Tsuyoshi Matsuda Polishing composition
US20050159005A1 (en) * 2004-01-21 2005-07-21 Fujitsu Limited Semiconductor device manufacture method
US20050204638A1 (en) * 2004-03-19 2005-09-22 Fujimi Incorporated Polishing composition and polishing method
US20050208761A1 (en) * 2004-03-22 2005-09-22 Fujimi Incorporated Polishing composition and polishing method
US20050204637A1 (en) * 2004-03-19 2005-09-22 Fujimi Incorporated Polishing composition and polishing method
US20050215060A1 (en) * 2004-03-24 2005-09-29 Fujimi Incorporated Polishing composition and polishing method
US20060049143A1 (en) * 2004-09-09 2006-03-09 Fujimi Incorporated Polishing composition and polishing method using the same
US20060060974A1 (en) * 2004-09-17 2006-03-23 Fujimi Incorporated Polishing composition and process for producing wiring structure using it
US20060134908A1 (en) * 2004-11-05 2006-06-22 Junhui Oh Polishing method
US20070068902A1 (en) * 2005-09-29 2007-03-29 Yasushi Matsunami Polishing composition and polishing method
US20070077865A1 (en) * 2005-10-04 2007-04-05 Cabot Microelectronics Corporation Method for controlling polysilicon removal
US20070105338A1 (en) * 2002-10-08 2007-05-10 Ralf Lerner Trench insulation in substrate disks comprising logic semiconductors and power semiconductors
US20070176140A1 (en) * 2003-09-30 2007-08-02 Tsuyoshi Matsuda Polishing composition and polishing method
US20080032505A1 (en) * 2006-08-02 2008-02-07 Fujimi Incorporated Polishing composition and polishing process
US20080265205A1 (en) * 2005-09-02 2008-10-30 Fujimi Incorporated Polishing Composition
US20090127500A1 (en) * 2005-09-02 2009-05-21 Fujimi Incorporated Polishing composition
US20090173910A1 (en) * 2007-12-28 2009-07-09 Fujimi Incorporated Polishing composition
US20090179172A1 (en) * 2007-12-28 2009-07-16 Fujimi Incorporated Polishing composition
US20090302266A1 (en) * 2006-04-03 2009-12-10 Jsr Corporation Aqueous dispersion for chemical mechanical polishing, chemical mechanical polishing method, and kit for preparing aqueous dispersion for chemical mechanical polishing
US20100184291A1 (en) * 2008-02-29 2010-07-22 Lg Chem, Ltd. Aqueous slurry composition for chemical mechanical polishing and chemical mechanical polishing method
US20110180511A1 (en) * 2010-01-25 2011-07-28 Fujimi Incorporated Polishing Composition and Polishing Method Using the Same
CN102277575A (en) * 2011-07-27 2011-12-14 厦门大学 Chemical polishing solution for aluminum products and preparation method thereof
EP2625236A1 (en) * 2010-10-07 2013-08-14 Basf Se Aqueous polishing composition and process for chemically mechanically polishing substrates having patterned or unpatterned low-k dielectric layers
US20130228160A1 (en) * 2010-10-08 2013-09-05 Rec Wafer Pte. Ltd. Method for production of photovoltaic wafers and abrasive slurry
US20150024596A1 (en) * 2012-02-21 2015-01-22 Hitachi Chemical Company, Ltd. Abrasive, abrasive set, and method for abrading substrate
EP2977418A1 (en) * 2014-07-25 2016-01-27 Air Products And Chemicals, Inc. Chemical mechanical polishing (cmp) of cobalt-containing substrate
EP3088486A1 (en) * 2015-04-27 2016-11-02 Air Products And Chemicals, Inc. Low dishing copper chemical mechanical planarization
WO2019060304A1 (en) * 2017-09-24 2019-03-28 Invensas Bonding Technologies, Inc. Chemical mechanical polishing for hybrid bonding
US10508030B2 (en) 2017-03-21 2019-12-17 Invensas Bonding Technologies, Inc. Seal for microelectronic assembly
US10515925B2 (en) 2017-04-21 2019-12-24 Invensas Bonding Technologies, Inc. Die processing
US10515913B2 (en) 2017-03-17 2019-12-24 Invensas Bonding Technologies, Inc. Multi-metal contact structure
US10522499B2 (en) 2017-02-09 2019-12-31 Invensas Bonding Technologies, Inc. Bonded structures
US10529634B2 (en) 2017-05-11 2020-01-07 Invensas Bonding Technologies, Inc. Probe methodology for ultrafine pitch interconnects
US10546832B2 (en) 2016-12-21 2020-01-28 Invensas Bonding Technologies, Inc. Bonded structures
US10549399B2 (en) 2012-05-22 2020-02-04 Hitachi Chemcial Company, Ltd. Slurry, polishing-solution set, polishing solution, substrate polishing method, and substrate
US10557058B2 (en) 2012-02-21 2020-02-11 Hitachi Chemical Company, Ltd. Polishing agent, polishing agent set, and substrate polishing method
US10557059B2 (en) 2012-05-22 2020-02-11 Hitachi Chemical Company, Ltd. Slurry, polishing-solution set, polishing solution, substrate polishing method, and substrate
US10607937B2 (en) 2015-12-18 2020-03-31 Invensas Bonding Technologies, Inc. Increased contact alignment tolerance for direct bonding
US10658313B2 (en) 2017-12-11 2020-05-19 Invensas Bonding Technologies, Inc. Selective recess
US10703947B2 (en) 2010-03-12 2020-07-07 Hitachi Chemical Company, Ltd. Slurry, polishing fluid set, polishing fluid, and substrate polishing method using same
US10727219B2 (en) 2018-02-15 2020-07-28 Invensas Bonding Technologies, Inc. Techniques for processing devices
US10777533B2 (en) 2012-08-30 2020-09-15 Invensas Bonding Technologies, Inc. Heterogeneous device
US10784191B2 (en) 2017-03-31 2020-09-22 Invensas Bonding Technologies, Inc. Interface structures and methods for forming same
US10790262B2 (en) 2018-04-11 2020-09-29 Invensas Bonding Technologies, Inc. Low temperature bonded structures
US10796936B2 (en) 2016-12-22 2020-10-06 Invensas Bonding Technologies, Inc. Die tray with channels
US10825687B2 (en) 2010-11-22 2020-11-03 Hitachi Chemical Company, Ltd. Slurry, polishing liquid set, polishing liquid, method for polishing substrate, and substrate
US10879226B2 (en) 2016-05-19 2020-12-29 Invensas Bonding Technologies, Inc. Stacked dies and methods for forming bonded structures
US10879212B2 (en) 2017-05-11 2020-12-29 Invensas Bonding Technologies, Inc. Processed stacked dies
US10896902B2 (en) 2016-01-13 2021-01-19 Invensas Bonding Technologies, Inc. Systems and methods for efficient transfer of semiconductor elements
US10923408B2 (en) 2017-12-22 2021-02-16 Invensas Bonding Technologies, Inc. Cavity packages
US10964664B2 (en) 2018-04-20 2021-03-30 Invensas Bonding Technologies, Inc. DBI to Si bonding for simplified handle wafer
US10998292B2 (en) 2018-06-13 2021-05-04 Invensas Bonding Technologies, Inc. Offset pads over TSV
US10998265B2 (en) 2016-09-30 2021-05-04 Invensas Bonding Technologies, Inc. Interface structures and methods for forming same
US11004757B2 (en) 2018-05-14 2021-05-11 Invensas Bonding Technologies, Inc. Bonded structures
US11011418B2 (en) 2005-08-11 2021-05-18 Invensas Bonding Technologies, Inc. 3D IC method and device
US11011494B2 (en) 2018-08-31 2021-05-18 Invensas Bonding Technologies, Inc. Layer structures for making direct metal-to-metal bonds at low temperatures in microelectronics
US11011503B2 (en) 2017-12-15 2021-05-18 Invensas Bonding Technologies, Inc. Direct-bonded optoelectronic interconnect for high-density integrated photonics
US11031285B2 (en) 2017-10-06 2021-06-08 Invensas Bonding Technologies, Inc. Diffusion barrier collar for interconnects
US11056390B2 (en) 2015-06-24 2021-07-06 Invensas Corporation Structures and methods for reliable packages
US11056348B2 (en) 2018-04-05 2021-07-06 Invensas Bonding Technologies, Inc. Bonding surfaces for microelectronics
US11094554B2 (en) * 2017-03-31 2021-08-17 Taiwan Semiconductor Manufacturing Co., Ltd. Polishing process for forming semiconductor device structure
US11158606B2 (en) 2018-07-06 2021-10-26 Invensas Bonding Technologies, Inc. Molded direct bonded and interconnected stack
US11158573B2 (en) 2018-10-22 2021-10-26 Invensas Bonding Technologies, Inc. Interconnect structures
US11169326B2 (en) 2018-02-26 2021-11-09 Invensas Bonding Technologies, Inc. Integrated optical waveguides, direct-bonded waveguide interface joints, optical routing and interconnects
US11171117B2 (en) 2018-06-12 2021-11-09 Invensas Bonding Technologies, Inc. Interlayer connection of stacked microelectronic components
US11195748B2 (en) 2017-09-27 2021-12-07 Invensas Corporation Interconnect structures and methods for forming same
US11205600B2 (en) 2014-03-12 2021-12-21 Invensas Corporation Integrated circuits protected by substrates with cavities, and methods of manufacture
US11205625B2 (en) 2019-04-12 2021-12-21 Invensas Bonding Technologies, Inc. Wafer-level bonding of obstructive elements
US11244916B2 (en) 2018-04-11 2022-02-08 Invensas Bonding Technologies, Inc. Low temperature bonded structures
US11244920B2 (en) 2018-12-18 2022-02-08 Invensas Bonding Technologies, Inc. Method and structures for low temperature device bonding
US11256004B2 (en) 2018-03-20 2022-02-22 Invensas Bonding Technologies, Inc. Direct-bonded lamination for improved image clarity in optical devices
US11264345B2 (en) 2015-08-25 2022-03-01 Invensas Bonding Technologies, Inc. Conductive barrier direct hybrid bonding
US11276676B2 (en) 2018-05-15 2022-03-15 Invensas Bonding Technologies, Inc. Stacked devices and methods of fabrication
US11296053B2 (en) 2019-06-26 2022-04-05 Invensas Bonding Technologies, Inc. Direct bonded stack structures for increased reliability and improved yield in microelectronics
US11296044B2 (en) 2018-08-29 2022-04-05 Invensas Bonding Technologies, Inc. Bond enhancement structure in microelectronics for trapping contaminants during direct-bonding processes
US11348801B2 (en) 2016-12-28 2022-05-31 Invensas Bonding Technologies, Inc. Processing stacked substrates
US11355404B2 (en) 2019-04-22 2022-06-07 Invensas Bonding Technologies, Inc. Mitigating surface damage of probe pads in preparation for direct bonding of a substrate
US11367652B2 (en) 2016-12-28 2022-06-21 Invensas Bonding Technologies, Inc. Microelectronic assembly from processed substrate
US11373963B2 (en) 2019-04-12 2022-06-28 Invensas Bonding Technologies, Inc. Protective elements for bonded structures
US11380597B2 (en) 2017-12-22 2022-07-05 Invensas Bonding Technologies, Inc. Bonded structures
US11387214B2 (en) 2017-06-15 2022-07-12 Invensas Llc Multi-chip modules formed using wafer-level processing of a reconstituted wafer
US11385278B2 (en) 2019-05-23 2022-07-12 Invensas Bonding Technologies, Inc. Security circuitry for bonded structures
US11393779B2 (en) 2018-06-13 2022-07-19 Invensas Bonding Technologies, Inc. Large metal pads over TSV
US11462419B2 (en) 2018-07-06 2022-10-04 Invensas Bonding Technologies, Inc. Microelectronic assemblies
US11476213B2 (en) 2019-01-14 2022-10-18 Invensas Bonding Technologies, Inc. Bonded structures without intervening adhesive
US11515291B2 (en) 2018-08-28 2022-11-29 Adeia Semiconductor Inc. Integrated voltage regulator and passive components
US11538781B2 (en) 2020-06-30 2022-12-27 Adeia Semiconductor Bonding Technologies Inc. Integrated device packages including bonded structures
US11610846B2 (en) 2019-04-12 2023-03-21 Adeia Semiconductor Bonding Technologies Inc. Protective elements for bonded structures including an obstructive element
US11621246B2 (en) 2019-03-29 2023-04-04 Adeia Semiconductor Technologies Llc Diffused bitline replacement in stacked wafer memory
US11626363B2 (en) 2016-12-29 2023-04-11 Adeia Semiconductor Bonding Technologies Inc. Bonded structures with integrated passive component
US11664357B2 (en) 2018-07-03 2023-05-30 Adeia Semiconductor Bonding Technologies Inc. Techniques for joining dissimilar materials in microelectronics
US11710718B2 (en) 2015-07-10 2023-07-25 Adeia Semiconductor Technologies Llc Structures and methods for low temperature bonding using nanoparticles
US11715730B2 (en) 2017-03-16 2023-08-01 Adeia Semiconductor Technologies Llc Direct-bonded LED arrays including optical elements configured to transmit optical signals from LED elements
US11721653B2 (en) 2019-12-23 2023-08-08 Adeia Semiconductor Bonding Technologies Inc. Circuitry for electrical redundancy in bonded structures
US11728273B2 (en) 2020-09-04 2023-08-15 Adeia Semiconductor Bonding Technologies Inc. Bonded structure with interconnect structure
US11735523B2 (en) 2020-05-19 2023-08-22 Adeia Semiconductor Bonding Technologies Inc. Laterally unconfined structure
US11742314B2 (en) 2020-03-31 2023-08-29 Adeia Semiconductor Bonding Technologies Inc. Reliable hybrid bonded apparatus
US11764177B2 (en) 2020-09-04 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Bonded structure with interconnect structure
US11760059B2 (en) 2003-05-19 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Method of room temperature covalent bonding
US11762200B2 (en) 2019-12-17 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Bonded optical devices
US11842894B2 (en) 2019-12-23 2023-12-12 Adeia Semiconductor Bonding Technologies Inc. Electrical redundancy for bonded structures
US11862602B2 (en) 2019-11-07 2024-01-02 Adeia Semiconductor Technologies Llc Scalable architecture for reduced cycles across SOC
US11862604B2 (en) 2018-06-22 2024-01-02 Adeia Semiconductor Inc. Systems and methods for releveled bump planes for chiplets
US11876076B2 (en) 2019-12-20 2024-01-16 Adeia Semiconductor Technologies Llc Apparatus for non-volatile random access memory stacks
US11881454B2 (en) 2016-10-07 2024-01-23 Adeia Semiconductor Inc. Stacked IC structure with orthogonal interconnect layers
US11901281B2 (en) 2019-03-11 2024-02-13 Adeia Semiconductor Bonding Technologies Inc. Bonded structures with integrated passive component
US11908739B2 (en) 2017-06-05 2024-02-20 Adeia Semiconductor Technologies Llc Flat metal features for microelectronics applications
US11929347B2 (en) 2020-10-20 2024-03-12 Adeia Semiconductor Technologies Llc Mixed exposure for large die
US11935907B2 (en) 2014-12-11 2024-03-19 Adeia Semiconductor Technologies Llc Image sensor device
US11955393B2 (en) 2021-05-07 2024-04-09 Adeia Semiconductor Bonding Technologies Inc. Structures for bonding elements including conductive interface features

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006005237A (en) 2004-06-18 2006-01-05 Sharp Corp Method of manufacturing semiconductor device
JP2006315160A (en) * 2005-05-16 2006-11-24 Fuji Electric Holdings Co Ltd Finish polishing method for glass substrate of magnetic disk

Citations (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5391258A (en) * 1993-05-26 1995-02-21 Rodel, Inc. Compositions and methods for polishing
US5575885A (en) * 1993-12-14 1996-11-19 Kabushiki Kaisha Toshiba Copper-based metal polishing solution and method for manufacturing semiconductor device
US5770095A (en) * 1994-07-12 1998-06-23 Kabushiki Kaisha Toshiba Polishing agent and polishing method using the same
US5858913A (en) * 1994-10-14 1999-01-12 Agfa-Gevaert Receiving element for use in thermal transfer printing
US5954997A (en) * 1996-12-09 1999-09-21 Cabot Corporation Chemical mechanical polishing slurry useful for copper substrates
US6063306A (en) * 1998-06-26 2000-05-16 Cabot Corporation Chemical mechanical polishing slurry useful for copper/tantalum substrate
US6126853A (en) * 1996-12-09 2000-10-03 Cabot Microelectronics Corporation Chemical mechanical polishing slurry useful for copper substrates
US6139763A (en) * 1998-12-01 2000-10-31 Fujimi Incorporated Polishing composition and polishing method employing it
US6217416B1 (en) * 1998-06-26 2001-04-17 Cabot Microelectronics Corporation Chemical mechanical polishing slurry useful for copper/tantalum substrates
US6315803B1 (en) * 1999-09-20 2001-11-13 Fujimi Incorporated Polishing composition and polishing process
US6355075B1 (en) * 2000-02-11 2002-03-12 Fujimi Incorporated Polishing composition
US20020096659A1 (en) * 2000-11-24 2002-07-25 Fujimi Incorporated Polishing composition and polishing method employing it
US6428721B1 (en) * 1998-12-01 2002-08-06 Fujimi Incorporated Polishing composition and polishing method employing it
US6432828B2 (en) * 1998-03-18 2002-08-13 Cabot Microelectronics Corporation Chemical mechanical polishing slurry useful for copper substrates
US6440186B1 (en) * 2000-08-24 2002-08-27 Fujimi Incorporated Polishing composition and polishing method employing it
US6444569B2 (en) * 1999-07-13 2002-09-03 Motorola, Inc. Method for forming a copper interconnect using a multi-platen chemical mechanical polishing (CMP) process
US20020139055A1 (en) * 2001-01-31 2002-10-03 Fujimi Incorporated Polishing composition and polishing method employing it
US6524167B1 (en) * 2000-10-27 2003-02-25 Applied Materials, Inc. Method and composition for the selective removal of residual materials and barrier materials during substrate planarization
US20030051413A1 (en) * 2001-07-23 2003-03-20 Fujimi Incorporated Polishing composition and polishing method employing it
US6551935B1 (en) * 2000-08-31 2003-04-22 Micron Technology, Inc. Slurry for use in polishing semiconductor device conductive structures that include copper and tungsten and polishing methods
US20030079416A1 (en) * 2001-08-14 2003-05-01 Ying Ma Chemical mechanical polishing compositions for metal and associated materials and method of using same
US6579153B2 (en) * 2000-01-12 2003-06-17 Jsr Corporation Aqueous dispersion for chemical mechanical polishing and chemical mechanical polishing process
US20030131535A1 (en) * 2001-09-25 2003-07-17 Small Robert J. Compositions for chemical mechanical planarization of tantalum and tantalum nitride
US20040077295A1 (en) * 2002-08-05 2004-04-22 Hellring Stuart D. Process for reducing dishing and erosion during chemical mechanical planarization

Patent Citations (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5476606A (en) * 1993-05-26 1995-12-19 Rodel, Inc. Compositions and methods for polishing
US5391258A (en) * 1993-05-26 1995-02-21 Rodel, Inc. Compositions and methods for polishing
US5575885A (en) * 1993-12-14 1996-11-19 Kabushiki Kaisha Toshiba Copper-based metal polishing solution and method for manufacturing semiconductor device
US5770095A (en) * 1994-07-12 1998-06-23 Kabushiki Kaisha Toshiba Polishing agent and polishing method using the same
US5858913A (en) * 1994-10-14 1999-01-12 Agfa-Gevaert Receiving element for use in thermal transfer printing
US6126853A (en) * 1996-12-09 2000-10-03 Cabot Microelectronics Corporation Chemical mechanical polishing slurry useful for copper substrates
US5954997A (en) * 1996-12-09 1999-09-21 Cabot Corporation Chemical mechanical polishing slurry useful for copper substrates
US6432828B2 (en) * 1998-03-18 2002-08-13 Cabot Microelectronics Corporation Chemical mechanical polishing slurry useful for copper substrates
US6217416B1 (en) * 1998-06-26 2001-04-17 Cabot Microelectronics Corporation Chemical mechanical polishing slurry useful for copper/tantalum substrates
US6447371B2 (en) * 1998-06-26 2002-09-10 Cabot Microelectronics Corporation Chemical mechanical polishing slurry useful for copper/tantalum substrates
US6063306A (en) * 1998-06-26 2000-05-16 Cabot Corporation Chemical mechanical polishing slurry useful for copper/tantalum substrate
US6139763A (en) * 1998-12-01 2000-10-31 Fujimi Incorporated Polishing composition and polishing method employing it
US6428721B1 (en) * 1998-12-01 2002-08-06 Fujimi Incorporated Polishing composition and polishing method employing it
US6444569B2 (en) * 1999-07-13 2002-09-03 Motorola, Inc. Method for forming a copper interconnect using a multi-platen chemical mechanical polishing (CMP) process
US6315803B1 (en) * 1999-09-20 2001-11-13 Fujimi Incorporated Polishing composition and polishing process
US6579153B2 (en) * 2000-01-12 2003-06-17 Jsr Corporation Aqueous dispersion for chemical mechanical polishing and chemical mechanical polishing process
US6355075B1 (en) * 2000-02-11 2002-03-12 Fujimi Incorporated Polishing composition
US6440186B1 (en) * 2000-08-24 2002-08-27 Fujimi Incorporated Polishing composition and polishing method employing it
US6551935B1 (en) * 2000-08-31 2003-04-22 Micron Technology, Inc. Slurry for use in polishing semiconductor device conductive structures that include copper and tungsten and polishing methods
US6524167B1 (en) * 2000-10-27 2003-02-25 Applied Materials, Inc. Method and composition for the selective removal of residual materials and barrier materials during substrate planarization
US20020096659A1 (en) * 2000-11-24 2002-07-25 Fujimi Incorporated Polishing composition and polishing method employing it
US20020139055A1 (en) * 2001-01-31 2002-10-03 Fujimi Incorporated Polishing composition and polishing method employing it
US6679929B2 (en) * 2001-01-31 2004-01-20 Fujimi Incorporated Polishing composition and polishing method employing it
US20030051413A1 (en) * 2001-07-23 2003-03-20 Fujimi Incorporated Polishing composition and polishing method employing it
US6773476B2 (en) * 2001-07-23 2004-08-10 Fujimi Incorporated Polishing composition and polishing method employing it
US20030079416A1 (en) * 2001-08-14 2003-05-01 Ying Ma Chemical mechanical polishing compositions for metal and associated materials and method of using same
US20030131535A1 (en) * 2001-09-25 2003-07-17 Small Robert J. Compositions for chemical mechanical planarization of tantalum and tantalum nitride
US20040077295A1 (en) * 2002-08-05 2004-04-22 Hellring Stuart D. Process for reducing dishing and erosion during chemical mechanical planarization

Cited By (175)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070105338A1 (en) * 2002-10-08 2007-05-10 Ralf Lerner Trench insulation in substrate disks comprising logic semiconductors and power semiconductors
US7271074B2 (en) * 2002-10-08 2007-09-18 X-Fab Semiconductor Foundries Ag Trench insulation in substrate disks comprising logic semiconductors and power semiconductors
US11760059B2 (en) 2003-05-19 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Method of room temperature covalent bonding
US20050108949A1 (en) * 2003-09-30 2005-05-26 Tsuyoshi Matsuda Polishing composition
US20070176140A1 (en) * 2003-09-30 2007-08-02 Tsuyoshi Matsuda Polishing composition and polishing method
US7485162B2 (en) 2003-09-30 2009-02-03 Fujimi Incorporated Polishing composition
US20050159005A1 (en) * 2004-01-21 2005-07-21 Fujitsu Limited Semiconductor device manufacture method
US20080119050A1 (en) * 2004-01-21 2008-05-22 Fujitsu Limited Semiconductor device manufacture method
US7338905B2 (en) * 2004-01-21 2008-03-04 Fujitsu Limited Semiconductor device manufacture method
US20080132156A1 (en) * 2004-03-19 2008-06-05 Fujimi Incorporated Polishing composition and polishing method
US20080210665A1 (en) * 2004-03-19 2008-09-04 Fujimi Incorporated Polishing composition and polishing method
US20050204637A1 (en) * 2004-03-19 2005-09-22 Fujimi Incorporated Polishing composition and polishing method
US20050204638A1 (en) * 2004-03-19 2005-09-22 Fujimi Incorporated Polishing composition and polishing method
US20050208761A1 (en) * 2004-03-22 2005-09-22 Fujimi Incorporated Polishing composition and polishing method
US20050215060A1 (en) * 2004-03-24 2005-09-29 Fujimi Incorporated Polishing composition and polishing method
US7550388B2 (en) 2004-03-24 2009-06-23 Fujima Incorporated Polishing composition and polishing method
US20090156008A1 (en) * 2004-09-09 2009-06-18 Fujimi Incorporated Polishing Composition and Polishing Method Using The Same
GB2419134A (en) * 2004-09-09 2006-04-19 Fujimi Inc Polishing composition and polishing method
GB2419134B (en) * 2004-09-09 2009-10-14 Fujimi Inc Polishing composition and polishing method using the same
US20060049143A1 (en) * 2004-09-09 2006-03-09 Fujimi Incorporated Polishing composition and polishing method using the same
US20060060974A1 (en) * 2004-09-17 2006-03-23 Fujimi Incorporated Polishing composition and process for producing wiring structure using it
US20060134908A1 (en) * 2004-11-05 2006-06-22 Junhui Oh Polishing method
US11011418B2 (en) 2005-08-11 2021-05-18 Invensas Bonding Technologies, Inc. 3D IC method and device
US11515202B2 (en) 2005-08-11 2022-11-29 Adeia Semiconductor Bonding Technologies Inc. 3D IC method and device
US11289372B2 (en) 2005-08-11 2022-03-29 Invensas Bonding Technologies, Inc. 3D IC method and device
US20080265205A1 (en) * 2005-09-02 2008-10-30 Fujimi Incorporated Polishing Composition
US20090127500A1 (en) * 2005-09-02 2009-05-21 Fujimi Incorporated Polishing composition
US20080003928A1 (en) * 2005-09-29 2008-01-03 Yasushi Matsunami Polishing composition and polishing method
US20070068902A1 (en) * 2005-09-29 2007-03-29 Yasushi Matsunami Polishing composition and polishing method
US20070077865A1 (en) * 2005-10-04 2007-04-05 Cabot Microelectronics Corporation Method for controlling polysilicon removal
KR101165875B1 (en) * 2005-10-04 2012-07-13 캐보트 마이크로일렉트로닉스 코포레이션 Method for controlling polysilicon removal
US20090302266A1 (en) * 2006-04-03 2009-12-10 Jsr Corporation Aqueous dispersion for chemical mechanical polishing, chemical mechanical polishing method, and kit for preparing aqueous dispersion for chemical mechanical polishing
US8080476B2 (en) 2006-08-02 2011-12-20 Fujimi Incorporated Polishing composition and polishing process
US20080032505A1 (en) * 2006-08-02 2008-02-07 Fujimi Incorporated Polishing composition and polishing process
US8864860B2 (en) 2007-12-28 2014-10-21 Fujimi Incorporated Polishing composition
US20090173910A1 (en) * 2007-12-28 2009-07-09 Fujimi Incorporated Polishing composition
US20090179172A1 (en) * 2007-12-28 2009-07-16 Fujimi Incorporated Polishing composition
EP2247682A4 (en) * 2008-02-29 2012-03-14 Lg Chemical Ltd An aqueous slurry composition for chemical mechanical polishing and chemical mechanical polishing method
EP2247682A1 (en) * 2008-02-29 2010-11-10 LG Chem, Ltd. An aqueous slurry composition for chemical mechanical polishing and chemical mechanical polishing method
US20100184291A1 (en) * 2008-02-29 2010-07-22 Lg Chem, Ltd. Aqueous slurry composition for chemical mechanical polishing and chemical mechanical polishing method
US20110180511A1 (en) * 2010-01-25 2011-07-28 Fujimi Incorporated Polishing Composition and Polishing Method Using the Same
US8703007B2 (en) 2010-01-25 2014-04-22 Fujimi Incorporated Polishing composition and polishing method using the same
US10703947B2 (en) 2010-03-12 2020-07-07 Hitachi Chemical Company, Ltd. Slurry, polishing fluid set, polishing fluid, and substrate polishing method using same
EP2625236A4 (en) * 2010-10-07 2014-05-14 Basf Se Aqueous polishing composition and process for chemically mechanically polishing substrates having patterned or unpatterned low-k dielectric layers
EP2625236A1 (en) * 2010-10-07 2013-08-14 Basf Se Aqueous polishing composition and process for chemically mechanically polishing substrates having patterned or unpatterned low-k dielectric layers
US20130228160A1 (en) * 2010-10-08 2013-09-05 Rec Wafer Pte. Ltd. Method for production of photovoltaic wafers and abrasive slurry
US20160068730A1 (en) * 2010-10-08 2016-03-10 Rec Solar Pte. Ltd. Method for production of photovoltaic wafers and abrasive slurry
US10825687B2 (en) 2010-11-22 2020-11-03 Hitachi Chemical Company, Ltd. Slurry, polishing liquid set, polishing liquid, method for polishing substrate, and substrate
CN102277575A (en) * 2011-07-27 2011-12-14 厦门大学 Chemical polishing solution for aluminum products and preparation method thereof
US10557058B2 (en) 2012-02-21 2020-02-11 Hitachi Chemical Company, Ltd. Polishing agent, polishing agent set, and substrate polishing method
US10196542B2 (en) 2012-02-21 2019-02-05 Hitachi Chemical Company, Ltd Abrasive, abrasive set, and method for abrading substrate
US9346977B2 (en) * 2012-02-21 2016-05-24 Hitachi Chemical Company, Ltd. Abrasive, abrasive set, and method for abrading substrate
US20150024596A1 (en) * 2012-02-21 2015-01-22 Hitachi Chemical Company, Ltd. Abrasive, abrasive set, and method for abrading substrate
US10557059B2 (en) 2012-05-22 2020-02-11 Hitachi Chemical Company, Ltd. Slurry, polishing-solution set, polishing solution, substrate polishing method, and substrate
US10549399B2 (en) 2012-05-22 2020-02-04 Hitachi Chemcial Company, Ltd. Slurry, polishing-solution set, polishing solution, substrate polishing method, and substrate
US11631586B2 (en) 2012-08-30 2023-04-18 Adeia Semiconductor Bonding Technologies Inc. Heterogeneous annealing method
US10777533B2 (en) 2012-08-30 2020-09-15 Invensas Bonding Technologies, Inc. Heterogeneous device
US11205600B2 (en) 2014-03-12 2021-12-21 Invensas Corporation Integrated circuits protected by substrates with cavities, and methods of manufacture
US10217645B2 (en) 2014-07-25 2019-02-26 Versum Materials Us, Llc Chemical mechanical polishing (CMP) of cobalt-containing substrate
EP2977418A1 (en) * 2014-07-25 2016-01-27 Air Products And Chemicals, Inc. Chemical mechanical polishing (cmp) of cobalt-containing substrate
US11935907B2 (en) 2014-12-11 2024-03-19 Adeia Semiconductor Technologies Llc Image sensor device
EP3088486A1 (en) * 2015-04-27 2016-11-02 Air Products And Chemicals, Inc. Low dishing copper chemical mechanical planarization
US9978609B2 (en) 2015-04-27 2018-05-22 Versum Materials Us, Llc Low dishing copper chemical mechanical planarization
US11056390B2 (en) 2015-06-24 2021-07-06 Invensas Corporation Structures and methods for reliable packages
US11710718B2 (en) 2015-07-10 2023-07-25 Adeia Semiconductor Technologies Llc Structures and methods for low temperature bonding using nanoparticles
US11264345B2 (en) 2015-08-25 2022-03-01 Invensas Bonding Technologies, Inc. Conductive barrier direct hybrid bonding
US11830838B2 (en) 2015-08-25 2023-11-28 Adeia Semiconductor Bonding Technologies Inc. Conductive barrier direct hybrid bonding
US10607937B2 (en) 2015-12-18 2020-03-31 Invensas Bonding Technologies, Inc. Increased contact alignment tolerance for direct bonding
US10896902B2 (en) 2016-01-13 2021-01-19 Invensas Bonding Technologies, Inc. Systems and methods for efficient transfer of semiconductor elements
US10879226B2 (en) 2016-05-19 2020-12-29 Invensas Bonding Technologies, Inc. Stacked dies and methods for forming bonded structures
US11837596B2 (en) 2016-05-19 2023-12-05 Adeia Semiconductor Bonding Technologies Inc. Stacked dies and methods for forming bonded structures
US11658173B2 (en) 2016-05-19 2023-05-23 Adeia Semiconductor Bonding Technologies Inc. Stacked dies and methods for forming bonded structures
US10998265B2 (en) 2016-09-30 2021-05-04 Invensas Bonding Technologies, Inc. Interface structures and methods for forming same
US11881454B2 (en) 2016-10-07 2024-01-23 Adeia Semiconductor Inc. Stacked IC structure with orthogonal interconnect layers
US10546832B2 (en) 2016-12-21 2020-01-28 Invensas Bonding Technologies, Inc. Bonded structures
US10879207B2 (en) 2016-12-21 2020-12-29 Invensas Bonding Technologies, Inc. Bonded structures
US11670615B2 (en) 2016-12-21 2023-06-06 Adeia Semiconductor Bonding Technologies Inc. Bonded structures
US10796936B2 (en) 2016-12-22 2020-10-06 Invensas Bonding Technologies, Inc. Die tray with channels
US11367652B2 (en) 2016-12-28 2022-06-21 Invensas Bonding Technologies, Inc. Microelectronic assembly from processed substrate
US11348801B2 (en) 2016-12-28 2022-05-31 Invensas Bonding Technologies, Inc. Processing stacked substrates
US11626363B2 (en) 2016-12-29 2023-04-11 Adeia Semiconductor Bonding Technologies Inc. Bonded structures with integrated passive component
US10879210B2 (en) 2017-02-09 2020-12-29 Invensas Bonding Technologies, Inc. Bonded structures
US10522499B2 (en) 2017-02-09 2019-12-31 Invensas Bonding Technologies, Inc. Bonded structures
US11715730B2 (en) 2017-03-16 2023-08-01 Adeia Semiconductor Technologies Llc Direct-bonded LED arrays including optical elements configured to transmit optical signals from LED elements
US11894326B2 (en) 2017-03-17 2024-02-06 Adeia Semiconductor Bonding Technologies Inc. Multi-metal contact structure
US11088099B2 (en) 2017-03-17 2021-08-10 Invensas Bonding Technologies, Inc. Multi-metal contact structure in microelectronic component
US10515913B2 (en) 2017-03-17 2019-12-24 Invensas Bonding Technologies, Inc. Multi-metal contact structure
US10508030B2 (en) 2017-03-21 2019-12-17 Invensas Bonding Technologies, Inc. Seal for microelectronic assembly
US11417576B2 (en) 2017-03-21 2022-08-16 Invensas Bonding Technologies, Inc. Seal for microelectronic assembly
US11257727B2 (en) 2017-03-21 2022-02-22 Invensas Bonding Technologies, Inc. Seal for microelectronic assembly
US11094554B2 (en) * 2017-03-31 2021-08-17 Taiwan Semiconductor Manufacturing Co., Ltd. Polishing process for forming semiconductor device structure
US10784191B2 (en) 2017-03-31 2020-09-22 Invensas Bonding Technologies, Inc. Interface structures and methods for forming same
US10714449B2 (en) 2017-04-21 2020-07-14 Invensas Bonding Technologies, Inc. Die processing
US10985133B2 (en) 2017-04-21 2021-04-20 Invensas Bonding Technologies, Inc. Die processing
US11742315B2 (en) 2017-04-21 2023-08-29 Adeia Semiconductor Bonding Technologies Inc. Die processing
US10515925B2 (en) 2017-04-21 2019-12-24 Invensas Bonding Technologies, Inc. Die processing
US11652083B2 (en) 2017-05-11 2023-05-16 Adeia Semiconductor Bonding Technologies Inc. Processed stacked dies
US10748824B2 (en) 2017-05-11 2020-08-18 Invensas Bonding Technologies, Inc. Probe methodology for ultrafine pitch interconnects
US10879212B2 (en) 2017-05-11 2020-12-29 Invensas Bonding Technologies, Inc. Processed stacked dies
US10529634B2 (en) 2017-05-11 2020-01-07 Invensas Bonding Technologies, Inc. Probe methodology for ultrafine pitch interconnects
US11908739B2 (en) 2017-06-05 2024-02-20 Adeia Semiconductor Technologies Llc Flat metal features for microelectronics applications
US11387214B2 (en) 2017-06-15 2022-07-12 Invensas Llc Multi-chip modules formed using wafer-level processing of a reconstituted wafer
US10840205B2 (en) 2017-09-24 2020-11-17 Invensas Bonding Technologies, Inc. Chemical mechanical polishing for hybrid bonding
WO2019060304A1 (en) * 2017-09-24 2019-03-28 Invensas Bonding Technologies, Inc. Chemical mechanical polishing for hybrid bonding
US11552041B2 (en) 2017-09-24 2023-01-10 Adeia Semiconductor Bonding Technologies Inc. Chemical mechanical polishing for hybrid bonding
US11195748B2 (en) 2017-09-27 2021-12-07 Invensas Corporation Interconnect structures and methods for forming same
US11031285B2 (en) 2017-10-06 2021-06-08 Invensas Bonding Technologies, Inc. Diffusion barrier collar for interconnects
US11694925B2 (en) 2017-10-06 2023-07-04 Adeia Semiconductor Bonding Technologies Inc. Diffusion barrier collar for interconnects
US10658313B2 (en) 2017-12-11 2020-05-19 Invensas Bonding Technologies, Inc. Selective recess
US11011503B2 (en) 2017-12-15 2021-05-18 Invensas Bonding Technologies, Inc. Direct-bonded optoelectronic interconnect for high-density integrated photonics
US10923408B2 (en) 2017-12-22 2021-02-16 Invensas Bonding Technologies, Inc. Cavity packages
US11600542B2 (en) 2017-12-22 2023-03-07 Adeia Semiconductor Bonding Technologies Inc. Cavity packages
US11380597B2 (en) 2017-12-22 2022-07-05 Invensas Bonding Technologies, Inc. Bonded structures
US11948847B2 (en) 2017-12-22 2024-04-02 Adeia Semiconductor Bonding Technologies Inc. Bonded structures
US10727219B2 (en) 2018-02-15 2020-07-28 Invensas Bonding Technologies, Inc. Techniques for processing devices
US11037919B2 (en) 2018-02-15 2021-06-15 Invensas Bonding Technologies, Inc. Techniques for processing devices
US11855064B2 (en) 2018-02-15 2023-12-26 Adeia Semiconductor Bonding Technologies Inc. Techniques for processing devices
US11860415B2 (en) 2018-02-26 2024-01-02 Adeia Semiconductor Bonding Technologies Inc. Integrated optical waveguides, direct-bonded waveguide interface joints, optical routing and interconnects
US11169326B2 (en) 2018-02-26 2021-11-09 Invensas Bonding Technologies, Inc. Integrated optical waveguides, direct-bonded waveguide interface joints, optical routing and interconnects
US11256004B2 (en) 2018-03-20 2022-02-22 Invensas Bonding Technologies, Inc. Direct-bonded lamination for improved image clarity in optical devices
US11056348B2 (en) 2018-04-05 2021-07-06 Invensas Bonding Technologies, Inc. Bonding surfaces for microelectronics
US11804377B2 (en) 2018-04-05 2023-10-31 Adeia Semiconductor Bonding Technologies, Inc. Method for preparing a surface for direct-bonding
US11244916B2 (en) 2018-04-11 2022-02-08 Invensas Bonding Technologies, Inc. Low temperature bonded structures
US11515279B2 (en) 2018-04-11 2022-11-29 Adeia Semiconductor Bonding Technologies Inc. Low temperature bonded structures
US10790262B2 (en) 2018-04-11 2020-09-29 Invensas Bonding Technologies, Inc. Low temperature bonded structures
US11791307B2 (en) 2018-04-20 2023-10-17 Adeia Semiconductor Bonding Technologies Inc. DBI to SI bonding for simplified handle wafer
US10964664B2 (en) 2018-04-20 2021-03-30 Invensas Bonding Technologies, Inc. DBI to Si bonding for simplified handle wafer
US11004757B2 (en) 2018-05-14 2021-05-11 Invensas Bonding Technologies, Inc. Bonded structures
US11916054B2 (en) 2018-05-15 2024-02-27 Adeia Semiconductor Bonding Technologies Inc. Stacked devices and methods of fabrication
US11276676B2 (en) 2018-05-15 2022-03-15 Invensas Bonding Technologies, Inc. Stacked devices and methods of fabrication
US11171117B2 (en) 2018-06-12 2021-11-09 Invensas Bonding Technologies, Inc. Interlayer connection of stacked microelectronic components
US11393779B2 (en) 2018-06-13 2022-07-19 Invensas Bonding Technologies, Inc. Large metal pads over TSV
US11728313B2 (en) 2018-06-13 2023-08-15 Adeia Semiconductor Bonding Technologies Inc. Offset pads over TSV
US10998292B2 (en) 2018-06-13 2021-05-04 Invensas Bonding Technologies, Inc. Offset pads over TSV
US11749645B2 (en) 2018-06-13 2023-09-05 Adeia Semiconductor Bonding Technologies Inc. TSV as pad
US11862604B2 (en) 2018-06-22 2024-01-02 Adeia Semiconductor Inc. Systems and methods for releveled bump planes for chiplets
US11664357B2 (en) 2018-07-03 2023-05-30 Adeia Semiconductor Bonding Technologies Inc. Techniques for joining dissimilar materials in microelectronics
US11764189B2 (en) 2018-07-06 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Molded direct bonded and interconnected stack
US11462419B2 (en) 2018-07-06 2022-10-04 Invensas Bonding Technologies, Inc. Microelectronic assemblies
US11837582B2 (en) 2018-07-06 2023-12-05 Adeia Semiconductor Bonding Technologies Inc. Molded direct bonded and interconnected stack
US11158606B2 (en) 2018-07-06 2021-10-26 Invensas Bonding Technologies, Inc. Molded direct bonded and interconnected stack
US11894345B2 (en) 2018-08-28 2024-02-06 Adeia Semiconductor Inc. Integrated voltage regulator and passive components
US11515291B2 (en) 2018-08-28 2022-11-29 Adeia Semiconductor Inc. Integrated voltage regulator and passive components
US11296044B2 (en) 2018-08-29 2022-04-05 Invensas Bonding Technologies, Inc. Bond enhancement structure in microelectronics for trapping contaminants during direct-bonding processes
US11011494B2 (en) 2018-08-31 2021-05-18 Invensas Bonding Technologies, Inc. Layer structures for making direct metal-to-metal bonds at low temperatures in microelectronics
US11756880B2 (en) 2018-10-22 2023-09-12 Adeia Semiconductor Bonding Technologies Inc. Interconnect structures
US11158573B2 (en) 2018-10-22 2021-10-26 Invensas Bonding Technologies, Inc. Interconnect structures
US11244920B2 (en) 2018-12-18 2022-02-08 Invensas Bonding Technologies, Inc. Method and structures for low temperature device bonding
US11817409B2 (en) 2019-01-14 2023-11-14 Adeia Semiconductor Bonding Technologies Inc. Directly bonded structures without intervening adhesive and methods for forming the same
US11476213B2 (en) 2019-01-14 2022-10-18 Invensas Bonding Technologies, Inc. Bonded structures without intervening adhesive
US11901281B2 (en) 2019-03-11 2024-02-13 Adeia Semiconductor Bonding Technologies Inc. Bonded structures with integrated passive component
US11621246B2 (en) 2019-03-29 2023-04-04 Adeia Semiconductor Technologies Llc Diffused bitline replacement in stacked wafer memory
US11373963B2 (en) 2019-04-12 2022-06-28 Invensas Bonding Technologies, Inc. Protective elements for bonded structures
US11728287B2 (en) 2019-04-12 2023-08-15 Adeia Semiconductor Bonding Technologies Inc. Wafer-level bonding of obstructive elements
US11205625B2 (en) 2019-04-12 2021-12-21 Invensas Bonding Technologies, Inc. Wafer-level bonding of obstructive elements
US11610846B2 (en) 2019-04-12 2023-03-21 Adeia Semiconductor Bonding Technologies Inc. Protective elements for bonded structures including an obstructive element
US11848284B2 (en) 2019-04-12 2023-12-19 Adeia Semiconductor Bonding Technologies Inc. Protective elements for bonded structures
US11355404B2 (en) 2019-04-22 2022-06-07 Invensas Bonding Technologies, Inc. Mitigating surface damage of probe pads in preparation for direct bonding of a substrate
US11385278B2 (en) 2019-05-23 2022-07-12 Invensas Bonding Technologies, Inc. Security circuitry for bonded structures
US11296053B2 (en) 2019-06-26 2022-04-05 Invensas Bonding Technologies, Inc. Direct bonded stack structures for increased reliability and improved yield in microelectronics
US11862602B2 (en) 2019-11-07 2024-01-02 Adeia Semiconductor Technologies Llc Scalable architecture for reduced cycles across SOC
US11762200B2 (en) 2019-12-17 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Bonded optical devices
US11876076B2 (en) 2019-12-20 2024-01-16 Adeia Semiconductor Technologies Llc Apparatus for non-volatile random access memory stacks
US11721653B2 (en) 2019-12-23 2023-08-08 Adeia Semiconductor Bonding Technologies Inc. Circuitry for electrical redundancy in bonded structures
US11842894B2 (en) 2019-12-23 2023-12-12 Adeia Semiconductor Bonding Technologies Inc. Electrical redundancy for bonded structures
US11742314B2 (en) 2020-03-31 2023-08-29 Adeia Semiconductor Bonding Technologies Inc. Reliable hybrid bonded apparatus
US11735523B2 (en) 2020-05-19 2023-08-22 Adeia Semiconductor Bonding Technologies Inc. Laterally unconfined structure
US11538781B2 (en) 2020-06-30 2022-12-27 Adeia Semiconductor Bonding Technologies Inc. Integrated device packages including bonded structures
US11631647B2 (en) 2020-06-30 2023-04-18 Adeia Semiconductor Bonding Technologies Inc. Integrated device packages with integrated device die and dummy element
US11728273B2 (en) 2020-09-04 2023-08-15 Adeia Semiconductor Bonding Technologies Inc. Bonded structure with interconnect structure
US11764177B2 (en) 2020-09-04 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Bonded structure with interconnect structure
US11929347B2 (en) 2020-10-20 2024-03-12 Adeia Semiconductor Technologies Llc Mixed exposure for large die
US11955393B2 (en) 2021-05-07 2024-04-09 Adeia Semiconductor Bonding Technologies Inc. Structures for bonding elements including conductive interface features
US11955463B2 (en) 2022-02-25 2024-04-09 Adeia Semiconductor Bonding Technologies Inc. Direct bonded stack structures for increased reliability and improved yield in microelectronics
US11955445B2 (en) 2022-06-09 2024-04-09 Adeia Semiconductor Bonding Technologies Inc. Metal pads over TSV

Also Published As

Publication number Publication date
JP2004075862A (en) 2004-03-11
JP4083502B2 (en) 2008-04-30

Similar Documents

Publication Publication Date Title
US20040084414A1 (en) Polishing method and polishing composition used for polishing
US7485162B2 (en) Polishing composition
JP3981616B2 (en) Polishing composition
JP4075985B2 (en) Polishing composition and polishing method using the same
US6503418B2 (en) Ta barrier slurry containing an organic additive
US6720264B2 (en) Prevention of precipitation defects on copper interconnects during CMP by use of solutions containing organic compounds with silica adsorption and copper corrosion inhibiting properties
EP1670047B1 (en) Polishing composition and polishing method
US20040244300A1 (en) Metal polishing composition
US20050208761A1 (en) Polishing composition and polishing method
US8080476B2 (en) Polishing composition and polishing process
US20100035433A1 (en) Polishing agent composition and method for manufacturing semiconductor integrated circuit device
US20090068840A1 (en) Polishing liquid and method for manufacturing semiconductor device
KR102303864B1 (en) Polishing compositions and methods of using same
WO2009056491A1 (en) Cmp slurry composition and process for planarizing copper containing surfaces provided with a diffusion barrier layer
US20080265205A1 (en) Polishing Composition
US20090127500A1 (en) Polishing composition
JP2006086462A (en) Polishing composition and manufacturing method of wiring structure using the same
JP2005123482A (en) Polishing method
JP4541674B2 (en) Polishing composition
JP4406554B2 (en) Polishing composition

Legal Events

Date Code Title Description
AS Assignment

Owner name: FUJIMI INCORPORATED, JAPAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:SAKAI, KENJI;TAMAI, KAZUSEI;KAWAMURA, ATSUNORI;AND OTHERS;REEL/FRAME:014407/0352

Effective date: 20030807

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION