US20030189254A1 - Dual damascene structure for the wiring-line structures of multi-level interconnects in integrated circuit - Google Patents

Dual damascene structure for the wiring-line structures of multi-level interconnects in integrated circuit Download PDF

Info

Publication number
US20030189254A1
US20030189254A1 US09/849,391 US84939101A US2003189254A1 US 20030189254 A1 US20030189254 A1 US 20030189254A1 US 84939101 A US84939101 A US 84939101A US 2003189254 A1 US2003189254 A1 US 2003189254A1
Authority
US
United States
Prior art keywords
dual damascene
layer
damascene structure
dielectric
etch
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US09/849,391
Inventor
Tri-Rung Yew
Yimin Huang
Water Lur
Shih-Wei Sun
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hanger Solutions LLC
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US09/849,391 priority Critical patent/US20030189254A1/en
Priority to US10/630,643 priority patent/US20040084780A1/en
Publication of US20030189254A1 publication Critical patent/US20030189254A1/en
Priority to US11/196,038 priority patent/US7378740B2/en
Assigned to HANGER SOLUTIONS, LLC reassignment HANGER SOLUTIONS, LLC ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: INTELLECTUAL VENTURES ASSETS 158 LLC
Assigned to INTELLECTUAL VENTURES ASSETS 158 LLC reassignment INTELLECTUAL VENTURES ASSETS 158 LLC ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: INTELLECTUAL VENTURES HOLDING 81 LLC
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/7681Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving one or more buried masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76835Combinations of two or more different dielectric layers having a low dielectric constant

Definitions

  • This invention relates to a dual damascene structure, and more particularly, to a dual damascene structure for the wiring-line structures of multi-level interconnects in integrated circuit, in which low-K (low dielectric constant) dielectric materials are used to form the dielectric layers and the etch-stop layers between the metal interconnects in the integrated circuit.
  • low-K (low dielectric constant) dielectric materials are used to form the dielectric layers and the etch-stop layers between the metal interconnects in the integrated circuit.
  • a high-density integrated circuit is typically formed with a multi-level interconnect structure with two or more layers of metal interconnects to serve as wiring line structures for the purpose of electrically interconnecting the various components in the integrated circuit.
  • the multi-level interconnect structure typically includes a first layer (base layer) of metal interconnect structure which is electrically connected to the source/drain regions of the MOS transistors in the integrated circuit, and a second layer of metal interconnect structure which is separated from the base metal interconnect structure by an insulating layer, but with the second metal interconnect structure being electrically connected to the base metal interconnect structure via metal plugs formed in the insulating layer.
  • Still another or more metal interconnect structures can be formed over the second layer of metal interconnect structure.
  • the conventional methods to form the metal interconnects would display some drawbacks. For instance, the etching on the low-resistance copper-based metallization layers to form the metal interconnects would be difficult to carry out on a deep-submicron integrated circuit. Moreover, in the deposition process to form dielectric layers between two neighboring levels of metal interconnects, the resulted dielectric layers would be poor in step coverage that may then cause undesired voids or trapping of impurities to occur.
  • a conventional dual damascene structure is illustratively depicted in the following with reference to FIGS. 1 A- 1 F.
  • the dual damascene structure is constructed on a semiconductor substrate 100 .
  • a base metal interconnect structure 102 is formed in the substrate 100 .
  • a first dielectric layer 104 is formed, typically from silicon dioxide, over the entire top surface of the substrate 100 , covering the entire exposed surface of the base metal interconnect structure 102 .
  • an etch-stop layer 106 is formed, typically from silicon nitride, over the first dielectric layer 104 .
  • a first photoresist layer 108 is formed over the etch-stop layer 106 .
  • the photoresist layer 108 is selectively removed to expose a selected portion of the etch-stop layer 106 that is laid directly above the base metal interconnect structure 102 in the substrate 100 .
  • an anisotropic dry-etching process is performed on the wafer so as to etch away the unmasked portion of the etch-stop layer 106 until the top surface of the first dielectric layer 104 is exposed.
  • a contact hole 110 is formed in the etch-stop layer 106 , which is located directly above the base metal interconnect structure 102 in the substrate 100 .
  • the entire photoresist layer 108 is removed.
  • a second dielectric layer 112 is formed, typically from silicon dioxide, over the entire top surface of the etch-stop layer 106 , which also fills up the entire contact hole 110 in the etch-stop layer 106 .
  • a second photoresist layer 114 is formed over the second dielectric layer 112 , which is selectively removed to form a first opening 116 and a second opening 118 therein.
  • the first opening 116 is located directly above the contact hole 110 in the etch-stop layer 106 and formed with a greater width than the contact hole 110 .
  • a second anisotropic dry-etching process is performed on the wafer to a controlled depth until reaching the etch-stop layer 106 , and exposing the top surface of the first dielectric layer 104 . This forms a first contact hole 116 a and a second contact hole 118 a in the second dielectric layer 112 .
  • a third anisotropic dry-etching process is performed on the wafer so as to etch away the part of the first dielectric layer 104 that is laid directly beneath the previously formed contact hole 110 (see FIG. 1B) in the etch-stop layer 106 until the top surface of the base metal interconnect structure 102 is exposed.
  • a contact hole 120 is formed in the first dielectric layer 104 , which is connected to the first contact hole 116 a in the second dielectric layer 112 .
  • a metal is deposited into the contact hole 120 in the first dielectric layer 104 and the first and second contact holes 116 a , 118 a in the second dielectric layer 112 to form a dual damascene structure used to electrically connect the base metal interconnect structure 102 to a second layer of metal interconnect structure (not shown) that is to be formed over the second dielectric layer 112 .
  • the dielectric material(s) used to form the first and second dielectric layers 104 , 112 and the dielectric material used to form the etch-stop layer 106 should be selected in such a manner as to allow the etching process to act on them with different etching rates.
  • the etch-stop layer 106 is formed from a high-K dielectric material, such as silicon-oxy-nitride or silicon nitride; whereas in the case of the first and second dielectric layers 104 , 112 being formed from a low-K dielectric material, such as fluorosilicate oxide, fluorosilicate glass (FSG), hydrogen silsesquioxane (HSQ), or organics, then the etch-stop layer 106 is formed from a high-K dielectric material, such as silicon dioxide, silicon-oxy-nitride, or silicon nitride.
  • a high-K dielectric material such as silicon-oxy-nitride or silicon nitride
  • the dielectric material used to form the etch-stop layer 106 is much greater in terms of dielectric constant than the dielectric material(s) used to form the first and second dielectric layers 104 , 112 .
  • the dielectric constant of silicon nitride is about 7.9. Consequently, when electric currents are conducted through the metal interconnects in the dual damascene structure, a large parasite capacitance would occur in the first and second dielectric layers 104 , 112 between the metal interconnects. The presence of this parasite capacitance will then cause an increased RC delay to the signals being transmitted through the metal interconnects, thus degrading the performance of the IC device.
  • the dual damascene structure of the invention includes a first dielectric layer formed over the substrate from a first low-K dielectric material; an etch-stop layer formed over the first dielectric layer from a low-K inorganic dielectric material; a second dielectric layer formed over the etch-stop layer from a second low-K organic dielectric material; and a pair of metal plugs including a first metal plug and a second metal plug.
  • the first metal plug is formed in such a manner as to penetrate successively through the second dielectric layer, the etch-stop layer, and the first dielectric layer to come into electrical contact with the base metal interconnect structure in the substrate; while the second metal plug is formed in such a manner as to penetrate through the second dielectric layer to come into contact with the etch-stop layer.
  • the low-K dielectric materials used to form the first and second dielectric layers and the etch-stop layer can be either inorganic dielectric materials, such as silicon oxide. fluorosilicate glass (FSG), fluorosilicate oxide, and hydrogen silsesquioxane (HSQ); or organic dielectric materials, such as Flare, SILK, BCB, and Parylene.
  • inorganic dielectric materials such as silicon oxide. fluorosilicate glass (FSG), fluorosilicate oxide, and hydrogen silsesquioxane (HSQ); or organic dielectric materials, such as Flare, SILK, BCB, and Parylene.
  • FIGS. 1 A- 1 F are schematic sectional diagrams used to depict the process steps used to fabricate a conventional dual damascene structure
  • FIGS. 2 A- 2 E are schematic sectional diagrams used to depict the process steps used to fabricate the dual damascene structure of the invention.
  • FIGS. 2 A- 2 E A preferred embodiment of the dual damascene structure of the invention is disclosed in the following with reference to FIGS. 2 A- 2 E.
  • the dual damascene structure is constructed on a semiconductor substrate 200 .
  • a base metal interconnect structure 202 is then formed in the substrate 200 .
  • a first dielectric layer 204 is formed over the entire top surface of the substrate 200 , covering all the exposed surface of the base metal interconnect structure 202 .
  • the first dielectric layer 204 is formed from a low-K organic dielectric material, such as Flare, SILK, BCB, or Parylene.
  • an etch-stop layer 206 is formed over the first dielectric layer 204 .
  • the etch-stop layer 206 is formed a low-K inorganic dielectric material, such as silicon dioxide, fluorosilicate glass (FSG), fluorosilicate oxide, or hydrogen silsesquioxane (HSQ).
  • the selected dielectric material to form the etch-stop layer 206 should allow the etch-stop layer 206 to be different in terms of etching rate from the first dielectric layer 204 .
  • a protective layer 208 can be formed over the etch-stop layer 206 from a selected dielectric material having a higher dielectric constant than the dielectric material used to form the etch-stop layer 206 , such as oxide, silicon-oxy-nitride, or silicon nitride.
  • This protective layer 208 can help prevent the etching rate on the second dielectric layer 212 (to be formed later) to be nearly equal to the etching rate on the etch-stop layer 206 during the subsequently performed etching process, and thus prevent the etch-stop layer 206 from being damaged during the etching process.
  • the next step is to form a first photoresist layer 210 over the protective layer 208 , which is selectively removed to expose a selected area of the protective layer 208 that is laid directly above the base metal interconnect structure 202 .
  • an etching process is performed on the wafer so as to etch away the unmasked portions of the protective layer 208 and the underlying etch-stop layer 206 until the top surface of the first dielectric layer 204 is exposed. This forms a contact hole 209 which penetrate through both the protective layer 208 and the etch-stop layer 206 . After this, the entire first photoresist layer 210 is removed.
  • a second dielectric layer 212 is deposited over the entire top surface of the protective layer 208 , which also fills up the entire contact hole 209 (see FIG. 2B) in the protective layer 208 and etch-stop layer 206 .
  • the second dielectric layer 212 can be formed either from the same dielectric material used to form the first dielectric layer 204 , or from a different dielectric material; however, the selected dielectric material should be greater in terms of etching rate than the protective layer 208 and the etch-stop layer 206 .
  • a hard mask layer 214 can be formed over the second dielectric layer 212 from a high-K inorganic dielectric maternal such as oxide, silicon-oxy-nitride, or silicon nitride.
  • a second photoresist layer 216 is then formed over the hard mask layer 214 .
  • the hard mask layer 214 can help prevent the second photoresist layer 216 from being damaged in the subsequent etching process due to low etching rates on the second dielectric layer 212 , the first dielectric layer 204 , and the second photoresist layer 216 , which are made from organic dielectric materials.
  • the second photoresist layer 216 is selectively removed to form a first opening 218 and a second opening 220 .
  • the first opening 218 is located directly above the previously formed contact hole 209 (see FIG. 2B) in the protective layer 208 and etch-stop layer 206 and formed with a greater width than the contact hole 209 .
  • a second etching process is performed on the wafer so as to etch away the unmasked portions of the hard mask layer 214 , the second dielectric layer 212 , and the protective layer 208 until reaching the etch-stop layer 206 and exposing the top surface of the first dielectric layer 204 .
  • a first contact hole 218 a and a second contact hole 220 a are formed in such a manner that the first contact hole 218 a is located directly above the base metal interconnect structure 202 and exposes the first dielectric layer 204 , while the second contact hole 220 a is still isolated from the first dielectric layer 204 by the etch-stop layer 206 .
  • a third etching process is performed on the exposed portion of the first dielectric layer 204 until the top surface of the base metal interconnect structure 202 is exposed. This forms a bottom contact hole 222 in the first dielectric layer 204 , which is connected to the first contact hole 218 a in the second dielectric layer 212 . Then, a conductive layer 224 , 226 is formed to fill the bottom contact hole 222 , the first contact hole 218 a , and the second contact hole 220 a .
  • the conductive layer 224 is formed as a plug penetrating through the second dielectric layer 212 , the etch-stop layer 206 and the first dielectric layer 204 to come into electrical contact with the base metal interconnect structure 202 .
  • the conductive layer 226 is formed as a plug penetrating through the second dielectric layer 212 .
  • the etch-stop layer 206 is formed from a low-K dielectric material instead of a high-K one as in the prior art.
  • various other low-K dielectric materials can be used to form the dielectric layers 204 , 212 and the etch-stop layer 206 .
  • the dielectric layers 204 , 212 can be alternatively formed from low-K inorganic dielectric materials, such as silicon dioxide, fluorosilicate glass (FSG), fluorosilicate oxide, or hydrogen silsesquioxane (HSQ); while the etch-stop layer 206 can be formed from a low-K organic dielectric material, such as Flare, SILK, or Parylene.
  • the invention provides an improved dual damascene structure in which low-K dielectric materials are used to form both the dielectric layers and the etch-stop layer in the dual damascene structure.
  • This feature allows a significantly reduced or nearly no parasite capacitance to occur in the dual damascene structure as compared to the prior art.
  • the RC delay caused by the parasite capacitance can therefore be reduced to a lesser degree as compared to the prior art, thus assuring the performance of the IC device.

Abstract

An improved dual damascene structure is provided for use in the wiring-line structures of multi-level interconnects in integrated circuit. In this dual damascene structure, low-K (low dielectric constant) dielectric materials are used to form both the dielectric layers and the etch-stop layers between the metal interconnects in the IC device. With this feature, the dual damascene structure can prevent high parasite capacitance to occur therein that would otherwise cause large RC delay to the signals being transmitted through the metal interconnects and thus degrade the performance of the IC device. With the dual damascene structure, such parasite capacitance can be reduced, thus assuring the performance of the IC device.

Description

    BACKGROUND OF THE INVENTION
  • 1. Field of the Invention [0001]
  • This invention relates to a dual damascene structure, and more particularly, to a dual damascene structure for the wiring-line structures of multi-level interconnects in integrated circuit, in which low-K (low dielectric constant) dielectric materials are used to form the dielectric layers and the etch-stop layers between the metal interconnects in the integrated circuit. [0002]
  • 2. Description of Related Art [0003]
  • A high-density integrated circuit is typically formed with a multi-level interconnect structure with two or more layers of metal interconnects to serve as wiring line structures for the purpose of electrically interconnecting the various components in the integrated circuit. The multi-level interconnect structure typically includes a first layer (base layer) of metal interconnect structure which is electrically connected to the source/drain regions of the MOS transistors in the integrated circuit, and a second layer of metal interconnect structure which is separated from the base metal interconnect structure by an insulating layer, but with the second metal interconnect structure being electrically connected to the base metal interconnect structure via metal plugs formed in the insulating layer. Still another or more metal interconnect structures can be formed over the second layer of metal interconnect structure. [0004]
  • When the integrated circuit is further scaled down to below deep-submicron level of integration, or the metal interconnects are reduced in resistance to raise the access speed to the IC device, the conventional methods to form the metal interconnects would display some drawbacks. For instance, the etching on the low-resistance copper-based metallization layers to form the metal interconnects would be difficult to carry out on a deep-submicron integrated circuit. Moreover, in the deposition process to form dielectric layers between two neighboring levels of metal interconnects, the resulted dielectric layers would be poor in step coverage that may then cause undesired voids or trapping of impurities to occur. One solution to these problems is to form the so-called dual damascene structure, which can help eliminate the above-mentioned drawbacks of the metal interconnect structures formed in deep-submicron integrated circuits by allowing the dielectric layers between the metal interconnects to be highly planarized. A conventional dual damascene structure is illustratively depicted in the following with reference to FIGS. [0005] 1A-1F.
  • Referring first to FIG. 1A, the dual damascene structure is constructed on a [0006] semiconductor substrate 100. A base metal interconnect structure 102 is formed in the substrate 100. Next, a first dielectric layer 104 is formed, typically from silicon dioxide, over the entire top surface of the substrate 100, covering the entire exposed surface of the base metal interconnect structure 102. After this, an etch-stop layer 106 is formed, typically from silicon nitride, over the first dielectric layer 104.
  • Referring next to FIG. 1B, in the subsequent step, a first [0007] photoresist layer 108 is formed over the etch-stop layer 106. The photoresist layer 108 is selectively removed to expose a selected portion of the etch-stop layer 106 that is laid directly above the base metal interconnect structure 102 in the substrate 100. Then, with the photoresist layer 108 serving as mask, an anisotropic dry-etching process is performed on the wafer so as to etch away the unmasked portion of the etch-stop layer 106 until the top surface of the first dielectric layer 104 is exposed. As a result, a contact hole 110 is formed in the etch-stop layer 106, which is located directly above the base metal interconnect structure 102 in the substrate 100.
  • Referring further to FIG. 1C, in the subsequent step, the entire [0008] photoresist layer 108 is removed. After this, a second dielectric layer 112 is formed, typically from silicon dioxide, over the entire top surface of the etch-stop layer 106, which also fills up the entire contact hole 110 in the etch-stop layer 106.
  • Referring further to FIG. 1D, in the subsequent step, a second [0009] photoresist layer 114 is formed over the second dielectric layer 112, which is selectively removed to form a first opening 116 and a second opening 118 therein. The first opening 116 is located directly above the contact hole 110 in the etch-stop layer 106 and formed with a greater width than the contact hole 110.
  • Referring next to FIG. 1E, with the second [0010] photoresist layer 114 serving as mask, a second anisotropic dry-etching process is performed on the wafer to a controlled depth until reaching the etch-stop layer 106, and exposing the top surface of the first dielectric layer 104. This forms a first contact hole 116 a and a second contact hole 118 a in the second dielectric layer 112.
  • Referring further to FIG. 1F, in the subsequent step, a third anisotropic dry-etching process is performed on the wafer so as to etch away the part of the first [0011] dielectric layer 104 that is laid directly beneath the previously formed contact hole 110 (see FIG. 1B) in the etch-stop layer 106 until the top surface of the base metal interconnect structure 102 is exposed. As a result, a contact hole 120 is formed in the first dielectric layer 104, which is connected to the first contact hole 116 a in the second dielectric layer 112.
  • In the subsequent step, a metal is deposited into the [0012] contact hole 120 in the first dielectric layer 104 and the first and second contact holes 116 a, 118 a in the second dielectric layer 112 to form a dual damascene structure used to electrically connect the base metal interconnect structure 102 to a second layer of metal interconnect structure (not shown) that is to be formed over the second dielectric layer 112.
  • In the foregoing dual damascene structure, the dielectric material(s) used to form the first and second [0013] dielectric layers 104, 112 and the dielectric material used to form the etch-stop layer 106 should be selected in such a manner as to allow the etching process to act on them with different etching rates. For instance, in the case of the first and second dielectric layers 104, 112 being formed from silicon dioxide, the etch-stop layer 106 is formed from a high-K dielectric material, such as silicon-oxy-nitride or silicon nitride; whereas in the case of the first and second dielectric layers 104, 112 being formed from a low-K dielectric material, such as fluorosilicate oxide, fluorosilicate glass (FSG), hydrogen silsesquioxane (HSQ), or organics, then the etch-stop layer 106 is formed from a high-K dielectric material, such as silicon dioxide, silicon-oxy-nitride, or silicon nitride.
  • One drawback to the foregoing dual damascene structure, however, is that the dielectric material used to form the etch-[0014] stop layer 106 is much greater in terms of dielectric constant than the dielectric material(s) used to form the first and second dielectric layers 104, 112. For instance, the dielectric constant of silicon nitride is about 7.9. Consequently, when electric currents are conducted through the metal interconnects in the dual damascene structure, a large parasite capacitance would occur in the first and second dielectric layers 104, 112 between the metal interconnects. The presence of this parasite capacitance will then cause an increased RC delay to the signals being transmitted through the metal interconnects, thus degrading the performance of the IC device.
  • SUMMARY OF THE INVENTION
  • It is therefore an objective of the present invention to provide an improved dual damascene structure for IC device, in which low-K dielectric materials are used to form both the dielectric layers and the etch-stop layer between the metal interconnects, such that no or at least a reduced parasite capacitance would occur in the dielectric layers, and such that the IC device can be assured in performance without having increased RC delay. [0015]
  • In accordance with the foregoing and other objectives of the present invention, an improved dual damascene structure is provided. [0016]
  • The dual damascene structure of the invention includes a first dielectric layer formed over the substrate from a first low-K dielectric material; an etch-stop layer formed over the first dielectric layer from a low-K inorganic dielectric material; a second dielectric layer formed over the etch-stop layer from a second low-K organic dielectric material; and a pair of metal plugs including a first metal plug and a second metal plug. The first metal plug is formed in such a manner as to penetrate successively through the second dielectric layer, the etch-stop layer, and the first dielectric layer to come into electrical contact with the base metal interconnect structure in the substrate; while the second metal plug is formed in such a manner as to penetrate through the second dielectric layer to come into contact with the etch-stop layer. [0017]
  • The low-K dielectric materials used to form the first and second dielectric layers and the etch-stop layer can be either inorganic dielectric materials, such as silicon oxide. fluorosilicate glass (FSG), fluorosilicate oxide, and hydrogen silsesquioxane (HSQ); or organic dielectric materials, such as Flare, SILK, BCB, and Parylene.[0018]
  • BRIEF DESCRIPTION OF DRAWINGS
  • The invention can be more fully understood by reading the following detailed description of the preferred embodiments, with reference made to the accompanying drawings, wherein: [0019]
  • FIGS. [0020] 1A-1F are schematic sectional diagrams used to depict the process steps used to fabricate a conventional dual damascene structure; and
  • FIGS. [0021] 2A-2E are schematic sectional diagrams used to depict the process steps used to fabricate the dual damascene structure of the invention.
  • DETAILED DESCRIPTION OF PREFERRED EMBODIMENTS
  • A preferred embodiment of the dual damascene structure of the invention is disclosed in the following with reference to FIGS. [0022] 2A-2E.
  • Referring first to FIG. 2A, the dual damascene structure is constructed on a [0023] semiconductor substrate 200. A base metal interconnect structure 202 is then formed in the substrate 200. Next, a first dielectric layer 204 is formed over the entire top surface of the substrate 200, covering all the exposed surface of the base metal interconnect structure 202. In accordance with the invention, the first dielectric layer 204 is formed from a low-K organic dielectric material, such as Flare, SILK, BCB, or Parylene.
  • After this, an etch-[0024] stop layer 206 is formed over the first dielectric layer 204. In the case of the first dielectric layer 204 being formed from an organic dielectric material, the etch-stop layer 206 is formed a low-K inorganic dielectric material, such as silicon dioxide, fluorosilicate glass (FSG), fluorosilicate oxide, or hydrogen silsesquioxane (HSQ). The selected dielectric material to form the etch-stop layer 206 should allow the etch-stop layer 206 to be different in terms of etching rate from the first dielectric layer 204.
  • Optionally, a [0025] protective layer 208 can be formed over the etch-stop layer 206 from a selected dielectric material having a higher dielectric constant than the dielectric material used to form the etch-stop layer 206, such as oxide, silicon-oxy-nitride, or silicon nitride. This protective layer 208 can help prevent the etching rate on the second dielectric layer 212 (to be formed later) to be nearly equal to the etching rate on the etch-stop layer 206 during the subsequently performed etching process, and thus prevent the etch-stop layer 206 from being damaged during the etching process. After the protective layer 208 is formed, the next step is to form a first photoresist layer 210 over the protective layer 208, which is selectively removed to expose a selected area of the protective layer 208 that is laid directly above the base metal interconnect structure 202.
  • Referring next to FIG. 2B, in the subsequent step, with the [0026] first photoresist layer 210 serving as mask, an etching process is performed on the wafer so as to etch away the unmasked portions of the protective layer 208 and the underlying etch-stop layer 206 until the top surface of the first dielectric layer 204 is exposed. This forms a contact hole 209 which penetrate through both the protective layer 208 and the etch-stop layer 206. After this, the entire first photoresist layer 210 is removed.
  • Referring further to FIG. 2C, in the subsequent step, a [0027] second dielectric layer 212 is deposited over the entire top surface of the protective layer 208, which also fills up the entire contact hole 209 (see FIG. 2B) in the protective layer 208 and etch-stop layer 206. The second dielectric layer 212 can be formed either from the same dielectric material used to form the first dielectric layer 204, or from a different dielectric material; however, the selected dielectric material should be greater in terms of etching rate than the protective layer 208 and the etch-stop layer 206. Optionally, a hard mask layer 214 can be formed over the second dielectric layer 212 from a high-K inorganic dielectric maternal such as oxide, silicon-oxy-nitride, or silicon nitride. A second photoresist layer 216 is then formed over the hard mask layer 214. The hard mask layer 214 can help prevent the second photoresist layer 216 from being damaged in the subsequent etching process due to low etching rates on the second dielectric layer 212, the first dielectric layer 204, and the second photoresist layer 216, which are made from organic dielectric materials. The second photoresist layer 216 is selectively removed to form a first opening 218 and a second opening 220. The first opening 218 is located directly above the previously formed contact hole 209 (see FIG. 2B) in the protective layer 208 and etch-stop layer 206 and formed with a greater width than the contact hole 209.
  • Referring to FIG. 2D, in the subsequent step, with the [0028] second photoresist layer 216 serving as mask, a second etching process is performed on the wafer so as to etch away the unmasked portions of the hard mask layer 214, the second dielectric layer 212, and the protective layer 208 until reaching the etch-stop layer 206 and exposing the top surface of the first dielectric layer 204. Through this process, a first contact hole 218 a and a second contact hole 220 a are formed in such a manner that the first contact hole 218 a is located directly above the base metal interconnect structure 202 and exposes the first dielectric layer 204, while the second contact hole 220 a is still isolated from the first dielectric layer 204 by the etch-stop layer 206.
  • Referring further to FIG. 2E, in the subsequent step, a third etching process is performed on the exposed portion of the [0029] first dielectric layer 204 until the top surface of the base metal interconnect structure 202 is exposed. This forms a bottom contact hole 222 in the first dielectric layer 204, which is connected to the first contact hole 218 a in the second dielectric layer 212. Then, a conductive layer 224, 226 is formed to fill the bottom contact hole 222, the first contact hole 218 a, and the second contact hole 220 a. The conductive layer 224 is formed as a plug penetrating through the second dielectric layer 212, the etch-stop layer 206 and the first dielectric layer 204 to come into electrical contact with the base metal interconnect structure 202. The conductive layer 226 is formed as a plug penetrating through the second dielectric layer 212.
  • It is a characteristic feature of the invention that the etch-[0030] stop layer 206 is formed from a low-K dielectric material instead of a high-K one as in the prior art. In addition to the preferred embodiment described above, various other low-K dielectric materials can be used to form the dielectric layers 204, 212 and the etch-stop layer 206. For example, the dielectric layers 204, 212 can be alternatively formed from low-K inorganic dielectric materials, such as silicon dioxide, fluorosilicate glass (FSG), fluorosilicate oxide, or hydrogen silsesquioxane (HSQ); while the etch-stop layer 206 can be formed from a low-K organic dielectric material, such as Flare, SILK, or Parylene.
  • In conclusion, the invention provides an improved dual damascene structure in which low-K dielectric materials are used to form both the dielectric layers and the etch-stop layer in the dual damascene structure. This feature allows a significantly reduced or nearly no parasite capacitance to occur in the dual damascene structure as compared to the prior art. The RC delay caused by the parasite capacitance can therefore be reduced to a lesser degree as compared to the prior art, thus assuring the performance of the IC device. [0031]
  • The invention has been described using exemplary preferred embodiments. However, it is to be understood that the scope of the invention is not limited to the disclosed embodiments. On the contrary, it is intended to cover various modifications and similar arrangements. The scope of the claims, therefore, should be accorded the broadest interpretation so as to encompass all such modifications and similar arrangements. [0032]

Claims (28)

What is claimed is:
1. A dual damascene structure for electrically interconnection to a base metal interconnect structure formed in a semiconductor substrate, which comprises:
a first dielectric layer formed from a first low-K organic dielectric material over the substrate to cover the exposed surface of the base metal interconnect structure;
an etch-stop layer formed from a low-K inorganic dielectric material over the first dielectric layer;
a second dielectric layer formed from a second low-K organic dielectric material over the etch-stop layer; and
a pair of metal plugs including a first metal plug and a second metal plug, the first metal plug penetrating successively through the second dielectric layer, the etch-stop layer, and the first dielectric layer to come into electrical contact with the base metal interconnect structure in the substrate, and the second metal plug penetrating through the second dielectric layer to come into contact with the etch-stop layer.
2. The dual damascene structure of claim 1, wherein the first and second organic dielectric materials used to form the first and second dielectric layers are each Flare.
3. The dual damascene structure of claim 1, wherein the first and second organic dielectric materials used to form the first and second dielectric layers are each SILK.
4. The dual damascene structure of claim 1, wherein the first and second organic dielectric materials used to form the first and second dielectric layers are each Parylene.
5. The dual damascene structure of claim 1, wherein the first and second organic dielectric materials used to form the first and second dielectric layers are each BCB.
6. The dual damascene structure of claim 1, herein the inorganic dielectric material used to form the etch-stop layer is FSG.
7. The dual damascene structure of claim 1, wherein the inorganic dielectric material used to form the etch-stop layer is fluorosilicate oxide.
8. The dual damascene structure of claim 1, wherein the inorganic dielectric material used to form the etch-stop layer is HSQ.
9. The dual damascene structure of claim 1, further comprising:
a protective layer formed between the etch-stop layer and the second dielectric layer.
10. The dual damascene structure of claim 9, wherein the protective layer is formed from silicon oxide.
11. The dual damascene structure of claim 9, wherein the protective layer is formed from silicon-oxy-nitride.
12. The dual damascene structure of claim 9, wherein the protective layer is formed from silicon nitride.
13. The dual damascene structure of claim 1, further comprising:
a hard mask layer formed over the second dielectric layer.
14. The dual damascene structure of claim 13, wherein the hard mask layer is formed from silicon oxide.
15. The dual damascene structure of claim 13, wherein the hard mask layer is formed from silicon-oxy-nitride.
16. The dual damascene structure of claim 13, wherein the hard mask layer is formed from silicon nitride.
17. A dual damascene structure for electrically interconnection to a base metal interconnect structure formed in a semiconductor substrate, which comprises:
a first dielectric layer formed from a first low-K inorganic dielectric material over the substrate to cover the exposed surface of the base metal interconnect structure:
an etch-stop layer formed from a low-K organic dielectric material over the first dielectric layer;
a second dielectric layer formed from a second low-K inorganic dielectric material over the etch-stop layer; and
a pair of metal plugs including a first metal plug and a second metal plug, the first metal plug penetrating successively through the second dielectric layer, the etch-stop layer, and the first dielectric layer to come into electrical contact with the base metal interconnect structure in the substrate, and the second metal plug penetrating through the second dielectric layer to come into contact with the etch-stop layer.
18. The dual damascene structure of claim 17, wherein the organic dielectric material used to form the etch-stop layer is Flare.
19. The dual damascene structure of claim 17, wherein the organic dielectric material used to form the etch-stop layer is SILK.
20. The dual damascene structure of claim 17, wherein the organic dielectric material used to form the etch-stop layer is Parylene.
21. The dual damascene structure of claim 17, wherein the organic dielectric material used to form the etch-stop layer is BCB.
22. The dual damascene structure of claim 17, wherein the first and second inorganic dielectric materials used to form the first and second dielectric layers are each FSG.
23. The dual damascene structure of claim 17, wherein the first and second inorganic dielectric materials used to form the first and second dielectric layers are each fluorosilicate oxide.
24. The dual damascene structure of claim 17, wherein the first and second inorganic dielectric materials used to form the first and second dielectric layers are each HSQ.
25. The dual damascene structure of claim 17, further comprising:
a protective layer formed between the etch-stop layer and the second dielectric layer.
26. The dual damascene structure of claim 25, wherein the protective layer is formed from silicon oxide.
27. The dual damascene structure of claim 25, wherein the protective layer is formed from silicon-oxy-nitride.
28. The dual damascene structure of claim 25, wherein the protective layer is formed from silicon nitride.
US09/849,391 1998-07-07 2001-05-04 Dual damascene structure for the wiring-line structures of multi-level interconnects in integrated circuit Abandoned US20030189254A1 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
US09/849,391 US20030189254A1 (en) 1998-12-01 2001-05-04 Dual damascene structure for the wiring-line structures of multi-level interconnects in integrated circuit
US10/630,643 US20040084780A1 (en) 1998-07-07 2003-07-29 Dual damascene structure for the wiring-line structures of multi-level interconnects in integrated circuit
US11/196,038 US7378740B2 (en) 1998-12-01 2005-08-02 Dual damascene structure for the wiring-line structures of multi-level interconnects in integrated circuit

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/203,035 US6265780B1 (en) 1998-12-01 1998-12-01 Dual damascene structure for the wiring-line structures of multi-level interconnects in integrated circuit
US09/849,391 US20030189254A1 (en) 1998-12-01 2001-05-04 Dual damascene structure for the wiring-line structures of multi-level interconnects in integrated circuit

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US09/203,035 Continuation US6265780B1 (en) 1998-07-07 1998-12-01 Dual damascene structure for the wiring-line structures of multi-level interconnects in integrated circuit

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US10/630,643 Continuation-In-Part US20040084780A1 (en) 1998-07-07 2003-07-29 Dual damascene structure for the wiring-line structures of multi-level interconnects in integrated circuit

Publications (1)

Publication Number Publication Date
US20030189254A1 true US20030189254A1 (en) 2003-10-09

Family

ID=22752195

Family Applications (3)

Application Number Title Priority Date Filing Date
US09/203,035 Expired - Lifetime US6265780B1 (en) 1998-07-07 1998-12-01 Dual damascene structure for the wiring-line structures of multi-level interconnects in integrated circuit
US09/808,522 Abandoned US20020130417A1 (en) 1998-12-01 2001-03-14 Dual damascene structure for the wiring-line structures of multi-level interconnects in integrated circuit
US09/849,391 Abandoned US20030189254A1 (en) 1998-07-07 2001-05-04 Dual damascene structure for the wiring-line structures of multi-level interconnects in integrated circuit

Family Applications Before (2)

Application Number Title Priority Date Filing Date
US09/203,035 Expired - Lifetime US6265780B1 (en) 1998-07-07 1998-12-01 Dual damascene structure for the wiring-line structures of multi-level interconnects in integrated circuit
US09/808,522 Abandoned US20020130417A1 (en) 1998-12-01 2001-03-14 Dual damascene structure for the wiring-line structures of multi-level interconnects in integrated circuit

Country Status (1)

Country Link
US (3) US6265780B1 (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070170594A1 (en) * 2003-07-28 2007-07-26 Kabushiki Kaisha Toshiba Insulating tube, semiconductor device employing the tube, and method of manufacturing the same
US20090294980A1 (en) * 2008-06-03 2009-12-03 Nec Electronics Corporation Semiconductor device having wiring layer
US20100230815A1 (en) * 2005-12-06 2010-09-16 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device
US20200411435A1 (en) * 2019-06-28 2020-12-31 Intel Corporation Variable pitch and stack height for high performance interconnects

Families Citing this family (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3657788B2 (en) 1998-10-14 2005-06-08 富士通株式会社 Semiconductor device and manufacturing method thereof
US7378740B2 (en) * 1998-12-01 2008-05-27 United Microelectronics Corp. Dual damascene structure for the wiring-line structures of multi-level interconnects in integrated circuit
US6770975B2 (en) * 1999-06-09 2004-08-03 Alliedsignal Inc. Integrated circuits with multiple low dielectric-constant inter-metal dielectrics
US6221780B1 (en) * 1999-09-29 2001-04-24 International Business Machines Corporation Dual damascene flowable oxide insulation structure and metallic barrier
JP2001223269A (en) * 2000-02-10 2001-08-17 Nec Corp Semiconductor device and manufacturing method therefor
KR100350111B1 (en) * 2000-02-22 2002-08-23 삼성전자 주식회사 Wiring of Semiconductor Device and Method for Manufacturing Thereof
US6596623B1 (en) * 2000-03-17 2003-07-22 Advanced Micro Devices, Inc. Use of organic spin on materials as a stop-layer for local interconnect, contact and via layers
JP4368498B2 (en) * 2000-05-16 2009-11-18 Necエレクトロニクス株式会社 Semiconductor device, semiconductor wafer and manufacturing method thereof
US6528180B1 (en) * 2000-05-23 2003-03-04 Applied Materials, Inc. Liner materials
JP2002009152A (en) * 2000-06-21 2002-01-11 Nec Corp Semiconductor device and its manufacturing method
KR100366625B1 (en) * 2000-07-25 2003-01-09 삼성전자 주식회사 Semiconductor device having dual damascen pattern structure and fabricating method thereof
US7115531B2 (en) * 2000-08-21 2006-10-03 Dow Global Technologies Inc. Organosilicate resins as hardmasks for organic polymer dielectrics in fabrication of microelectronic devices
JP2002252222A (en) * 2001-02-22 2002-09-06 Nec Corp Method for manufacturing semiconductor device, and the semiconductor device
US6710450B2 (en) * 2001-02-28 2004-03-23 International Business Machines Corporation Interconnect structure with precise conductor resistance and method to form same
US6518646B1 (en) * 2001-03-29 2003-02-11 Advanced Micro Devices, Inc. Semiconductor device with variable composition low-k inter-layer dielectric and method of making
US6524962B2 (en) * 2001-05-31 2003-02-25 United Microelectronics Corp. Method for forming dual-damascene interconnect structure
US6605545B2 (en) * 2001-06-01 2003-08-12 United Microelectronics Corp. Method for forming hybrid low-K film stack to avoid thermal stress effect
JP3780189B2 (en) * 2001-09-25 2006-05-31 富士通株式会社 Semiconductor device manufacturing method and semiconductor device
US6917108B2 (en) * 2002-11-14 2005-07-12 International Business Machines Corporation Reliable low-k interconnect structure with hybrid dielectric
US7081673B2 (en) * 2003-04-17 2006-07-25 International Business Machines Corporation Multilayered cap barrier in microelectronic interconnect structures
US7071539B2 (en) * 2003-07-28 2006-07-04 International Business Machines Corporation Chemical planarization performance for copper/low-k interconnect structures
US7279379B2 (en) * 2004-04-26 2007-10-09 Micron Technology, Inc. Methods of forming memory arrays; and methods of forming contacts to bitlines
US7307346B2 (en) * 2004-05-18 2007-12-11 Infineon Technologies Ag Final passivation scheme for integrated circuits
US7078814B2 (en) * 2004-05-25 2006-07-18 International Business Machines Corporation Method of forming a semiconductor device having air gaps and the structure so formed
JP4410075B2 (en) * 2004-09-28 2010-02-03 株式会社東芝 Semiconductor device and manufacturing method thereof
US7250364B2 (en) * 2004-11-22 2007-07-31 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices with composite etch stop layers and methods of fabrication thereof
US7875547B2 (en) * 2005-01-12 2011-01-25 Taiwan Semiconductor Manufacturing Co., Ltd. Contact hole structures and contact structures and fabrication methods thereof
KR100937818B1 (en) * 2007-08-20 2010-01-20 주식회사 하이닉스반도체 Flash memory device and manufacturing method thereof
US8227339B2 (en) * 2009-11-02 2012-07-24 International Business Machines Corporation Creation of vias and trenches with different depths
US20140327139A1 (en) * 2013-05-02 2014-11-06 Globalfoundries Inc. Contact liner and methods of fabrication thereof
US20140342553A1 (en) * 2013-05-14 2014-11-20 United Microelectronics Corp. Method for Forming Semiconductor Structure Having Opening
US9385000B2 (en) * 2014-01-24 2016-07-05 United Microelectronics Corp. Method of performing etching process

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3838442A (en) * 1970-04-15 1974-09-24 Ibm Semiconductor structure having metallization inlaid in insulating layers and method for making same
US5091289A (en) * 1990-04-30 1992-02-25 International Business Machines Corporation Process for forming multi-level coplanar conductor/insulator films employing photosensitive polyimide polymer compositions
US5679267A (en) * 1994-04-04 1997-10-21 Texas Instruments Incorporated Dual etching of ceramic materials with an elevated thin film
US5760480A (en) * 1995-09-20 1998-06-02 Advanced Micro Devics, Inc. Low RC interconnection
JP3997494B2 (en) * 1996-09-17 2007-10-24 ソニー株式会社 Semiconductor device

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070170594A1 (en) * 2003-07-28 2007-07-26 Kabushiki Kaisha Toshiba Insulating tube, semiconductor device employing the tube, and method of manufacturing the same
US7345352B2 (en) * 2003-07-28 2008-03-18 Kabushiki Kaisha Toshiba Insulating tube, semiconductor device employing the tube, and method of manufacturing the same
US20100230815A1 (en) * 2005-12-06 2010-09-16 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device
US20090294980A1 (en) * 2008-06-03 2009-12-03 Nec Electronics Corporation Semiconductor device having wiring layer
US8299621B2 (en) * 2008-06-03 2012-10-30 Renesas Electronics Corporation Semiconductor device having wiring layer with a wide wiring and fine wirings
US8426975B2 (en) 2008-06-03 2013-04-23 Renesas Electronics Corporation Semiconductor device having wiring layer with a wide wiring and fine wirings
US20200411435A1 (en) * 2019-06-28 2020-12-31 Intel Corporation Variable pitch and stack height for high performance interconnects
US11824002B2 (en) * 2019-06-28 2023-11-21 Intel Corporation Variable pitch and stack height for high performance interconnects

Also Published As

Publication number Publication date
US20020130417A1 (en) 2002-09-19
US6265780B1 (en) 2001-07-24

Similar Documents

Publication Publication Date Title
US6265780B1 (en) Dual damascene structure for the wiring-line structures of multi-level interconnects in integrated circuit
US6686247B1 (en) Self-aligned contacts to gates
US8951910B2 (en) Methods for fabricating and forming semiconductor device structures including damascene structures
US6268283B1 (en) Method for forming dual damascene structure
US6187661B1 (en) Method for fabricating metal interconnect structure
KR100860133B1 (en) Locally increasing sidewall density by ion implantation
US20010001742A1 (en) Method of fabricating a dual -damascene structure in an integrated cirtcuit with multilevel-interconnect strcture
US6066560A (en) Non-linear circuit elements on integrated circuits
KR100377370B1 (en) Semiconductor device with reduced number of intermediate level interconnection pattern and method of forming the same
US7378740B2 (en) Dual damascene structure for the wiring-line structures of multi-level interconnects in integrated circuit
US7960839B2 (en) Semiconductor interconnection line and method of forming the same
US6638849B2 (en) Method for manufacturing semiconductor devices having copper interconnect and low-K dielectric layer
US20040084780A1 (en) Dual damascene structure for the wiring-line structures of multi-level interconnects in integrated circuit
US6383919B1 (en) Method of making a dual damascene structure without middle stop layer
US6514844B1 (en) Sidewall treatment for low dielectric constant (low K) materials by ion implantation
KR20020025237A (en) Method for producing an integrated circuit having at least one metallized surface
US20020160604A1 (en) Double-layered low dielectric constant dielectric dual damascene method
US20210327808A1 (en) Semiconductor device extension insulation
US20020106885A1 (en) Method of fabricating a slot dual damascene structure without middle stop layer
US6465343B1 (en) Method for forming backend interconnect with copper etching and ultra low-k dielectric materials
KR20060127648A (en) Methord for manufacturing dual damascene pattern
KR19990081582A (en) Double damascene technology

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION

AS Assignment

Owner name: HANGER SOLUTIONS, LLC, GEORGIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:INTELLECTUAL VENTURES ASSETS 158 LLC;REEL/FRAME:051486/0425

Effective date: 20191206

AS Assignment

Owner name: INTELLECTUAL VENTURES ASSETS 158 LLC, DELAWARE

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:INTELLECTUAL VENTURES HOLDING 81 LLC;REEL/FRAME:051777/0017

Effective date: 20191126