US20020119649A1 - Method of fabricating bit line and bit line contact plug of a memory cell - Google Patents

Method of fabricating bit line and bit line contact plug of a memory cell Download PDF

Info

Publication number
US20020119649A1
US20020119649A1 US09/939,110 US93911001A US2002119649A1 US 20020119649 A1 US20020119649 A1 US 20020119649A1 US 93911001 A US93911001 A US 93911001A US 2002119649 A1 US2002119649 A1 US 2002119649A1
Authority
US
United States
Prior art keywords
bit line
masking layer
layer
line contact
trench
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US09/939,110
Other versions
US6680254B2 (en
Inventor
Yu-Chi Sun
Tse-Yao Huang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Nanya Technology Corp
Original Assignee
Nanya Technology Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Nanya Technology Corp filed Critical Nanya Technology Corp
Assigned to NANYA TECHNOLOGY CORPORATION reassignment NANYA TECHNOLOGY CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: HUANG, TSE-YAO, SUN, YU-CHI
Publication of US20020119649A1 publication Critical patent/US20020119649A1/en
Application granted granted Critical
Publication of US6680254B2 publication Critical patent/US6680254B2/en
Adjusted expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/48Data lines or contacts therefor
    • H10B12/482Bit lines
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/48Data lines or contacts therefor
    • H10B12/485Bit line contacts

Definitions

  • the present invention relates to a method of fabricating a bit line and contact plug for a dynamic random access memory (DRAM) cell, and more particularly to the fabrication of a bit line and a bit line contact plug for the DRAM cell.
  • DRAM dynamic random access memory
  • a DRAM cell comprises a metal-oxide-semiconductor field effect transistor (MOSFET) and a capacitor that are built in a semiconductor silicon substrate. There is an electrical contact between the drain of a MOSFET and the bottom storage electrodes of the adjacent capacitor, forming a memory cell of the DRAM device. A large number of memory cells make up the cell arrays, which combine with the peripheral circuit to produce DRAMs.
  • MOSFET metal-oxide-semiconductor field effect transistor
  • bit line contact plug connects bit line and drain region, and the bit line is on the top of the bit line contact plug.
  • formation of the bit line contact plug usually takes place before that of the bit line.
  • bit lines 160 , word lines 102 , active areas 104 , source/drain region 112 , and bit line contact plug 142 are shown on the layout of the memory cell array of a DRAM cell.
  • FIG. 1B is a sectional view along the A-A line of FIG. 1A.
  • a semiconductor substrate 100 is provided, using a LOCOS Oxidation process to form a field insulating layer (not shown) on the substrate 100 .
  • the field insulating layer isolates each Active Area.
  • ordinary semiconductor processes such as deposition, photolithography and ion implantation are used to form the transistor (not shown).
  • the transistor is composed of a gate (not shown) and diffusion areas, such as the source/drain region 112 .
  • a first insulating layer 120 which is made of borophosphosilicate glass (identified as BPSG hereafter), is formed to isolate the gate.
  • BPSG borophosphosilicate glass
  • a photoresist layer 130 is formed on the first insulating layer 120 .
  • the first insulating layer 120 is defined to form a bit line contact window 140 , which exposes the surface of the source/drain region 112 .
  • a first conductive layer (not shown) is deposited to fill up the bit line contact window 140 . Then, a bit line contact plug 142 is formed, which electrically connects the source/drain region 112 .
  • the top portion of the bit line contact plug 142 is defined to form a trench 150 .
  • a bit line 160 is formed by depositing a second conductive layer (not shown) to fill up the trench 150 .
  • the bit line 160 electrically connects the source/drain region 112 through the bit line contact plug 142 . Manufacture of the bit line and the bit line contact plug of a memory cell is then completed.
  • the line width of a memory cell has been reduced to less than 0.08 micrometer.
  • the narrowed line width increases electrical resistance, and thus, increases the loss of current and heat generated by the device, and decreases the efficiency of the device. Accordingly, to reduce the electrical resistance, the increased line width of a device is necessary. And hence, in order to reduce the electrical resistance between the bit line contact plug and the source/drain region or the bit line and the bit line contact, enlarging the diameter of the bit line contact plug is necessary. Note the processes of a 0.14-micrometer device as an example. The distance between two neighboring bit lines is also about 0.14 micrometer.
  • the ideal diameter of the bit line contact plug is about 0.17 to 0.18 micrometer.
  • the distance between two neighboring bit lines is about 0.11 micrometer.
  • the ideal diameter of the bit line contact plug is about 0.13 to 0.14 micrometer.
  • the distance between two bit lines cannot be less than 0.11 micrometer.
  • the diameter of the bit line contact plug is usually larger than the distance between two neighboring bit lines. If misalignment occurs during the formation of the bit lines, two neighboring bit lines may possibly encroach on two neighboring bit line contact plugs.
  • FIG. 1G shows two neighboring bit line encroaching on two neighboring bit line contact plugs because of misalignment in photolithography. The juxtaposition can cause a short circuit, resulting in failure of the operation of a DRAM device.
  • an object of the present invention is to provide a method for fabricating the bit line and the bit line contact plug of a semiconductor memory device, especially to avoid a bit line encroaching on two neighboring bit line contact plugs, which can cause short circuit or failure of the DRAM device.
  • a method of fabricating a bit lines and a bit line contact plug according to an embodiment of the present invention is disclosed.
  • a semiconductor substrate having a transistor, comprising at least one diffused region formed thereon and overlaid by a first insulating layer is provided.
  • a first masking layer is formed on the first insulating layer.
  • the first masking layer and the first insulating layer are defined to form a first trench, which is above the diffusion region.
  • a second masking layer is formed to fill up the first trench.
  • a hole is formed by removing a portion of the second masking layer, which is above the diffusion region.
  • a bit line contact window is formed by removing a portion of the first insulating layer right beneath the hole until the surface of the diffusion region is exposed.
  • a bit line contact plug is formed by forming a first conductive layer to fill the bit line contact window.
  • the residual second masking layer is removed to form a second trench. Thereafter, the first masking layer is removed.
  • a bit line is formed by forming a second conductive layer to fill the second trench, Thus, the fabrication of the bit line and the bit line contact plug of a memory cell is complete.
  • FIG. 1A shows a layout of memory cell array of DRAM cells.
  • FIGS. 1B through 1F show schematic cross-sectional views of a partially fabricated integrated circuit structure at successive stages in the formation of the bit line and the bit line contact plug of a DRAM cell of the prior art
  • FIG. 1G shows schematic cross-sectional views of two neighboring bit lines encroaching on two neighboring bit line contact plugs because of misalignment in photolithography
  • FIGS. 2A through 2F show schematic cross-sectional views of a partially fabricated integrated circuit structure at successive stages in the formation of the bit line and the bit line contact plug of a DRAM cell according to an embodiment of the present invention.
  • the invention disclosed herein is directed to a method of fabricating a bit line and a bit line contact plug of DRAMs.
  • numerous details are set forth in order to provide a thorough understanding of the present invention. It will be appreciated by ones skilled in the art that variations of these specific details are possible while still achieving the results of the present invention. Well-known processing steps are not described in detail in order to not obscure the present invention.
  • the semiconductor substrate 200 is composed of silicon or germanium.
  • the substrate 200 can be made by Epitaxial silicon or silicon on insulator (SOI).
  • SOI silicon on insulator
  • a field insulating layer (not shown) is formed by shallow trench isolation and oxidation. The field insulating layer isolates the active area (not shown).
  • ordinary semiconductor process such as deposition, photolithography or ion implantation is used to form the transistor (not shown).
  • the transistor is composed of a gate (not shown) and diffusion areas, such as the source/drain region 212 .
  • a first insulating layer 220 which has a thickness of about 6000 to 10000 angstroms, can be made of BPSG, non-doped silicate glass, high density plasma oxide, or TEOS.
  • the first insulating layer 220 can be formed by CVD, APCVD, SAPCVD, LPCVD, PECVD, and high density plasma CVD. Thereafter, the first insulating layer 220 is planarized by thermal reflow, etchback or chemical mechanical polishing (CMP) techniques.
  • a first masking layer 226 which can be made of nitride or polycrystalline silicon, is formed on the first insulating layer 220 .
  • the first masking layer 226 has a thickness of about 1500 to 3000 angstroms.
  • the first masking layer 226 is defined.
  • the first insulating layer 220 is defined using the first masking layer 226 as a mask.
  • a portion of the first masking layer 226 and the first insulating layer 220 are removed by anisotropic etching to form a trench 240 , which is above the source/ drain region 212 .
  • the trench 240 has a depth of about 1500 to 3000 angstroms.
  • a second masking layer 242 which is made of nitride or polycrystalline silicon, is formed on the first masking layer 226 and fills the trench 240 .
  • the second masking layer 242 can be formed by LPCVD and has a thickness of about 2000 to 4000 angstroms.
  • the materials of the second masking layer 242 and the first masking layer 226 have to be different for the present invention. If the first masking layer 226 is made of nitride, the second masking layer 242 has to be made of polycrystalline silicon. On the other hand, if the first masking layer 226 is made of polycrystalline silicon, the second masking layer 242 has to be made of nitride.
  • the second masking layer 242 on the first masking layer 226 is removed but the portion in the trench 240 remains.
  • the first masking layer 226 is used as the stop layer.
  • the remaining second masking layer 242 takes as the residual second masking layer 242 ′ hereinafter.
  • a portion of the residual second masking layer 242 ′ above the source/drain region 212 is removed by photolithography and etching to form a hole 241 . Then, using the first masking layer 226 and the residual second masking layer 242 ′ as a mask, an etching is performed to remove a portion of the first insulating layer 220 right beneath the hole 241 until the surface of the source/drain region 212 is exposed. Then, a bit line contact window 260 is formed.
  • the etching is usually an anisotropic etching, using carbon fluoride and its relative compound gases, such as C 2 F 4 , C 4 F 6 , C 4 F 8 , and C 5 F 8 as etchant, the etching rate of nitride or polycrystalline silicon is much lower than that of oxide. In this situation, the etching selectivity of nitride or polycrystalline silicon to oxide is 1:10.
  • the carbon fluoride and its relative compound gases are bombarded into a free radical state, such as CF and CF 2 , by plasma during anisotropic etching. These radicals easily react with oxygen molecules contained in the BPSG, which is the first insulating layer 220 , to form CO 2 gas.
  • the CO 2 gas then dissipates into the air.
  • the carbon fluoride and its relative compound gases radicals react very little with silicon molecules contained in the first masking layer 226 or second masking layer 242 .
  • the flow rate of the carbon fluoride compounds is about 5 to 50 sccm (standard cc per minute); the reaction pressure is about 30 to 80 m Torr; and the reaction time is about 1 to 10 minutes.
  • a first conductive layer (not shown) is formed on the first masking layer 226 and fills up the bit line contact window 260 .
  • the first conductive layer which can be made of polycrystalline silicon, tungsten, tungsten silicide or other metal silicide formed by LPCVD, has a thickness of about 2000 to 4000 angstroms.
  • the first conductive layer on the first masking layer 226 is then removed.
  • the first conductive layer remaining in the bit line contact window 260 forms the bit line contact plug 265 .
  • isotropic etching is performed to remove the residual second masking layer 242 ′ and then the trench 240 is recovered. Since the material of the first masking layer 226 is different from that of the residual second masking layer 242 ′, after the residual second masking layer is removed, the first masking layer 226 remains.
  • the first masking layer 226 is removed by isotropic etching.
  • a second conductive layer (not shown) is formed on the first insulating layer 220 and fills up the trench 240 .
  • the second conductive layer which can be made of polycrystalline silicon, tungsten, tungsten silicide or other metal silicide formed by LPCVD, has a thickness of about 2000 to 4000 angstroms.
  • the second conductive layer on the first insulating layer 220 is then removed.
  • the second conductive layer remaining in the trench 262 forms the bit line 270 .
  • the fabrication of a bit line 270 and bit line contact plug 265 of a memory cell is then completed.

Abstract

A method of fabricating a bit line contact plug of a memory cell is disclosed. First, a semiconductor substrate having a transistor, comprising at least one diffused region is provided. A first insulating layer is formed on the substrate. A first masking layer is formed on the first insulating layer. The first masking layer and the first insulating layer are defined to form a first trench, which is above the diffusion region. A second masking layer is formed to fill the first trench. the materials of the first masking and the second masking are different. A hole is formed by removing the portion of the second masking layer, which is above the diffusion region. By using the first masking layer and the residual second masking layer as the mask, a bit line contact window is formed by removing a portion of the first insulating layer right beneath the hole until the surface of the diffusion region is exposed. A bit line contact plug is formed by forming a first conductive layer to fill the bit line contact window. The residual second masking layer is removed to form a second trench. Thereafter, the first masking layer is removed. A bit line is formed by forming a second conductive layer to fill the second trench, Thus, the fabrication of the bit line and the bit line contact plug of a memory cell is complete.

Description

    BACKGROUND OF THE INVENTION
  • 1. Field of the Invention [0001]
  • The present invention relates to a method of fabricating a bit line and contact plug for a dynamic random access memory (DRAM) cell, and more particularly to the fabrication of a bit line and a bit line contact plug for the DRAM cell. [0002]
  • 2. Description of the Prior Art [0003]
  • A DRAM cell comprises a metal-oxide-semiconductor field effect transistor (MOSFET) and a capacitor that are built in a semiconductor silicon substrate. There is an electrical contact between the drain of a MOSFET and the bottom storage electrodes of the adjacent capacitor, forming a memory cell of the DRAM device. A large number of memory cells make up the cell arrays, which combine with the peripheral circuit to produce DRAMs. [0004]
  • In recent years, the dimensions of the MOSFETs have continuously shrunk so that the packing densities of these DRAM devices have increased considerably; thus, the dimensions of the MOSFETs and capacitors have become smaller; the line width of word lines, bit lines, and metal lines have become narrower; the distance between two bit lines, word lines or metal lines have also become closer. Since bit line contact plug connects bit line and drain region, and the bit line is on the top of the bit line contact plug. In conventional processes, formation of the bit line contact plug usually takes place before that of the bit line. The conventional processes for fabrication of the bit line and the bit line contract plug follow. [0005]
  • Referring to FIG. 1A, in this figure, [0006] bit lines 160, word lines 102, active areas 104, source/drain region 112, and bit line contact plug 142 are shown on the layout of the memory cell array of a DRAM cell.
  • FIG. 1B is a sectional view along the A-A line of FIG. 1A. In conventional processes, a [0007] semiconductor substrate 100 is provided, using a LOCOS Oxidation process to form a field insulating layer (not shown) on the substrate 100. The field insulating layer isolates each Active Area. Thereafter, ordinary semiconductor processes, such as deposition, photolithography and ion implantation are used to form the transistor (not shown). The transistor is composed of a gate (not shown) and diffusion areas, such as the source/drain region 112. Then, a first insulating layer 120, which is made of borophosphosilicate glass (identified as BPSG hereafter), is formed to isolate the gate.
  • Referring to FIG. 1C, a [0008] photoresist layer 130 is formed on the first insulating layer 120. After the photoresist layer 130 is defined, the first insulating layer 120 is defined to form a bit line contact window 140, which exposes the surface of the source/drain region 112.
  • Referring to FIG. 1D, a first conductive layer (not shown) is deposited to fill up the bit [0009] line contact window 140. Then, a bit line contact plug 142 is formed, which electrically connects the source/drain region 112.
  • Referring to FIG. 1E, by photolithography and etching, the top portion of the bit [0010] line contact plug 142 is defined to form a trench 150.
  • Referring to FIG. 1F, a [0011] bit line 160 is formed by depositing a second conductive layer (not shown) to fill up the trench 150. The bit line 160 electrically connects the source/drain region 112 through the bit line contact plug 142. Manufacture of the bit line and the bit line contact plug of a memory cell is then completed.
  • Since memory devices have become highly integrated, the line width of a memory cell has been reduced to less than 0.08 micrometer. For conductive structures, however, the narrowed line width increases electrical resistance, and thus, increases the loss of current and heat generated by the device, and decreases the efficiency of the device. Accordingly, to reduce the electrical resistance, the increased line width of a device is necessary. And hence, in order to reduce the electrical resistance between the bit line contact plug and the source/drain region or the bit line and the bit line contact, enlarging the diameter of the bit line contact plug is necessary. Note the processes of a 0.14-micrometer device as an example. The distance between two neighboring bit lines is also about 0.14 micrometer. In order to reduce the electrical resistance, the ideal diameter of the bit line contact plug is about 0.17 to 0.18 micrometer. Using the processes of a 0.11 micrometer device as another example, the distance between two neighboring bit lines is about 0.11 micrometer. The ideal diameter of the bit line contact plug is about 0.13 to 0.14 micrometer. The distance between two bit lines cannot be less than 0.11 micrometer. The diameter of the bit line contact plug is usually larger than the distance between two neighboring bit lines. If misalignment occurs during the formation of the bit lines, two neighboring bit lines may possibly encroach on two neighboring bit line contact plugs. FIG. 1G shows two neighboring bit line encroaching on two neighboring bit line contact plugs because of misalignment in photolithography. The juxtaposition can cause a short circuit, resulting in failure of the operation of a DRAM device. [0012]
  • SUMMARY OF THE INVENTION
  • Accordingly, an object of the present invention is to provide a method for fabricating the bit line and the bit line contact plug of a semiconductor memory device, especially to avoid a bit line encroaching on two neighboring bit line contact plugs, which can cause short circuit or failure of the DRAM device. [0013]
  • In order to achieve the above object, a method of fabricating a bit lines and a bit line contact plug according to an embodiment of the present invention is disclosed. A semiconductor substrate having a transistor, comprising at least one diffused region formed thereon and overlaid by a first insulating layer is provided. A first masking layer is formed on the first insulating layer. The first masking layer and the first insulating layer are defined to form a first trench, which is above the diffusion region. A second masking layer is formed to fill up the first trench. A hole is formed by removing a portion of the second masking layer, which is above the diffusion region. A bit line contact window is formed by removing a portion of the first insulating layer right beneath the hole until the surface of the diffusion region is exposed. A bit line contact plug is formed by forming a first conductive layer to fill the bit line contact window. The residual second masking layer is removed to form a second trench. Thereafter, the first masking layer is removed. A bit line is formed by forming a second conductive layer to fill the second trench, Thus, the fabrication of the bit line and the bit line contact plug of a memory cell is complete.[0014]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The accompanying drawings form a material part of this description, in which: [0015]
  • FIG. 1A shows a layout of memory cell array of DRAM cells. [0016]
  • FIGS. 1B through 1F show schematic cross-sectional views of a partially fabricated integrated circuit structure at successive stages in the formation of the bit line and the bit line contact plug of a DRAM cell of the prior art; [0017]
  • FIG. 1G shows schematic cross-sectional views of two neighboring bit lines encroaching on two neighboring bit line contact plugs because of misalignment in photolithography; and [0018]
  • FIGS. 2A through 2F show schematic cross-sectional views of a partially fabricated integrated circuit structure at successive stages in the formation of the bit line and the bit line contact plug of a DRAM cell according to an embodiment of the present invention.[0019]
  • DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENT
  • The invention disclosed herein is directed to a method of fabricating a bit line and a bit line contact plug of DRAMs. In the following description, numerous details are set forth in order to provide a thorough understanding of the present invention. It will be appreciated by ones skilled in the art that variations of these specific details are possible while still achieving the results of the present invention. Well-known processing steps are not described in detail in order to not obscure the present invention. [0020]
  • Referring now to FIG. 2A, a [0021] semiconductor substrate 200 is provided. The semiconductor substrate 200 is composed of silicon or germanium. The substrate 200 can be made by Epitaxial silicon or silicon on insulator (SOI). For simplicity, a P-type semiconductor silicon substrate 200 is taken as an example in this invention. A field insulating layer (not shown) is formed by shallow trench isolation and oxidation. The field insulating layer isolates the active area (not shown). Then, ordinary semiconductor process, such as deposition, photolithography or ion implantation is used to form the transistor (not shown). The transistor is composed of a gate (not shown) and diffusion areas, such as the source/drain region 212. Next, a first insulating layer 220, which has a thickness of about 6000 to 10000 angstroms, can be made of BPSG, non-doped silicate glass, high density plasma oxide, or TEOS. The first insulating layer 220 can be formed by CVD, APCVD, SAPCVD, LPCVD, PECVD, and high density plasma CVD. Thereafter, the first insulating layer 220 is planarized by thermal reflow, etchback or chemical mechanical polishing (CMP) techniques. A first masking layer 226, which can be made of nitride or polycrystalline silicon, is formed on the first insulating layer 220. The first masking layer 226 has a thickness of about 1500 to 3000 angstroms.
  • Referring to FIG. 2B, the [0022] first masking layer 226 is defined. The first insulating layer 220 is defined using the first masking layer 226 as a mask. A portion of the first masking layer 226 and the first insulating layer 220 are removed by anisotropic etching to form a trench 240, which is above the source/ drain region 212. The trench 240 has a depth of about 1500 to 3000 angstroms.
  • Referring to FIG. 2C, a [0023] second masking layer 242, which is made of nitride or polycrystalline silicon, is formed on the first masking layer 226 and fills the trench 240. The second masking layer 242 can be formed by LPCVD and has a thickness of about 2000 to 4000 angstroms. The materials of the second masking layer 242 and the first masking layer 226 have to be different for the present invention. If the first masking layer 226 is made of nitride, the second masking layer 242 has to be made of polycrystalline silicon. On the other hand, if the first masking layer 226 is made of polycrystalline silicon, the second masking layer 242 has to be made of nitride. Then, by etch back or planarization, the second masking layer 242 on the first masking layer 226 is removed but the portion in the trench 240 remains. In this step, the first masking layer 226 is used as the stop layer. The remaining second masking layer 242 takes as the residual second masking layer 242′ hereinafter.
  • Referring to FIG. 2D, a portion of the residual [0024] second masking layer 242′ above the source/drain region 212 is removed by photolithography and etching to form a hole 241. Then, using the first masking layer 226 and the residual second masking layer 242′ as a mask, an etching is performed to remove a portion of the first insulating layer 220 right beneath the hole 241 until the surface of the source/drain region 212 is exposed. Then, a bit line contact window 260 is formed. The etching is usually an anisotropic etching, using carbon fluoride and its relative compound gases, such as C2F4, C4F6, C4F8, and C5F8 as etchant, the etching rate of nitride or polycrystalline silicon is much lower than that of oxide. In this situation, the etching selectivity of nitride or polycrystalline silicon to oxide is 1:10. The carbon fluoride and its relative compound gases are bombarded into a free radical state, such as CF and CF2, by plasma during anisotropic etching. These radicals easily react with oxygen molecules contained in the BPSG, which is the first insulating layer 220, to form CO2 gas. The CO2 gas then dissipates into the air. The carbon fluoride and its relative compound gases radicals react very little with silicon molecules contained in the first masking layer 226 or second masking layer 242. The flow rate of the carbon fluoride compounds is about 5 to 50 sccm (standard cc per minute); the reaction pressure is about 30 to 80 m Torr; and the reaction time is about 1 to 10 minutes.
  • Referring to FIG. 2E, a first conductive layer (not shown) is formed on the [0025] first masking layer 226 and fills up the bit line contact window 260. The first conductive layer, which can be made of polycrystalline silicon, tungsten, tungsten silicide or other metal silicide formed by LPCVD, has a thickness of about 2000 to 4000 angstroms. The first conductive layer on the first masking layer 226 is then removed. The first conductive layer remaining in the bit line contact window 260 forms the bit line contact plug 265. Afterwards, isotropic etching is performed to remove the residual second masking layer 242′ and then the trench 240 is recovered. Since the material of the first masking layer 226 is different from that of the residual second masking layer 242′, after the residual second masking layer is removed, the first masking layer 226 remains.
  • Referring to FIG. 2F, the [0026] first masking layer 226 is removed by isotropic etching. A second conductive layer (not shown) is formed on the first insulating layer 220 and fills up the trench 240. The second conductive layer, which can be made of polycrystalline silicon, tungsten, tungsten silicide or other metal silicide formed by LPCVD, has a thickness of about 2000 to 4000 angstroms. The second conductive layer on the first insulating layer 220 is then removed. The second conductive layer remaining in the trench 262 forms the bit line 270. The fabrication of a bit line 270 and bit line contact plug 265 of a memory cell is then completed.
  • It is to be understood that although the present invention has been described with reference to a particular preferred embodiment, it should be appreciated that numerous modifications, variations and adaptations may be made without departing from the scope of the invention as defined in the claims. [0027]

Claims (16)

What is claimed is:
1. A method of fabricating bit line contact plug of a memory cell, comprising:
providing a semiconductor substrate having a transistor, comprising at least one diffused region, formed thereon and overlaid by a first insulating layer;
forming a first masking layer on said first insulating layer;
defining said first masking layer and said first insulating layer to form a first trench, which is above the diffusion region;
forming a second masking layer to fill said first trench;
forming a hole by removing the portion of said second masking layer which is above the diffusion region;
forming a bit line contact window by removing a portion of said first insulating layer right beneath said hole until the surface of said diffusion region is exposed;
forming a bit line contact plug by forming a first conductive layer to fill said bit line contact window;
removing said residual second masking layer to form a second trench;
removing said first masking layer; and
forming a bit line by forming a second conductive layer to fill up said second trench.
2. The method of claim 1, wherein the semiconductor substrate is made of silicon.
3. The method of claim 1, wherein said first insulating layer is composed of a material selected from the group consisting of BPSG, non-doped silicate glass, high density plasma oxide, or TEOS.
4. The method of claim 1, wherein said first insulating layer has a thickness of about 6000 to 10000 angstroms.
5. The method of claim 1, wherein said first masking layer is composed of a material selected from the group consisting of nitride and polycrystalline silicon.
6. The method of claim 1, wherein said first masking layer has a thickness of about 1500 to 3000 angstroms.
7. The method of claim 1, wherein said first trench has a depth of about 1500 to 3000 angstroms.
8. The method of claim 1, wherein said second masking layer is composed of a material selected from the group consisting of nitride and polycrystalline silicon.
9. The method of claim 1, wherein said second masking layer has a thickness of about 2000 to 4000 angstroms.
10. The method of claim 1, wherein the material of said first masking layer and said second masking layer are different.
11. The method of claim 1, wherein the formation of said bit line contact window is accomplished by anisotropic etching.
12. The method of claim 10, wherein said anisotropic etching is performed using an etchant composed of a material selected from the group consisting of carbon fluoride, C2F4, C4F6, C4F8, and C5F8.
13. The method of claim 1, wherein said first conductive layer is composed of a material selected from the group consisting of polycrystalline silicon, tungsten, tungsten silicide or other metal silicide.
14. The method of claim 1, wherein said first conductive layer has a thickness of about 2000 to 4000 angstroms.
15. The method of claim 1, wherein said second conductive layer is composed of a material selected from the group consisting of polycrystalline silicon, tungsten, tungsten silicide or other metal silicide.
16. The method of claim 1, wherein said second conductive layer has a thickness of about 2000 to 4000 angstroms.
US09/939,110 2001-02-27 2001-08-24 Method of fabricating bit line and bit line contact plug of a memory cell Expired - Lifetime US6680254B2 (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
TW90104624A 2001-02-27
TW90104624 2001-02-27
TW090104624A TW478133B (en) 2001-02-27 2001-02-27 Manufacturing method of the bit line contact plug of semiconductor memory cell

Publications (2)

Publication Number Publication Date
US20020119649A1 true US20020119649A1 (en) 2002-08-29
US6680254B2 US6680254B2 (en) 2004-01-20

Family

ID=21677494

Family Applications (1)

Application Number Title Priority Date Filing Date
US09/939,110 Expired - Lifetime US6680254B2 (en) 2001-02-27 2001-08-24 Method of fabricating bit line and bit line contact plug of a memory cell

Country Status (2)

Country Link
US (1) US6680254B2 (en)
TW (1) TW478133B (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10217707B2 (en) * 2016-09-16 2019-02-26 International Business Machines Corporation Trench contact resistance reduction
WO2022198950A1 (en) * 2021-03-22 2022-09-29 长鑫存储技术有限公司 Preparation method for semiconductor structure and semiconductor structure

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7056821B2 (en) * 2004-08-17 2006-06-06 Taiwan Semiconductor Manufacturing Co., Ltd. Method for manufacturing dual damascene structure with a trench formed first
US7151314B2 (en) * 2004-11-17 2006-12-19 Oki Electric Industry Co., Ltd. Semiconductor device with superimposed poly-silicon plugs
KR101110543B1 (en) * 2010-04-21 2012-02-09 주식회사 하이닉스반도체 High integrated semiconductor device
KR20140018515A (en) * 2012-08-02 2014-02-13 에스케이하이닉스 주식회사 Semiconductor device and method of manufacturing the same
CN108538839B (en) * 2017-03-01 2019-08-23 联华电子股份有限公司 Semiconductor structure, for semiconductor structure of memory component and preparation method thereof

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6300235B1 (en) * 1997-06-30 2001-10-09 Siemens Aktiengesellschaft Method of forming multi-level coplanar metal/insulator films using dual damascene with sacrificial flowable oxide
US6323118B1 (en) * 1998-07-13 2001-11-27 Taiwan Semiconductor For Manufacturing Company Borderless dual damascene contact
US6165898A (en) * 1998-10-23 2000-12-26 Taiwan Semiconductor Manufacturing Company Dual damascene patterned conductor layer formation method without etch stop layer

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10217707B2 (en) * 2016-09-16 2019-02-26 International Business Machines Corporation Trench contact resistance reduction
WO2022198950A1 (en) * 2021-03-22 2022-09-29 长鑫存储技术有限公司 Preparation method for semiconductor structure and semiconductor structure

Also Published As

Publication number Publication date
US6680254B2 (en) 2004-01-20
TW478133B (en) 2002-03-01

Similar Documents

Publication Publication Date Title
US7279419B2 (en) Formation of self-aligned contact plugs
US5670404A (en) Method for making self-aligned bit line contacts on a DRAM circuit having a planarized insulating layer
US7153727B2 (en) Semiconductor device and method of manufacturing the same
US5780338A (en) Method for manufacturing crown-shaped capacitors for dynamic random access memory integrated circuits
US5950090A (en) Method for fabricating a metal-oxide semiconductor transistor
US6387765B2 (en) Method for forming an extended metal gate using a damascene process
US6870268B2 (en) Integrated circuit devices formed through selective etching of an insulation layer to increase the self-aligned contact area adjacent a semiconductor region
US7579233B2 (en) Method of fabricating semiconductor device for reducing parasitic capacitance between bit lines and semiconductor device fabricated thereby
US7256143B2 (en) Semiconductor device having self-aligned contact plug and method for fabricating the same
US20050253179A1 (en) Capacitor and method of manufacturing the same
US20010046777A1 (en) Method for forming a dielectric layer
US6432774B2 (en) Method of fabricating memory cell with trench capacitor and vertical transistor
US6268252B1 (en) Method of forming self-aligned contact pads on electrically conductive lines
US6100137A (en) Etch stop layer used for the fabrication of an overlying crown shaped storage node structure
US6784084B2 (en) Method for fabricating semiconductor device capable of reducing seam generations
US6010933A (en) Method for making a planarized capacitor-over-bit-line structure for dynamic random access memory (DRAM) devices
JPH1027889A (en) Semiconductor device and its manufacture
US7629218B2 (en) Method of manufacturing a capacitor and method of manufacturing a semiconductor device using the same
US7396772B2 (en) Method for fabricating semiconductor device having capacitor
US6333233B1 (en) Semiconductor device with self-aligned contact and its manufacture
US20010044188A1 (en) Method of fabricating memory cell
US7105453B2 (en) Method for forming contact holes
US7148102B2 (en) Methods of forming buried bit line DRAM circuitry
US6680254B2 (en) Method of fabricating bit line and bit line contact plug of a memory cell
KR100505450B1 (en) Method for fabricating semiconductor device using damascene process

Legal Events

Date Code Title Description
AS Assignment

Owner name: NANYA TECHNOLOGY CORPORATION, TAIWAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:SUN, YU-CHI;HUANG, TSE-YAO;REEL/FRAME:012125/0497;SIGNING DATES FROM 20010730 TO 20010731

STCF Information on status: patent grant

Free format text: PATENTED CASE

FPAY Fee payment

Year of fee payment: 4

FPAY Fee payment

Year of fee payment: 8

FPAY Fee payment

Year of fee payment: 12