US20020106589A1 - Acetylenic diol ethylene oxide/propylene oxide adducts and their use in photoresist developers - Google Patents

Acetylenic diol ethylene oxide/propylene oxide adducts and their use in photoresist developers Download PDF

Info

Publication number
US20020106589A1
US20020106589A1 US10/024,893 US2489301A US2002106589A1 US 20020106589 A1 US20020106589 A1 US 20020106589A1 US 2489301 A US2489301 A US 2489301A US 2002106589 A1 US2002106589 A1 US 2002106589A1
Authority
US
United States
Prior art keywords
propylene oxide
diol
ethylene oxide
composition
acetylenic
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US10/024,893
Other languages
English (en)
Other versions
US6455234B1 (en
Inventor
Kevin Rodney
Paula Ann
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Evonik Operations GmbH
Original Assignee
Air Products and Chemicals Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US09/304,607 external-priority patent/US6313182B1/en
Application filed by Air Products and Chemicals Inc filed Critical Air Products and Chemicals Inc
Priority to US10/024,893 priority Critical patent/US6455234B1/en
Assigned to AIR PRODUCTS AND CHEMICALS, INC. reassignment AIR PRODUCTS AND CHEMICALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: LASSILA, KEVIN RODNEY, UHRIN, PAULA ANN
Assigned to AIR PRODUCTS AND CHEMICALS, INC. reassignment AIR PRODUCTS AND CHEMICALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: SCHWARTZ, JOEL
Publication of US20020106589A1 publication Critical patent/US20020106589A1/en
Application granted granted Critical
Publication of US6455234B1 publication Critical patent/US6455234B1/en
Assigned to FUJIFILM ELECTRONIC MATERIALS USA INC. reassignment FUJIFILM ELECTRONIC MATERIALS USA INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: AIR PRODUCTS AND CHEMICALS, INC.
Assigned to AIR PRODUCTS AND CHEMICALS, INC. reassignment AIR PRODUCTS AND CHEMICALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: FUFIFILM ELECTRONIC MATERIALS USA, INC.
Assigned to AIR PRODUCTS AND CHEMICALS, INC. reassignment AIR PRODUCTS AND CHEMICALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: FUJIFILM ELECTRONIC MATERIALS USA, INC.
Assigned to EVONIK DEGUSSA GMBH reassignment EVONIK DEGUSSA GMBH ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: AIR PRODUCTS AND CHEMICALS, INC.
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C43/00Ethers; Compounds having groups, groups or groups
    • C07C43/02Ethers
    • C07C43/03Ethers having all ether-oxygen atoms bound to acyclic carbon atoms
    • C07C43/14Unsaturated ethers
    • C07C43/178Unsaturated ethers containing hydroxy or O-metal groups
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G65/00Macromolecular compounds obtained by reactions forming an ether link in the main chain of the macromolecule
    • C08G65/02Macromolecular compounds obtained by reactions forming an ether link in the main chain of the macromolecule from cyclic ethers by opening of the heterocyclic ring
    • C08G65/26Macromolecular compounds obtained by reactions forming an ether link in the main chain of the macromolecule from cyclic ethers by opening of the heterocyclic ring from cyclic ethers and other compounds
    • C08G65/2603Macromolecular compounds obtained by reactions forming an ether link in the main chain of the macromolecule from cyclic ethers by opening of the heterocyclic ring from cyclic ethers and other compounds the other compounds containing oxygen
    • C08G65/2606Macromolecular compounds obtained by reactions forming an ether link in the main chain of the macromolecule from cyclic ethers by opening of the heterocyclic ring from cyclic ethers and other compounds the other compounds containing oxygen containing hydroxyl groups
    • C08G65/2609Macromolecular compounds obtained by reactions forming an ether link in the main chain of the macromolecule from cyclic ethers by opening of the heterocyclic ring from cyclic ethers and other compounds the other compounds containing oxygen containing hydroxyl groups containing aliphatic hydroxyl groups
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D1/00Detergent compositions based essentially on surface-active compounds; Use of these compounds as a detergent
    • C11D1/66Non-ionic compounds
    • C11D1/722Ethers of polyoxyalkylene glycols having mixed oxyalkylene groups; Polyalkoxylated fatty alcohols or polyalkoxylated alkylaryl alcohols with mixed oxyalkylele groups
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • G03F7/322Aqueous alkaline compositions
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G2650/00Macromolecular compounds obtained by reactions forming an ether link in the main chain of the macromolecule
    • C08G2650/28Macromolecular compounds obtained by reactions forming an ether link in the main chain of the macromolecule characterised by the polymer type
    • C08G2650/60Macromolecular compounds obtained by reactions forming an ether link in the main chain of the macromolecule characterised by the polymer type containing acetylenic group

Definitions

  • the invention relates to acetylenic diol alkylene oxide adducts, their manufacture and their use to reduce the surface tension in water-based systems. In another aspect it relates to the use of such adducts as a wetting agent in aqueous photoresist developers.
  • acetylenic diol derivatives which have alternative properties.
  • a surfactant which has higher critical aggregation concentration (solubility limit or critical micelle concentration) because higher bulk surfactant concentrations lead to a higher diffusive flux of surfactant to newly created surface, and consequently lower dynamic surface tension.
  • critical aggregation concentration solubility limit or critical micelle concentration
  • acetylenic diol surfactants with higher water solubility have been obtained by reaction of the parent with ethylene oxide; greater degrees of ethoxylation provide greater water solubility.
  • increasing the level of ethoxylation also introduces a tendency to foam, introducing inefficiencies during formulation, defects during application, and process issues in other applications. The problem of foaming is particularly troublesome in photoresist developers used in semiconductor fabrication.
  • TMAH Tetramethylammonium hydroxide
  • Surfactants are added to the aqueous TMAH solutions to reduce development time and scumming and to improve surface wetting.
  • U.S. Pat. No. 5,098,478 discloses water-based ink compositions comprising water, a pigment, a nonionic surfactant and a solubilizing agent for the nonionic surfactant.
  • Dynamic surface tension in ink compositions for publication gravure printing must be reduced to a level of about 25 to 40 dynes/cm to assure that printability problems will not be encountered.
  • U.S. Pat. No. 5,562,762 discloses an aqueous jet ink of water, dissolved dyes and a tertiary amine having two polyethoxylate substituents and that low dynamic surface tension is important in ink jet printing.
  • acetylenic glycol-based surfactants have become industry standards.
  • the following patents and articles describe various acetylenic alcohols and their ethoxylates as surface-active agents:
  • R 1 and R 4 are alkyl radicals having from 3-10 carbon atoms and R 2 and R 3 are methyl or ethyl and x and y have a sum in the range of 3 to 60, inclusive.
  • Specific ethylene oxide adducts include the ethylene oxide adducts of 3-methyl-1-nonyn-3-ol, 7,10-dimethyl-8-hexadecyne-7,10-diol; 2,4,7,9-tetramethyl-5-decyne-4,7-diol and 4,7-dimethyl-5-decyne-4,7-diol.
  • the ethylene oxide adducts range from 3 to 20 units. Also disclosed is a process for the manufacture of materials of this type using trialkylamine catalysts.
  • U.S. Pat. No. 4,117,249 discloses 3 to 30 mole ethylene oxide (EO) adducts of acetylenic glycols represented by the structural formula
  • R is hydrogen or an alkenyl radical.
  • the acetylenic glycols are acknowledged as having utility as surface-active agents, dispersants, antifoaming nonionic agents, and viscosity stabilizers.
  • U.S. Pat. No. 5,650,543 discloses ethoxylated acetylenic glycols of the form
  • JP 2636954 B2 discloses propylene oxide adducts of formula
  • R C1-8 alkyl
  • m+n integer 1 to 100.
  • These compounds are prepared by reacting acetylenic glycols and propylene oxide in the presence of Lewis acid catalysts such as BF 3 . It is stated that amine catalysts are inactive for the addition of propylene oxide to acetylenic diols.
  • the propylene oxide adducts are said to be useful as wettability improvers for antirust oil, antifoamers, spreaders for pesticides, and wetting agents for adhesives. They are effective in improving wettability of oils and have improved antifoaming ability.
  • JP 2621662 B2 describes dye or developing agent dispersions for thermal recording paper containing propylene oxide (PO) derivatives of an acetylenic diol of the form
  • R1 and R2 are —CH3, —C2H5, —C4H9; R3 and R4 are —(OC3H4)mOH, or —OH where m is an integer 1-10.
  • JP 04071894 A describes coating solutions containing a dispersion of a colorless electron donating dye precursor and a dispersion of developer. At least one of them contains at least one type of wax having a melting point of at least 60° C. and at least one EO or PO derivative of an acetylenic diol of the formula
  • R1 and R4 each represent methyl, ethyl, propyl, or butyl and R2 and R3 are each —(OC2H5)nOH, or —(OC3H6)nOH (n is 1-10), or OH, mixed and dispersed.
  • JP 2569377 B2 discloses a recording material containing dispersions of a substantially colorless electron donating dye precursor and a developer. When at least one of these dispersions is prepared, at least one of the compounds
  • JP 09150577 A discloses a heat sensitive recording medium which contains in the heat sensitive layer a leuco dye and 0.1-1.0 wt % of an ethoxylate or propoxylate of an acetylenic glycol of the form
  • R 1 methyl, ethyl, propyl or butyl
  • R 2 hydrogen or methyl
  • n and m 1-10.
  • JP 04091168 A discloses silica which has been surface treated with compounds of the form
  • R1 1-8C alkyl
  • A 2-3C alkylene glycol residue
  • R1 and A in a molecule may be the same or different
  • x and y each an integer of 0-25.
  • JP 06279081 A describes a manufacturing process for a cement mortar-concrete hardening material to which 0.5-10 wt. % an acetylenic alcohol or diol alkoxylate is added together with fluorine group surfactants and/or silicon group surfactants.
  • the acetylenic material can be expressed by the formula
  • JP 03063187 A discloses the use of acetylenic glycol ethylene oxide and/or propylene oxide addition products in concentrated aqueous fountain solution compositions for offset printing.
  • the 8 to 12 mole ethylene oxide/1 to 2 mole propylene oxide adduct of 3,5-dimethyl-4-octyne-3,5-diol is used in a fountain solution.
  • Other examples illustrate the use of only ethylene oxide derivatives of acetylenic diols.
  • acetylenic diol derivatives containing both ethylene oxide (EO) and propylene oxide (PO) have been taught as a general class of materials, usually as potential extensions of work which had been performed with ethylene oxide derivatives, no actual examples of an acetylenic diol EO/PO derivative based upon 2,4,7,9-tetramethyl-5-decyne-4,7-diol or 2,5,8,11-tetramethyl-6-dodecyne-5,8-diol have been prepared and evaluated. There are no disclosures of any process that could be used to prepare materials of this type.
  • U.S. Pat. No. 4,374,920 discloses using a non-ionic surfactant in an aqueous alkaline developer composition for positive-working lithographic printing plates and photoresists.
  • the surfactant was tetramethyl decynediol or ethoxylated tetramethyl decynediol.
  • the specific surfactants were SURFYNOL® 440, 465 and 485 surfactants of Air Products and Chemicals, Inc.
  • U.S. Pat. No. 4,833,067 discloses aqueous developing solutions for positive-working photoresist compositions containing an organic basic compound free from metallic ions, such as tetramethylammonium hydroxide and choline, as the main ingredient and 50 to 5000 ppm of an acetylenic alcohol. These aqueous developing solutions are said to have increased surface wetting and decreased foaming.
  • U.S. Pat. No. 5,069,996 discloses photoresist developer compositions containing TMAH, novolak resin, an ethoxylated tetramethyidecynediol surfactant, a defoamer and water.
  • U.S. Pat. No. 5,756,267 discloses developing solutions useful in the manufacture of liquid crystal displays. These solutions contain water, a quaternary ammonium base such as TMAH, a quaternary ammonium salt surface active agent, an alkanolamine and an acetylenic alcohol based surface active agent which is the same as those disclosed by the '067 patent.
  • TMAH quaternary ammonium base
  • quaternary ammonium salt surface active agent an alkanolamine
  • an acetylenic alcohol based surface active agent which is the same as those disclosed by the '067 patent.
  • U.S. Pat. No. 5,922,522 discloses developing solutions for photoresists containing an anti-scum agent which is a mixture of an ethoxylate surfactant and a propoxylate surfactant. Although no example of such a compound is given, it is said that the ethylene oxide units and the propylene oxide units can be incorporated in a chain in the same molecule.
  • These surfactants are said to be preferably anionic and have a hydrophobic end on the molecule formed from alcohols such as nonylphenol, octylphenol, and tristyrylphenol.
  • JP 10-319606 discloses a photoresist developer containing water, alkaline substance, and a block copolymer having the formula HO—A—B—A—H wherein A and B are a polyethylene oxide group or a polypropylene oxide group, the molecule containing both groups.
  • a and B are a polyethylene oxide group or a polypropylene oxide group, the molecule containing both groups.
  • These block copolymers are very susceptible to forming micelles which can cause surface defects in microelectronic applications.
  • This invention provides alkoxylated acetylenic diols that act as surfactants for water based compositions of the following structure:
  • r and t are, preferably the same, 1 or 2, (n+m) is 1 to 30 and (p+q) is 1 to 30.
  • the EO and PO units may be distributed along the alkylene oxide chain in blocks of EOs and POs or randomly.
  • This invention also relates to processes for the manufacture of certain alkoxylated acetylenic diols.
  • Another embodiment of the invention affords water-based compositions containing an organic or inorganic compound, particularly aqueous organic coating, ink, agricultural and electronics cleaning compositions, having reduced equilibrium and dynamic surface tension by incorporation of an effective amount of an alkoxylated acetylenic diol of the above structure.
  • water-based aqueous or “aqueous medium” we mean, for purposes of this invention, a solvent or liquid dispersing medium which comprises at least about 90 wt %, preferably at least about 95 wt %, water. Obviously, an all water medium is also included and is most preferred. Also for purposes of the present invention, the terms “photoresist developing” and “electronics cleaning” are interchangeable.
  • an aqueous solution of the alkoxylated acetylenic diol demonstrates a dynamic surface tension of less than 35 dynes/cm at a concentration of ⁇ 0.5 wt % in water at 23° C. and 1 bubble/second according to the maximum-bubble pressure method.
  • the maximum-bubble-pressure method of measuring surface tension is described in Langmuir 1986, 2, 428-432, which is incorporated by reference.
  • This invention relates to compounds of the formulas A and B.
  • (n+m) and (p+q) each can range from 1 to 30. It is preferred that (n+m) be 1.3 to 15 and most preferably 1.3 to 10. It is preferred that (p+q) be 1 to 10, more preferred 1-3 and most preferred 2.
  • the alkylene oxide moieties represented by (OC2H4) are the (n+m) polymerized ethylene oxide (EO) units and those represented by (OC3H6) are the (p+q) polymerized propylene oxide (PO) units.
  • EO and PO units are each segregated together are referred to as “block” alkoxylate derivatives. It is preferred the block copolymer products be capped, i.e., endcapped, with PO units.
  • Random derivatives can be represented by formula B
  • the block compositions of structure A can be prepared by reaction of 2,4,7,9-tetramethyl-5-decyne-4,7-diol or 2,5,8,11-tetramethyl-6-dodecyne-5,8-diol with the requisite quantities of ethylene oxide followed by propylene oxide in the presence of a suitable catalyst.
  • Suitable catalysts include trialkylamines and Lewis acids, particularly BF 3 .
  • the compositions may be prepared by reaction of a pre-formed acetylenic diol ethoxylate with propylene oxide in the presence of an appropriate catalyst.
  • the preferred process for making the acetylenic diol alkoxylates uses BF 3 or trialkylamine catalysts.
  • BF 3 allows the rapid preparation of derivatives containing relatively large quantities of propylene oxide.
  • compositions prepared with trialkylamine catalysts, especially trimethylamine are preferred for several reasons. They can be prepared using a process very similar to that used for manufacture of acetylenic diol ethoxylates without significant byproduct chemistry.
  • trialkylamine catalysts allow for the preparation of 2 mole propylene oxide capped derivatives in high selectivity using a highly efficient, one pot process.
  • the tertiary acetylenic diol starting materials can be prepared in various known manners such as those described in U.S. Pat. No. 2,250,445; U.S. Pat. No. 2,106,180 and U.S. Pat. No. 2,163,720, which are incorporated by reference.
  • the acetylenic diol starting material may contain from 8 to 26 carbons.
  • the acetylenic diol starting material contain 14 to 16 carbons, and it is most particularly preferred that it be 2,4,7,9-tetramethyl-5-decyne-4,7-diol or 2,5,8,11-tetramethyl-6-dodecyne-5,8-diol.
  • Various basic catalysts can be used to promote the reaction between the alkylene oxide and the acetylenic tertiary glycols in which the hydroxyl groups are attached to a carbon atom in a position alpha to the acetylenic bonds according to this invention.
  • Tertiary aliphatic amines namely trialkylamines such as trimethylamine, triethylamine, tripropylamine, dimethylethylamine, diethylmethylamine and the like, are particularly advantageous catalysts for the reaction.
  • Such tertiary aliphatic amines catalyze the addition reaction at a rapid rate at moderately low temperatures and pressures without inducing cleavage of the acetylenic glycol.
  • Trimethylamine is preferred because of its high catalytic activity and longevity in the reaction.
  • alkali metal hydroxides can be used to increase the polyalkylene oxide chain length once the initial adducts have been formed and protected against decomposition. It is anticipated that alkali metal hydroxides could also be used to promote the addition of propylene oxide to initial EO or PO adducts with sufficiently low quantities of residual tertiary acetylenic alcohol functionality.
  • the trialkylamine-catalyzed addition reaction may be performed at either atmospheric (15 psig; 1 bar) or moderate to low superatmospheric pressures (30-300 psig; 2-20 bar).
  • moderate to low superatmospheric pressures is preferred since it obviates the necessity of recycling unreacted ethylene oxide and propylene oxide, and generally proceeds at faster rates than additions carried out at atmospheric pressures.
  • the effect of pressure on rate is particularly important in the reaction with propylene oxide, and it is therefore preferred that reactions be performed at pressures in excess of 30 psig (2 bar). It is particularly preferred that the process be carried out at a pressure greater than 60 psig (4 bar).
  • reaction under pressure Another benefit of performing the reaction under pressure is that such reactions may be accomplished with ordinary efficient agitation, while reactions conducted at atmospheric pressure often work best when a dispersion type agitator is used. While the reaction can be carried out at lower pressure, reaction rates, and therefore reactor productivity, suffer. Performing the reaction at pressures much in excess of about 300 psig (20 bar) would likely have only marginal benefit, and would increase the cost of equipment required for manufacture. It is preferred to operate at 100 psig (6.7 bar).
  • the temperature at which the reaction is run for trialkylamine catalyzed reactions will depend upon the particular system and the catalyst concentration. Generally, at higher catalyst concentrations, the reactions can be run at lower temperatures and pressures. Reaction temperatures should be high enough to permit the reaction to proceed at a reasonable rate, but low enough to prevent decomposition of the reagents and products. Temperatures in the range of 40-150° C. are suitable, 50-120° C. preferred, and 70-90° C. particularly preferred.
  • the reaction stops at a PO end cap on each chain, i.e., the obtained product is an acetylenic diol EO/PO adduct containing two PO end caps, p and q each being 1 in Formula A.
  • the trialkylamine catalyzed process affords an adduct having random EO and PO units, in the latter case extending beyond the original EO block.
  • the acetylenic glycol is liquefied by melting and the catalyst is added with stirring.
  • Ethylene oxide and/or propylene oxide are added as liquids with stirring and the reaction is concluded when the desired polyalkylene oxide chain length is reached as determined by gel permeation chromatography (GPC), high performance liquid chromatography (HPLC), nuclear magnetic resonance (NMR), cloud point (ASTM D2024-65) or water titration of an isopropyl alcohol solution.
  • GPC gel permeation chromatography
  • HPLC high performance liquid chromatography
  • NMR nuclear magnetic resonance
  • ASTM D2024-65 cloud point
  • water titration of an isopropyl alcohol solution No solvents are necessary during the reaction, but inert solvents such as aromatic hydrocarbons (benzene and toluene) and ethers (ethyl ether) may be used to facilitate handling.
  • inert solvents such as aromatic hydrocarbons (benzene and toluene) and ethers (eth
  • the reaction conditions will be determined by the identity and concentration of the catalyst.
  • Lewis acid catalysts include BCl 3 , AlCl 3 , TiCl 4 , BF 3 , SnCl 4 , ZnCl 2 and the like.
  • the preferred Lewis acid catalyst is BF 3 .
  • temperature control during the initial stages of the reaction is critical, since too high a temperature will result in dehydration of the acetylenic diol. It is preferred that the temperature be maintained below 80° C., preferably below 60° C., and most preferably below 50° C.
  • the reaction pressure can range from atmospheric to low to moderate superatmospheric pressure, i.e., from 15 to 300 psig (1 to 20 bar). Because of the high activity of BF 3 , good results can be obtained at more moderate pressures of about 1 bar than for those reactions performed using trialkylamine catalysts.
  • the catalysts may be used at 0.001 to 10 wt %, preferably 0.01 to 5 wt %, and most preferably 0.1 to 1 wt %, based on total final reactant mass. In both cases, because deactivation may occur during the alkoxylation, it may be necessary to add additional catalyst to complete the reaction, particularly if large amounts of EO and PO are being added.
  • the EO and PO may be added to the reaction concurrently as separate charges or streams, or added as a single charge or stream comprising a mixture of EO and PO.
  • the EO and PO are added consecutively.
  • the alkoxylated acetylenic diols are useful for the reduction of equilibrium and dynamic surface tension in water-based compositions containing an organic compound, particularly aqueous coating, ink, fountain solution, agricultural and electronics processing compositions containing organic compounds such as polymeric resins, macromolecules, organic bases, herbicides, fungicides, insecticides or plant growth modifying agents. It is desirable that an aqueous solution of the alkoxylated acetylenic diol demonstrates a dynamic surface tension of less than 35 dynes/cm at a concentration of ⁇ 0.5 wt % in water at 23° C. and 1 bubble/second according to the maximum-bubble-pressure method.
  • the maximum-bubble-pressure method of measuring surface tension is described in Langmuir 1986, 2, 428-432, which is incorporated by reference.
  • alkoxylated acetylenic diols of the above formula display excellent ability to reduce equilibrium and dynamic surface tension while producing substantially no foam. This behavior is particularly advantageous in photoresist developer formulations.
  • the alkoxylated acetylenic diols are suitable for use in an aqueous composition
  • an inorganic compound which is, for example, a mineral ore or a pigment or an organic compound which is a pigment, a polymerizable monomer, such as addition, condensation and vinyl monomers, an oligomeric resin, a polymeric resin, a macromolecule such as gum arabic or carboxymethyl cellulose, a detergent, a caustic cleaning agent, a dissolution agent such as tetramethylammonium hydroxide (TMAH), a herbicide, a fungicide, an insecticide, or a plant growth modifying agent.
  • TMAH tetramethylammonium hydroxide
  • an amount of the alkoxylated acetylenic diol compound that is effective to reduce the equilibrium and/or dynamic surface tension of the water-based, organic or inorganic compound-containing composition is added.
  • Such effective amount may range from 0.001 to 10 g/100 mL, preferably 0.01 to 1 g/100 mL, and most preferably 0.05 to 0.5 g/100 mL of the aqueous composition.
  • effective amounts may range from 0.001 to 1 g/100 mL, preferably 0.002 to 0.8 g/100 mL, and most preferably 0.005 to 0.5 g/100 mL.
  • the most effective amount will depend on the particular application and the solubility of the particular alkoxylated acetylenic diol.
  • a typical water-based protective or decorative organic coating composition to which the alkoxylated acetylenic diol surfactants of the invention may be added would comprise the following components in an aqueous medium at 30 to 80 wt % ingredients: Water-Based Organic Coating Composition 0 to 50 wt % Pigment Dispersant/Grind Resin 0 to 80 wt % Coloring Pigments/Extender Pigments/Anti-Corrosive Pigments/Other Pigment Types 5 to 99.9 wt % Water-Borne/Water-Dispersible/Water-Soluble Resins 0 to 30 wt % Slip Additives/Antimicrobials/Processing Aids/ Defoamers 0 to 50 wt % Coalescing or Other Solvents 0.01 to 10 wt % Surfactant/Wetting Agent/Flow and Leveling Agents 0.01 to 5 wt % Acetylenic Diol EO/PO Derivative
  • a typical water-based ink composition to which the alkoxylated acetylenic diol surfactants of the invention may be added would comprise the following components in an aqueous medium at 20 to 60 wt % ingredients: Water-Based Ink Composition 1 to 50 wt % Pigment 0 to 50 wt % Pigment Dispersant/Grind Resin 0 to 50 wt % Clay base in appropriate resin solution vehicle 5 to 99.9 wt % Water-Borne/Water-Dispersible/Water-Soluble Resins 0 to 30 wt % Coalescing Solvents 0.01 to 10 wt % Surfactant/Wetting Agent 0.01 to 10 wt % Processing Aids/Defoamers/Solubilizing Agents 0.01 to 5 wt % Acetylenic Diol EO/PO Derivative
  • a typical water-based agricultural composition to which the alkoxylated acetylenic diol surfactants of the invention may be added would comprise the following components in an aqueous medium at 0.1 to 80 wt % ingredients: Water-Based Agricultural Composition 0.1 to 50 wt % Insecticide, Herbicide or Plant Growth Modifying Agent 0.01 to 10 wt % Surfactant 0 to 5 wt % Dyes 0 to 20 wt % Thickeners/Stabilizers/Co-surfactants/Gel Inhibitors/Defoamers 0 to 25 wt % Antifreeze 0.01 to 50 wt % Acetylenic Diol EO/PO Derivative
  • a typical fountain solution composition for planographic printing to which the alkoxylated acetylenic diol surfactants of the invention may be added would comprise the following components in an aqueous medium at 30 to 70 wt % ingredients: Fountain Solution for Planographic Printing 0.05 to 30 wt % Film formable, water soluble macromolecule 1 to 75 wt % Alcohol, glycol, or polyol with 2-12 carbon atoms, water soluble or can be made to be water soluble 0.01 to 60 wt % Water soluble organic acid, inorganic acid, or a salt of thereof 0.01 to 50 wt % Acetylenic Diol EO/PO Derivative
  • compositions in which use of the acetylenic diol EO/PO adduct as a surfactant is particularly advantageous are the developers for photoresists that are employed in the semiconductor industry. Such developers and their use are well known in the art and do not need to be described in detail. In fact, as pointed out in the background section of this disclosure, the use of ethoxylated acetylenic diol adducts in such formulations is known and well documented. The improvement provided by this invention, which could not have been foreseen, involves the use in these developer formulations of certain acetylenic diol adducts which also contain propoxy groups.
  • a typical water-based photoresist developer, or electronic cleaning, composition to which the alkoxylated acetylenic diol surfactants of the invention may be added would comprise an aqueous medium containing the following components: Water-Based Photoresist Developer Composition 0.1 to 3 wt % Tetramethylammonium Hydroxide 0 to 4 wt % Phenolic Compound 10 to 10,000 ppm Acetylenic Diol EO/PO Derivative
  • the process for manufacture of integrated circuits involves the application of a film of photoresist composition to a suitable substrate, such as a silicon wafer, which is then exposed to actinic radiation in a designed pattern that is imposed upon the photoresist film.
  • a suitable substrate such as a silicon wafer
  • actinic radiation in a designed pattern that is imposed upon the photoresist film.
  • the radiation either increases or decreases its solubility in a subsequently applied developer solution. Consequently, in a positive-working photoresist the areas masked from the radiation remain after development while the exposed areas are dissolved away. In the negative-working photoresist the opposite occurs.
  • the surfactant of this invention can be used in developers for either type of photoresist.
  • the character of the developer is very important in determining the quality of the circuits formed and precise control of developing is essential. To achieve better surface wetting by the developer is has been common to add surfactant to the formulation in order to reduce surface tension of the solution. This addition, however, can cause the developer to foam which leads to circuit defects. This foaming problem is also recognized in the art and considerable attention in the industry has been directed toward its solution.
  • the developer, or electronics cleaning, solutions in which use of the adduct of the invention is preferred are the aqueous solutions of tetramethylammonium hydroxide (TMAH). These developers are also well known in the art. Commercial developers usually contain low levels of surfactant on the order of 50 to 1000 ppm by weight. Surfactant level should not exceed that required to achieve the desired surface tension of the solution. For example, surface tensions of about 40 to 45 dynes/cm would be appropriate for novolac-based photoresist resins. Advanced resins that often incorporate aliphatic groups might require a developer with lower surface tension to enhance wetting.
  • One of the advantages of the surfactants of this invention is that suitable surface tensions can be obtained at lower levels than is required by other wetting agents. This in itself is a step toward solving the foaming problem in the manufacture of microcircuitry.
  • This example illustrates that two mole propoxylates of acetylenic diol ethoxylates can be prepared with high selectivity when using trialkylamine catalysts.
  • the preparation of the 7 mole propoxylate of Surfynol® 465 surfactant which is the 10 mole ethoxylate of 2,4,7,9-tetramethyl-4-decyne-4,7-diol, was attempted.
  • a 1000 mL autoclave was charged with Surfynol® 465 surfactant (300 g, 0.45 moles) and dimethylethylamine (53.7 g, 0.73 moles).
  • the reactor was sealed, purged free of air with three nitrogen pressure-vent cycles, then pressured to 100 psig (6.7 bar) with nitrogen and heated to 120° C.
  • Propylene oxide (183 g, 3.15 moles) was added over a period of 70 minutes by means of a syringe pump.
  • the reaction mixture was heated for an additional 12 hr at 120° C.
  • the reactor contents were cooled and discharged.
  • the product was heated under vacuum to remove volatiles (unreacted PO and catalyst); 68 g of material were removed.
  • Matrix assisted laser desorption/ionization mass spectrometry indicated that almost all the individual oligomers in the product possessed one or two propylene oxide residues with only very small amounts of product containing three or more PO units. The fate of a substantial amount of the propylene oxide appeared to be formation of dimethylamino-terminated polypropyleneoxide.
  • trialkylamine catalysts would have any efficacy for promoting the reaction of propylene oxide. It would also not be anticipated that high selectivity to the two mole propoxylates of an acetylenic diol could be achieved.
  • Example 3 illustrates the preparation of the 3.5 mole ethoxylate of 2,4,7,9-tetramethyl-5-decyne-4,7-diol capped with 2 moles of propylene oxide using trimethylamine catalyst and a preformed ethoxylate.
  • the 3.5 mole ethoxylate of 2,4,7,9-tetramethyl-5-decyne-4,7-diol is commercially available from Air Products and Chemicals, Inc. and is marketed as Surfynol® 440 surfactant.
  • BF 3 diethyl etherate (1.3 g) was added and ethylene oxide and propylene oxide were added simultaneously at rates of 91.05 mL/h and 68.95 mL/h, respectively, by means of two syringe pumps.
  • the total amount of ethylene oxide (180 g, 204 mL, 4.08 moles) and propylene oxide (128 g, 155 mL, 2.2 moles) were such that the final mole ratio of diol:EO:PO was 1:5:2.
  • an additional 0.7 g of BF 3 diethyl etherate was added, whereupon an exotherm to 45.5° C. was observed. At this point gas chromatographic analysis indicated that the reaction was complete.
  • the product (Example 6) was analyzed by NMR and MALD/I and found to have a structure consistent with the desired structure.
  • Example 7-22 Sixteen similar materials (Examples 7-22) were prepared by variation of the diol structure, the amounts of ethylene oxide and propylene oxide, and the structural motif of the alkylene oxide chain.
  • Table 2 shows the acetylenic diol compositions prepared using BF 3 catalysis. In Table 2, R designates “random,” while B designates “block.”
  • Example 22 has been disclosed in JP 03063187 A (however, JP '187 does not teach a method for its preparation nor whether the adduct is a block or random copolymer), and has been shown to have efficacy in fountain solutions for lithographic printing.
  • the S82 designation corresponds to 3,6-dimethyl-4-hexyne-3,6-diol.
  • composition of this invention is markedly superior in its ability to reduce surface tension relative to the composition of the prior art.
  • Comparison of the data for the 1.0 wt % solution of the Example 5 surfactant with that of the 5.0 wt % solution of the S82 derivative (Example 22) shows that the compound of the invention provides superior performance at all surface creation rates at 20% the use level. Since reduction of dynamic surface tension is of such importance in a dynamic application in which aqueous fountain solutions are utilized, it would not be anticipated based on the teachings of the prior art that modification of the hydrophobic group (the acetylenic diol moiety) would have such an advantageous effect.
  • the pC 20 value is defined as the negative logarithm of the molar concentration of surfactant required to decrease the surface tension of an aqueous solution to 52.1 dyne/cm, that is, 20 dyne/cm below that of pure water when the measurement is performed at 0.1 b/s. This value is a measure of the efficiency of a surfactant. In general, an increase in pC 20 value of 1.0 indicates that 10 times less surfactant will be required to observe a given effect.
  • the critical aggregation concentrations were determined by intersection of the linear portion of a surface tension/In concentration curve with the limiting surface tension as is described in many textbooks.
  • the limiting surface tensions at 0.1 and 20 bubbles/second (b/s) represent the lowest surface tensions in water which can be achieved at the given surface creation rate for a given surfactant regardless of the amount of surfactant used. These values give information about the relative ability to a surfactant to reduce surface defects under near-equilibrium condition (0.1 b/s) through very dynamic conditions (20 b/s). Lower surface tensions would allow the elimination of defects upon application of a formulation onto lower energy surfaces.
  • the two base acetylenic diols that were ethoxylated were of the above structure, namely, S-104 (2,4,7,9-tetramethyl-5-decyne-4,7-diol) in which r and t are both 1, i.e., an isobutyl group, and S-124 (2,5,8,11-tetramethyl-6-dodecyne-5,8-diol) in which r and t are both 2, i.e., an isoamyl group.
  • the surfactant efficiency pC 20 value is defined as the negative logarithm of the molar concentration of surfactant required to decrease the surface tension of an aqueous solution to 52.1 dyne/cm, that is, 20 dyne/cm below that of pure water when the measurement is performed at 0.1 b/s.
  • This value (pC 20 ) is a measure of the efficiency of a surfactant. In general, an increase in pC 20 value of 1.0 indicates that 10 times less surfactant will be require to observe a given effect, i.e., the higher the value the more efficient the surfactant.
  • the S-104 ethoxylates were made using the 5.1 mole ethoxylate of 2,4,7,9-tetramethyl-5-decyne-4,7-diol which was prepared by reaction of the starting diol with ethylene oxide in the presence of trimethylamine catalyst at 80° C. using conventional procedures.
  • This 5.1 mole ethoxylate of S-104 was reacted with varying amounts of ethylene oxide using trimethylamine catalyst.
  • a 1000 mL autoclave was charged with the 5.1 mole ethoxylate (210 g, 0.47 moles) which had previously been dried by heating under nitrogen.
  • the reactor was sealed and pressure checked, the air was removed with three nitrogen pressure-vent cycles, and trimethylamine (1.2 g) was added by means of a gas tight syringe.
  • the reactor was pressured to 100 psig (6.7 bar) with nitrogen and heated to 100° C. whereupon ethylene oxide (8.2 g, 9.3 mL, 0.19 moles) was added at a rate of 1 mL/min by means of a syringe pump.
  • the reactor contents were stirred at 100° C. for 4 hours.
  • the reactor was sampled to obtain an aliquot of the 5.5 mole ethoxylate of 2,4,7,9-tetramethyl-5-decyne-4,7-diol.
  • ethoxylates were prepared by adding sufficient ethylene oxide to prepare the 6.5, 7.1, 15, 17, and 25 mole ethoxylates of 2,4,7,9-tetramethyl-5-decyne-4,7-diol. Samples of each ethoxylate were removed from the reactor and characterized by matrix assisted laser-desorption/ionization (MALD/I) analysis.
  • MALD/I matrix assisted laser-desorption/ionization
  • the S-124 ethoxylates were made using the 4 mole ethoxylate of 2,5,8,11-tetramethyl-6-dodecyne-5,8-diol, which is commercially available as Dynol 604 surfactant from Air Products and Chemicals, Inc.
  • This 4 mole ethoxylate of S-124 was reacted with ethylene oxide using trimethylamine catalyst.
  • a 1000 mL autoclave was charged with the 4.0 mole ethoxylate (195 g, 0.44 moles) which had previously been dried by heating under nitrogen. The reactor was sealed and pressure checked, the air was removed with three nitrogen pressure-vent cycles, and trimethylamine (1.2 g) was added by means of a gas tight syringe.
  • the reactor was pressured to 100 psig (6.7 bar) with nitrogen and heated to 100° C. whereupon ethylene oxide (58.31 g, 66.1 mL, 1.32 moles) was added at a rate of 1 mL/min by means of a syringe pump. At the completion of the addition, the reactor contents were stirred at 100° C. for 4 hours. The reactor was sampled to obtain an aliquot of the 7.0 mole ethoxylate of 2,5,8,11-tetramethyl-6-dodecyne-5,8-diol.
  • ethoxylates were prepared by adding sufficient ethylene oxide to prepare the 15, 17, and 25 mole ethoxylates of 2,5,8,11-tetramethyl-6-dodecyne-5,8-diol. Samples of each ethoxylate were removed from the reactor and characterized by matrix assisted laser-desorption/ionization (MALD/I) analysis.
  • MALD/I matrix assisted laser-desorption/ionization
  • Table 11 presents additional surface tension ( ⁇ ), foam and efficiency (pC 20 ) data which had been generated for the S-104 and S-124 ethoxylate/propoxylates shown in Table 7.
  • RM Foam R EO ⁇ ⁇ ⁇ ⁇ ⁇ (cm) Base or EO PO & 0.1 1 6 15 20
  • Initial Ex Diol B Moles Moles PO pC 20 b/s b/s b/s b/s (t to 0)
  • the resulting exposed wafer was developed (60 Seconds) in a puddle of 0.262 M tetramethylammonium hydroxide (TMAH) containing sufficient PO terminated acetylenic alcohol derivative (Example 4 adduct) to lower the surface tension of the developer to 42 dynes/cm.
  • TMAH tetramethylammonium hydroxide
  • Example 4 adduct sufficient PO terminated acetylenic alcohol derivative
  • Example 4 adduct Comparisons were made of the effectiveness of the Example 4 adduct with ethoxylated adducts of the prior art in reducing surface tension in 0.262 M TMAH solutions.
  • significantly higher amounts of the prior art ethoxylated adducts were required to obtain surface tensions comparable to the adduct of Example 4 which was both ethoxylated and propoxylated, containing 5.1 moles of EO and 2.0 moles of PO per molecule.
  • the prior art adducts were those described in Table 5 for Comparative Examples 29, 30 and 31 and contained 3.5, 5.1 and 10 moles, respectively, of EO per molecule.
  • the family of surfactants disclosed in this invention provides an ability to control foam while providing excellent dynamic surface tension reduction. They will therefore have utility in applications such as coatings, inks, adhesives, fountain solutions, agricultural compositions, soaps and detergents. Their use in photoresist developer/-electronics cleaning compositions is especially advantageous.
  • the invention provides compositions suitable for reducing the equilibrium and dynamic surface tension in water-based coating, ink, fountain solution, agricultural, and photoresist developer/electronics cleaning compositions.
US10/024,893 1999-05-04 2001-12-18 Acetylenic diol ethylene oxide/propylene oxide adducts and their use in photoresist developers Expired - Lifetime US6455234B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US10/024,893 US6455234B1 (en) 1999-05-04 2001-12-18 Acetylenic diol ethylene oxide/propylene oxide adducts and their use in photoresist developers

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US30461299A 1999-05-04 1999-05-04
US09/304,607 US6313182B1 (en) 1999-05-04 1999-05-04 Acetylenic diol ethylene oxide/propylene oxide adducts and processes for their manufacture
US47760000A 2000-01-04 2000-01-04
US10/024,893 US6455234B1 (en) 1999-05-04 2001-12-18 Acetylenic diol ethylene oxide/propylene oxide adducts and their use in photoresist developers

Related Parent Applications (3)

Application Number Title Priority Date Filing Date
US09/304,607 Continuation-In-Part US6313182B1 (en) 1999-05-04 1999-05-04 Acetylenic diol ethylene oxide/propylene oxide adducts and processes for their manufacture
US30461299A Continuation-In-Part 1999-05-04 1999-05-04
US47760000A Continuation-In-Part 1999-05-04 2000-01-04

Publications (2)

Publication Number Publication Date
US20020106589A1 true US20020106589A1 (en) 2002-08-08
US6455234B1 US6455234B1 (en) 2002-09-24

Family

ID=27405036

Family Applications (1)

Application Number Title Priority Date Filing Date
US10/024,893 Expired - Lifetime US6455234B1 (en) 1999-05-04 2001-12-18 Acetylenic diol ethylene oxide/propylene oxide adducts and their use in photoresist developers

Country Status (1)

Country Link
US (1) US6455234B1 (US20020106589A1-20020808-C00021.png)

Cited By (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6641986B1 (en) * 2002-08-12 2003-11-04 Air Products And Chemicals, Inc. Acetylenic diol surfactant solutions and methods of using same
US20040029395A1 (en) * 2002-08-12 2004-02-12 Peng Zhang Process solutions containing acetylenic diol surfactants
US20040127380A1 (en) * 2002-12-31 2004-07-01 3M Innovative Properties Company Degreasing compositions
US20040137364A1 (en) * 2002-11-15 2004-07-15 Kim Young H. Protective layers compatible with thick film pastes
US20040204328A1 (en) * 2002-08-12 2004-10-14 Peng Zhang Process solutions containing surfactants
US20040252970A1 (en) * 2003-06-11 2004-12-16 Samsung Electronics Co., Ltd. Apparatus and method for controlling reverse-play for digital video bitstream
US20050081885A1 (en) * 2003-10-20 2005-04-21 Peng Zhang Process solutions containing surfactants used as post-chemical mechanical planarization treatment
US20050143270A1 (en) * 2003-10-28 2005-06-30 Sachem, Inc. Cleaning solutions and etchants and methods for using same
US20050176605A1 (en) * 1999-05-04 2005-08-11 Lassila Kevin R. Acetylenic diol ethylene oxide/propylene oxide adducts and processes for their manufacture
WO2005119372A1 (en) * 2004-05-27 2005-12-15 E.I. Dupont De Nemours And Company Developer for a photopolymer protective layer
US20080098933A1 (en) * 2006-10-25 2008-05-01 Wacker Polymer Systems Gmbh & Co. Kg Dispersion powder compositions comprising gemini surfactants
US20080166666A1 (en) * 2002-12-06 2008-07-10 David Herbert Roach Positive imageable thick film composition
US7402373B2 (en) 2004-02-05 2008-07-22 E.I. Du Pont De Nemours And Company UV radiation blocking protective layers compatible with thick film pastes
US20100159400A1 (en) * 2008-12-24 2010-06-24 Samsung Electronics Co., Ltd. Composition for removing a photoresist pattern and method of forming a metal pattern using the composition
CN102304029A (zh) * 2011-04-11 2012-01-04 杨朝辉 一种炔二醇和环氧乙烷加合物的合成方法
CN102787035A (zh) * 2011-05-18 2012-11-21 奇美实业股份有限公司 洗净液组成物及基板的洗净方法
CN102839064A (zh) * 2011-06-20 2012-12-26 奇美实业股份有限公司 洗净液组成物
CN103242143A (zh) * 2013-04-18 2013-08-14 岳阳市英泰合成材料有限公司 一种炔醇烷氧基化的生产工艺
CN103240124A (zh) * 2013-04-18 2013-08-14 岳阳市英泰合成材料有限公司 一种用于炔醇烷氧基化的催化剂
US20140011359A1 (en) * 2011-03-21 2014-01-09 Basf Se Aqueous, nitrogen-free cleaning composition and its use for removing residues and contaminants from semiconductor substrates suitable for manufacturing microelectronic devices
CN103965462A (zh) * 2014-05-19 2014-08-06 上海多纶化工有限公司 用于炔二醇聚氧乙烯醚合成的催化剂
CN103965461A (zh) * 2014-05-19 2014-08-06 上海多纶化工有限公司 炔二醇聚氧乙烯醚的合成方法
US8900802B2 (en) 2013-02-23 2014-12-02 International Business Machines Corporation Positive tone organic solvent developed chemically amplified resist
JP2015018224A (ja) * 2013-06-12 2015-01-29 信越化学工業株式会社 感光性レジスト材料用現像液及びこれを用いたパターン形成方法
JP2015026064A (ja) * 2013-06-19 2015-02-05 信越化学工業株式会社 感光性レジスト材料用現像液及びこれを用いたパターン形成方法
US20160195813A1 (en) * 2015-01-05 2016-07-07 Shin-Etsu Chemical Co., Ltd. Developer and patterning process using the same
US9494867B2 (en) 2013-05-09 2016-11-15 Az Electronic Materials (Luxembourg) S.A.R.L. Rinsing liquid for lithography and pattern forming method using same
WO2021114619A1 (zh) * 2019-12-10 2021-06-17 浙江皇马新材料科技有限公司 一种炔二醇嵌段聚醚及其合成方法

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7129199B2 (en) * 2002-08-12 2006-10-31 Air Products And Chemicals, Inc. Process solutions containing surfactants
TWI259319B (en) 2004-01-23 2006-08-01 Air Prod & Chem Immersion lithography fluids
US20050161644A1 (en) 2004-01-23 2005-07-28 Peng Zhang Immersion lithography fluids
KR100574349B1 (ko) * 2004-02-03 2006-04-27 삼성전자주식회사 세정액 조성물 및 이를 이용한 반도체 장치의 세정방법
US20070299176A1 (en) * 2005-01-28 2007-12-27 Markley Thomas J Photodefinable low dielectric constant material and method for making and using same
US8808976B2 (en) * 2005-06-13 2014-08-19 Tokuyama Corporation Photoresist developer and method for fabricating substrate by using the developer thereof
US20070196773A1 (en) * 2006-02-22 2007-08-23 Weigel Scott J Top coat for lithography processes
US20080264672A1 (en) * 2007-04-26 2008-10-30 Air Products And Chemicals, Inc. Photoimprintable Low Dielectric Constant Material and Method for Making and Using Same
KR102507301B1 (ko) 2015-12-23 2023-03-07 삼성전자주식회사 포토리소그래피용 린스액 및 이를 이용한 집적회로 소자의 제조 방법

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2106180A (en) 1936-07-20 1938-01-25 Du Pont Process of preparing tertiary ethynyl carbinols and product thereby produced
US2163720A (en) 1937-07-20 1939-06-27 Union Carbide & Carbon Res Lab Preparation of acetylenic alcohols
US2250445A (en) 1938-10-01 1941-07-29 Rohm & Haas Process for producing acetylenic alcohols
DE1127347B (de) 1961-06-26 1962-04-12 Air Reduction Verfahren zur Herstellung von Alkinol-AEthylenoxyd-Addukten
IT1039355B (it) 1975-06-24 1979-12-10 Snam Progetti Clicoli acetilenici loro derivati e procedimento per la preparazione degli stessi
US4374920A (en) 1981-07-27 1983-02-22 American Hoechst Corporation Positive developer containing non-ionic surfactants
JPS6232453A (ja) 1985-08-06 1987-02-12 Tokyo Ohka Kogyo Co Ltd ポジ型ホトレジスト用現像液
US5069996A (en) 1989-07-24 1991-12-03 Ocg Microelectronic Materials, Inc. Process for developing selected positive photoresists
JP2673585B2 (ja) 1989-08-01 1997-11-05 富士写真フイルム株式会社 平版印刷用濃縮湿し水
JP2670711B2 (ja) 1990-05-29 1997-10-29 富士写真フイルム株式会社 ネガ型感光性樹脂組成物用現像液
JPH0471894A (ja) 1990-07-12 1992-03-06 Oji Paper Co Ltd 感熱記録材料用塗布液の製造方法
JP2967547B2 (ja) 1990-08-06 1999-10-25 日信化学工業株式会社 シリカ系補強性充填剤
US5098478A (en) 1990-12-07 1992-03-24 Sun Chemical Corporation Water-based ink compositions
JPH0747500B2 (ja) 1991-02-08 1995-05-24 日本セメント株式会社 セメントモルタル・コンクリート硬化体の製造方法
CA2136373A1 (en) 1993-11-29 1995-05-30 Steven W. Medina Ethoxylated acetylenic glycols having low dynamic surface tension
US5753421A (en) 1994-03-31 1998-05-19 Tokyo Ohka Kogya Co., Ltd. Stock developer solutions for photoresists and developer solutions prepared by dilution thereof
US5562762A (en) 1995-05-17 1996-10-08 Lexmark International, Inc. Jet ink with amine surfactant
JPH10150577A (ja) 1996-11-18 1998-06-02 Fuji Photo Film Co Ltd 画像処理装置
JP3880018B2 (ja) 1997-05-16 2007-02-14 ローム・アンド・ハース電子材料株式会社 フォトレジスト用現像液
US5922522A (en) 1998-04-29 1999-07-13 Morton International, Inc. Aqueous developing solutions for reduced developer residue
JP3606738B2 (ja) * 1998-06-05 2005-01-05 東京応化工業株式会社 アッシング後の処理液およびこれを用いた処理方法

Cited By (45)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7348300B2 (en) 1999-05-04 2008-03-25 Air Products And Chemicals, Inc. Acetylenic diol ethylene oxide/propylene oxide adducts and processes for their manufacture
US20050176605A1 (en) * 1999-05-04 2005-08-11 Lassila Kevin R. Acetylenic diol ethylene oxide/propylene oxide adducts and processes for their manufacture
US6641986B1 (en) * 2002-08-12 2003-11-04 Air Products And Chemicals, Inc. Acetylenic diol surfactant solutions and methods of using same
US20040029395A1 (en) * 2002-08-12 2004-02-12 Peng Zhang Process solutions containing acetylenic diol surfactants
US20080063984A1 (en) * 2002-08-12 2008-03-13 Air Products And Chemicals, Inc. Process Solutions Containing Surfactants
US20040204328A1 (en) * 2002-08-12 2004-10-14 Peng Zhang Process solutions containing surfactants
US7521405B2 (en) * 2002-08-12 2009-04-21 Air Products And Chemicals, Inc. Process solutions containing surfactants
US20040137364A1 (en) * 2002-11-15 2004-07-15 Kim Young H. Protective layers compatible with thick film pastes
US7374859B2 (en) 2002-11-15 2008-05-20 E.I. Du Pont De Nemours And Company Protective layers compatible with thick film pastes
US20080166666A1 (en) * 2002-12-06 2008-07-10 David Herbert Roach Positive imageable thick film composition
US7235517B2 (en) * 2002-12-31 2007-06-26 3M Innovative Properties Company Degreasing compositions
US20070219109A1 (en) * 2002-12-31 2007-09-20 3M Innovative Properties Company Degreasing compositions
US20040127380A1 (en) * 2002-12-31 2004-07-01 3M Innovative Properties Company Degreasing compositions
US20040252970A1 (en) * 2003-06-11 2004-12-16 Samsung Electronics Co., Ltd. Apparatus and method for controlling reverse-play for digital video bitstream
US7437054B2 (en) 2003-06-11 2008-10-14 Samsung Electronics Co., Ltd. Apparatus and method for controlling reverse-play for digital video bitstream
US7452426B2 (en) 2003-10-20 2008-11-18 Air Products And Chemicals, Inc. Process solutions containing surfactants used as post-chemical mechanical planarization treatment
US20050081885A1 (en) * 2003-10-20 2005-04-21 Peng Zhang Process solutions containing surfactants used as post-chemical mechanical planarization treatment
US7208049B2 (en) * 2003-10-20 2007-04-24 Air Products And Chemicals, Inc. Process solutions containing surfactants used as post-chemical mechanical planarization treatment
US20070006894A1 (en) * 2003-10-20 2007-01-11 Peng Zhang Process solutions containing surfactants used as post-chemical mechanical planarization treatment
US20050143270A1 (en) * 2003-10-28 2005-06-30 Sachem, Inc. Cleaning solutions and etchants and methods for using same
US7402373B2 (en) 2004-02-05 2008-07-22 E.I. Du Pont De Nemours And Company UV radiation blocking protective layers compatible with thick film pastes
US7608383B2 (en) 2004-02-05 2009-10-27 E.I. Du Pont De Nemours And Company UV radiation blocking protective layers compatible with thick film pastes
US20080274430A1 (en) * 2004-02-05 2008-11-06 Kim Young H Uv radiation blocking protective layers compatible with thick film pastes
WO2005119372A1 (en) * 2004-05-27 2005-12-15 E.I. Dupont De Nemours And Company Developer for a photopolymer protective layer
US20100261116A1 (en) * 2004-05-27 2010-10-14 Kim Young H Developer for a photopolymer protective layer
US20080098933A1 (en) * 2006-10-25 2008-05-01 Wacker Polymer Systems Gmbh & Co. Kg Dispersion powder compositions comprising gemini surfactants
US9334388B2 (en) 2006-10-25 2016-05-10 Wacker Chemie Ag Dispersion powder compositions comprising gemini surfactants
US20100159400A1 (en) * 2008-12-24 2010-06-24 Samsung Electronics Co., Ltd. Composition for removing a photoresist pattern and method of forming a metal pattern using the composition
US20140011359A1 (en) * 2011-03-21 2014-01-09 Basf Se Aqueous, nitrogen-free cleaning composition and its use for removing residues and contaminants from semiconductor substrates suitable for manufacturing microelectronic devices
US9275851B2 (en) * 2011-03-21 2016-03-01 Basf Se Aqueous, nitrogen-free cleaning composition and its use for removing residues and contaminants from semiconductor substrates suitable for manufacturing microelectronic devices
CN102304029A (zh) * 2011-04-11 2012-01-04 杨朝辉 一种炔二醇和环氧乙烷加合物的合成方法
CN102787035A (zh) * 2011-05-18 2012-11-21 奇美实业股份有限公司 洗净液组成物及基板的洗净方法
CN102839064A (zh) * 2011-06-20 2012-12-26 奇美实业股份有限公司 洗净液组成物
US8900802B2 (en) 2013-02-23 2014-12-02 International Business Machines Corporation Positive tone organic solvent developed chemically amplified resist
CN103242143A (zh) * 2013-04-18 2013-08-14 岳阳市英泰合成材料有限公司 一种炔醇烷氧基化的生产工艺
CN103240124A (zh) * 2013-04-18 2013-08-14 岳阳市英泰合成材料有限公司 一种用于炔醇烷氧基化的催化剂
US9494867B2 (en) 2013-05-09 2016-11-15 Az Electronic Materials (Luxembourg) S.A.R.L. Rinsing liquid for lithography and pattern forming method using same
JP2015018224A (ja) * 2013-06-12 2015-01-29 信越化学工業株式会社 感光性レジスト材料用現像液及びこれを用いたパターン形成方法
JP2015026064A (ja) * 2013-06-19 2015-02-05 信越化学工業株式会社 感光性レジスト材料用現像液及びこれを用いたパターン形成方法
CN103965461A (zh) * 2014-05-19 2014-08-06 上海多纶化工有限公司 炔二醇聚氧乙烯醚的合成方法
CN103965462A (zh) * 2014-05-19 2014-08-06 上海多纶化工有限公司 用于炔二醇聚氧乙烯醚合成的催化剂
US20160195813A1 (en) * 2015-01-05 2016-07-07 Shin-Etsu Chemical Co., Ltd. Developer and patterning process using the same
US9645498B2 (en) * 2015-01-05 2017-05-09 Shin-Etsu Chemical Co., Ltd. Developer and patterning process using the same
TWI584084B (zh) * 2015-01-05 2017-05-21 信越化學工業股份有限公司 顯影液及使用該顯影液之圖案形成方法
WO2021114619A1 (zh) * 2019-12-10 2021-06-17 浙江皇马新材料科技有限公司 一种炔二醇嵌段聚醚及其合成方法

Also Published As

Publication number Publication date
US6455234B1 (en) 2002-09-24

Similar Documents

Publication Publication Date Title
US6455234B1 (en) Acetylenic diol ethylene oxide/propylene oxide adducts and their use in photoresist developers
US20040071967A1 (en) Microcapsule containing phase-change material and nucleating agent
EP2441744B1 (en) Acetylenic diol ethylene oxide/propylene oxide adducts and their use
US6313182B1 (en) Acetylenic diol ethylene oxide/propylene oxide adducts and processes for their manufacture
US6864395B2 (en) Acetylenic diol ethylene oxide/propylene oxide adducts and processes for their manufacture
US7348300B2 (en) Acetylenic diol ethylene oxide/propylene oxide adducts and processes for their manufacture
KR100541790B1 (ko) 글리시딜에테르 캡핑된 아세틸렌디올 에톡실레이트계면활성제
US6281170B1 (en) Surface tension reduction with N,N,N'-trialkkyl ureas
US6127101A (en) Alkylated aminoalkylpiperazine surfactants and their use in photoresist developers
EP0916392A1 (en) Surface tension reduction with alkylated polyamines
JPH11310661A (ja) N,n′―ジアルキルアルキレンジアミンによる表面張力の低下
KR100309603B1 (ko) 알킬화 아미노에테르 계면활성제
JPH0640818A (ja) 農薬の水性安定分散液組成物
JP2000334287A (ja) アルキルホルムアミド界面活性剤
JP2589502B2 (ja) 染料又は顔料の分散方法

Legal Events

Date Code Title Description
AS Assignment

Owner name: AIR PRODUCTS AND CHEMICALS, INC., PENNSYLVANIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:LASSILA, KEVIN RODNEY;UHRIN, PAULA ANN;REEL/FRAME:012411/0217

Effective date: 20011217

AS Assignment

Owner name: AIR PRODUCTS AND CHEMICALS, INC., PENNSYLVANIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:SCHWARTZ, JOEL;REEL/FRAME:012859/0863

Effective date: 20020419

STCF Information on status: patent grant

Free format text: PATENTED CASE

FPAY Fee payment

Year of fee payment: 4

FEPP Fee payment procedure

Free format text: PAYOR NUMBER ASSIGNED (ORIGINAL EVENT CODE: ASPN); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

AS Assignment

Owner name: FUJIFILM ELECTRONIC MATERIALS USA INC., RHODE ISLA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:AIR PRODUCTS AND CHEMICALS, INC.;REEL/FRAME:023319/0703

Effective date: 20070830

FPAY Fee payment

Year of fee payment: 8

FEPP Fee payment procedure

Free format text: PAYER NUMBER DE-ASSIGNED (ORIGINAL EVENT CODE: RMPN); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Free format text: PAYOR NUMBER ASSIGNED (ORIGINAL EVENT CODE: ASPN); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

AS Assignment

Owner name: AIR PRODUCTS AND CHEMICALS, INC., PENNSYLVANIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:FUFIFILM ELECTRONIC MATERIALS USA, INC.;REEL/FRAME:026274/0007

Effective date: 20110420

AS Assignment

Owner name: AIR PRODUCTS AND CHEMICALS, INC., PENNSYLVANIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:FUJIFILM ELECTRONIC MATERIALS USA, INC.;REEL/FRAME:026328/0755

Effective date: 20110411

FPAY Fee payment

Year of fee payment: 12

AS Assignment

Owner name: EVONIK DEGUSSA GMBH, GERMANY

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:AIR PRODUCTS AND CHEMICALS, INC.;REEL/FRAME:042374/0760

Effective date: 20170103