US20020102365A1 - Adhesion of diffusion barrier and fluorinated silicon dioxide using hydrogen based preclean technology - Google Patents

Adhesion of diffusion barrier and fluorinated silicon dioxide using hydrogen based preclean technology Download PDF

Info

Publication number
US20020102365A1
US20020102365A1 US10/097,413 US9741302A US2002102365A1 US 20020102365 A1 US20020102365 A1 US 20020102365A1 US 9741302 A US9741302 A US 9741302A US 2002102365 A1 US2002102365 A1 US 2002102365A1
Authority
US
United States
Prior art keywords
silicon oxide
oxide film
halogen
substrate
hydrogen
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/097,413
Inventor
Murali Narasimhan
Vikram Pavate
Kenny Ngan
Xiangbing Li
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to US10/097,413 priority Critical patent/US20020102365A1/en
Assigned to APPLIED MATERIALS, INC. reassignment APPLIED MATERIALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: NARASIMHAN, MURALI, PAVATE, VIKRAM, LI, XIANGBING, NGAN, KENNY KING-TAI
Publication of US20020102365A1 publication Critical patent/US20020102365A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02131Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being halogen doped silicon oxides, e.g. FSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31629Deposition of halogen doped silicon oxide, e.g. fluorine doped silicon oxide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers

Definitions

  • the present invention generally relates to deposition of films onto a substrate. More particularly, the present invention relates to deposition of diffusion barriers and fluorinated silicon glass.
  • low dielectric constant films are particularly desirable for intermetal dielectric (IMD) layers to reduce the RC time delay of the interconnect metallization being covered to prevent crosstalk between the different levels of metallization, and to reduce device power consumption.
  • IMD intermetal dielectric
  • fluorine in silicon oxide layers helps to solve common problems encountered in fabricating smaller geometry devices, such as filling closely spaced gaps between metal or polysilicon lines deposited over semiconductor structures. It is believed that because fluorine is an etching species, the introduction of fluorine during deposition of a silicon oxide film introduces an etching effect on the growing film. The simultaneous deposition/etching effect allows FSG films to have improved gap-filling capabilities such that the films are able to adequately cover adjacent metal layers having an aspect ratio of 1.8 or more. Thus, manufacturers desire to include fluorine in various dielectric layers and particularly in intermetal dielectric layers in multilevel structures.
  • Current integrated circuits generally include various formations of multilevel metal structures that form a high-conductivity, thin-film network fabricated above the silicon surface to connect various active devices through specific electrical paths.
  • openings are etched in the intermetal dielectric layer, such as the doped silicon dioxide film, that separates the substrate or underlying conductive thin film from the overlying conductive thin film.
  • a conductive material such as copper, aluminum or another metal, is then used to fill the opening and make a connection to the silicon substrate or underlying conductive thin film.
  • the impedance to current flow between the silicon and overlying connecting metal layer or between the underlying and overlying connecting metal layers should be as low as possible.
  • Diffusion barriers play a prominent role in the formation of multilevel metal structures which are present in many integrated circuits. Diffusion of materials between adjacent layers in semiconductor devices is a particular concern to those in the semiconductor industry. Such diffusion or intermixing may be prevented by sandwiching another material or stack of materials between the layers. The role of this third material or stack of materials is to prevent or retard the diffusion of the two materials into each other and hence the layer is often referred to as a diffusion barrier.
  • a diffusion barrier layer may comprise a tantalum layer, a tantalum nitride layer, a tantalum/tantalum nitride stack or other combinations of diffusion barrier materials.
  • the diffusion barrier layer is commonly deposited over the doped silicon oxide film after openings for interconnect structures (contacts or vias) have been etched in the doped silicon oxide film.
  • a metal, such as copper, is then deposited over the diffusion barrier to fill the interconnect feature.
  • an anneal step may be used to repair damage to a substrate after a plasma processing step.
  • a FSG film is subjected to a temperature greater than about 350° C.
  • loosely-bonded (dangling bonds) fluorine atoms and residual fluorine atoms tend to be released from the FSG film.
  • the released fluorine atoms from the FSG film react with the tantalum component of the tantalum nitride barrier layer and form volatile TaF 2 .
  • TaF 2 formation increases the resistance of the interconnect structure and causes significant losses in the adhesion properties between the tantalum nitride layer and the FSG film.
  • the loss in adhesion properties causes the tantalum nitride barrier layer to peel off during subsequent processing of the substrate, resulting in the formation of defects.
  • the released fluorine atoms react with the titanium to form TiF, which leads to defect formations on the substrate as TiF 2 .
  • U.S. Pat. No. 5,763,010 by Guo et al, hereby incorporated by reference, illustrates an attempt to stabilize a halogen-doped silicon oxide film and to reduce halogen atoms migration and reaction with adjacent films during subsequent processing.
  • the deposited halogen-doped silicon oxide film is subjected to a degassing step in which the film is briefly heated to a temperature of between about 300° C. and about 500° C. for between about 35 seconds and about 50 seconds before deposition of the barrier layer.
  • the heat degassing treatment removes loosely bonded halogen atoms.
  • the heat degassing treatment may produce more loosely bonded halogen atoms in the halogen-doped silicon oxide film when the substrate has been heated for a longer period of time than the optimal heat degassing treatment time. Furthermore, when the substrate has been heated for a shorter period of time than the optimal heat degassing treatment time, the heat degassing treatment may remove an insufficient amount of loosely bonded halogen atoms in the halogen-doped silicon oxide film. Also, it is generally preferred to minimize the substrate's exposure to a heated environment.
  • the present invention generally provides a method for stabilizing a halogen-doped silicon oxide film and preventing loosely bonded halogen atoms from reacting with components of the barrier layer during subsequent processing of the substrate.
  • the invention provides a hydrogen plasma treatment of the halogen-doped silicon oxide film without subjecting the substrate to a heated environment that may damage the substrate and the structures formed on the substrate.
  • the invention also improves the adhesion strength between the halogen-doped silicon oxide film and the barrier layer.
  • the hydrogen plasma treatment can be practiced in a variety of processing chambers of an integrated process sequence, including pre-clean chambers, physical vapor deposition chambers, chemical vapor deposition chambers, etch chambers and other plasma processing chambers.
  • the invention provides a method for treating a halogen-doped silicon oxide film, particularly a fluorinated silicon oxide film, deposited on a substrate, comprising exposing the halogen-doped silicon oxide film to a hydrogen plasma.
  • the hydrogen plasma treatment is carried out in a pre-clean chamber, and the substrate is transferred without breaking vacuum to another chamber used for depositing the diffusion barrier film.
  • a diffusion barrier film such as tantalum nitride, is then deposited over the treated halogen-doped silicon oxide film.
  • the hydrogen plasma reactive cleaning process removes the loosely bonded halogen atoms from the silicon oxide film and provides a stable structure for the remaining halogen-doped silicon oxide film.
  • the resulting film does not react with the barrier material and retains its adhesive properties with the barrier film.
  • Another aspect of the present invention provides a method for treating a fluorinated silicon oxide film deposited on a substrate, comprising reactive cleaning the fluorinated silicon oxide film using a hydrogen plasma.
  • the hydrogen plasma comprises a plasma of a processing gas comprising hydrogen and a carrier gas, wherein the processing gas contains between about 5% and about 50% hydrogen.
  • the fluorinated silicon oxide film is subjected to the hydrogen plasma treatment for between about 10 seconds and about 300 seconds to remove the loosely bonded fluorine atoms in the fluorinated silicon oxide film and to stabilize the fluorinated silicon oxide film.
  • the hydrogen plasma treatment improves adhesion of a subsequently deposited barrier film, such as a tantalum nitride film, because there are no loosely bonded fluorine atoms to be released during subsequent high temperature processes to form a refractory fluoride compound between the films.
  • FIG. 1 is a schematic view of a cluster tool system having multiple substrate processing chambers.
  • FIG. 2 is a flowchart showing the hydrogen plasma pre-cleaning step of the present invention along with other process sequence steps that occur before and after the hydrogen plasma pre-cleaning step.
  • FIG. 3 is a cross sectional view of a typical PVD chamber useful for depositing a barrier layer.
  • FIG. 4 provides an exemplary structure that benefits from the present invention.
  • FIG. 5 is a cross sectional view of a typical pre-clean chamber useful for the present invention.
  • FIG. 1 is a schematic view of a cluster tool system having multiple substrate processing chambers.
  • the cluster tool system 100 includes vacuum load-lock chambers 105 and 110 attached to a first stage transfer chamber 115 .
  • the load-lock chambers 105 and 110 maintain vacuum conditions within the first stage transfer chamber 115 while substrates enter and exit system 100 .
  • a first robot 120 transfers substrates between the load-lock chambers 105 and 110 and one or more substrate processing chambers 125 and 130 attached to the first stage transfer chamber 115 .
  • Processing chambers 125 and 130 can be outfitted to perform a number of substrate processing operations such as chemical vapor deposition (CVD), physical vapor deposition (PVD), etch, pre-clean, degas, orientation and other substrate processes.
  • the first robot 120 also transfers substrates to/from one or more transfer chambers 135 disposed between the first stage transfer chamber 115 and a second stage transfer chamber 140 .
  • the transfer chambers 135 are used to maintain ultrahigh vacuum conditions in the second stage transfer chamber 140 while allowing substrates to be transferred between the first stage transfer chamber 115 and the second stage transfer chamber 140 .
  • a second robot 145 transfers substrates between the transfer chambers 135 and a plurality of substrate processing chambers 150 , 155 , 160 and 165 . Similar to processing chambers 125 and 130 , the processing chambers 150 to 165 can be outfitted to perform a variety of substrate processing operations.
  • the processing chamber 150 is a CVD chamber outfitted to deposit a halogen-doped silicon oxide film; the processing chamber 155 is an etching chamber outfitted to etch apertures or opening for interconnect features; the processing chamber 160 is a PVD chamber outfitted to reactively sputter deposit a tantalum nitride film; and the processing chamber 165 is a PVD chamber outfitted to sputter deposit a copper film.
  • the above listed sequence arrangement of the processing chambers is useful for practicing the present invention.
  • a plurality of cluster tool systems may be required to perform all of the processes required to complete manufacturing of an integrated circuit or chip.
  • substrates are brought to vacuum load-lock chambers 105 and 110 by a conveyor belt or robot system (not shown) that operates under the control of a computer program executed by a microprocessor or computer (not shown). Also, the robots 120 and 145 operate under control of the computer program to transfer substrates between the various processing chambers of the cluster tool system 100 .
  • the above-described cluster tool system is mainly for illustrative purposes.
  • Other plasma processing equipment such as electron cyclotron resonance (ECR) plasma processing devices, induction-coupled RF high-density plasma processing devices or the like may be employed as part of the cluster tool system.
  • ECR electron cyclotron resonance
  • the method for forming a halogen-doped silicon oxide layer and barrier layer of the present invention is not limited to any specific apparatus or to any specific plasma excitation method.
  • FIG. 2 is a flowchart showing the hydrogen plasma pre-cleaning step of the present invention along with other process sequence steps that occur before and after the hydrogen plasma pre-cleaning step.
  • the steps shown in FIG. 2 can be executed in response to instructions of a computer program executed by a microprocessor or computer controller for the cluster tool system 100 .
  • a FSG layer or similar halogen-doped silicon oxide layer is deposited over a substrate (step 200 ).
  • the deposition of halogen-doped silicon oxide films can be accomplished through a variety of methods well known in the art.
  • the FSG layer is deposited using a chemical vapor deposition process performed, for example, in the CVD chamber 150 as shown in FIG. 1.
  • the substrate is typically subjected to multiple processing steps to form active devices and other structures as understood by a person of ordinary skill in the art.
  • the halogen-doped silicon oxide layer is planarized (step 205 ) in preparation for deposition of overlying layers.
  • the planarization process can include chemical mechanical polishing (CMP), etching or other similar processes.
  • CMP chemical mechanical polishing
  • etching or other similar processes.
  • openings or apertures for interconnect features, such as contacts and vias, are etched in the halogen-doped silicon oxide layer (step 210 ).
  • the etching process can be carried out in a typical etch chamber, such as the etch chamber 155 as shown in the cluster tool system 100 of FIG. 1.
  • the planarized halogen-doped silicon oxide layer is between about 0.5 microns and about 3.0 microns thick, and the interconnect features have sub-quarter micron openings and aspect ratios (ratio of width to height) greater than 1:1.
  • Steps 205 and 210 produces a patterned substrate having interconnect features to be metallized or filled with layers of materials.
  • the hydrogen plasma pre-cleaning step according to the present invention is performed on the patterned substrate.
  • the substrate is pre-cleaned using a hydrogen plasma (step 215 ) to remove loosely bonded halogen atoms and to stabilize the structure of the halogen-doped silicon oxide layer.
  • the hydrogen atoms in the hydrogen plasma react with the loosely bonded fluorine atoms to form hydrogen fluoride (HF), which is exhausted from the processing chamber. Because the loosely bonded halogen atoms are removed by the pre-cleaning process, the remaining structure of the halogen-doped silicon oxide layer becomes a stable film.
  • the pre-cleaning step can be carried out in any typical plasma processing chamber, the pre-cleaning step is preferably carried out in a pre-clean chamber.
  • the hydrogen plasma pre-cleaning step according to the invention is discussed in more detail infra with reference to a pre-clean chamber shown in FIG. 3.
  • a diffusion barrier layer preferably tantalum nitride
  • the diffusion barrier layer also improves film adhesion between different films, such as a metal film and a silicon oxide film.
  • the tantalum nitride layer is preferably deposited using a PVD chamber outfitted for reactive sputtering which is well known in the art.
  • the diffusion barrier layer has a film thickness between about 50 ⁇ and about 200 ⁇ .
  • FIG. 3 is a cross sectional view of a typical PVD chamber useful for depositing a barrier layer.
  • the PVD chamber 310 generally includes a chamber enclosure 312 , a substrate support member 314 , a target 316 , a shield 318 , a clamp ring 320 , a gas inlet 322 , a gas exhaust 324 , a magnet assembly 326 , an RF power source 328 and a DC power source.
  • a substrate 330 is placed on the substrate support member 314 and a processing gas is introduced through the gas inlet 322 disposed between the edge of the target and the top portion of the shield into a processing region 332 defined by the target 316 , the substrate 330 and the shield 318 .
  • the RF power source 328 supplies an RF power to the target to strike and maintain a plasma of the processing gas in the processing region 332 during processing while the DC power source 334 supplies a DC bias to the substrate support member 314 .
  • the shield 318 is typically grounded during processing.
  • the ions in the plasma bombard the target to sputter material from the target surface.
  • the sputtered material react with ions in the plasma and form the desired film on the surface of the substrate.
  • the processing gas typically comprises argon and nitrogen, wherein argon serves as the primary gas source for the plasma ions that bombard the target 316 and nitrogen primarily reacts with the sputtered atoms (tantalum) from the target 316 to form a tantalum nitride film which is deposited onto the substrate 330 .
  • the substrate is typically annealed at a temperature between about 300° C. and about 500° C. to improve the material properties of the deposited film.
  • a metal layer such as copper is deposited over the diffusion barrier layer to complete the formation of the interconnect feature (step 225 ).
  • the metal layer is between about 6,000 ⁇ and about 10,000 ⁇ thick.
  • the copper deposition can be carried out in a typical PVD chamber or a typical CVD chamber which are well known in the art. The above-described process may be repeated for multi-level integrated circuit structures.
  • the FSG film is pre-cleaned using a hydrogen plasma prior to the deposition of the tantalum nitride barrier layer.
  • the pre-cleaning process can be carried out in a variety of processing chamber, including a PVD chamber, a CVD chamber, an etch chamber and a pre-clean chamber.
  • the pre-cleaning process is carried out using a pre-clean chamber prior to the deposition of the tantalum nitride barrier layer.
  • the invention is described using a pre-clean chamber, it is understood that the invention is applicable to a variety of processing chambers.
  • the pre-cleaning process can be carried out in the same PVD chamber outfitted for deposition of the barrier layer to decreased the time spent transferring substrates between the pre-clean chamber and the PVD chamber.
  • FIG. 5 is a cross sectional view of a typical pre-clean chamber useful for the present invention.
  • An example of a pre-clean chamber useful for the present invention is the Pre-Clean II Chamber available from Applied Materials, Inc., Santa Clara, Cali.
  • the pre-clean chamber 510 has a substrate support member 512 disposed in a chamber enclosure 514 under a quartz dome 516 .
  • the substrate support member 512 typically includes a central pedestal plate 518 disposed within a recess 520 on a quartz insulator plate 522 . During processing, the substrate 524 is placed on the central pedestal plate 518 and contained thereon by locating pin 532 .
  • an RF coil 526 is disposed outside of the quartz dome 516 and connected to an RF power source 524 to strike and maintain a plasma of the process gases within the chamber.
  • a RF match network 530 is provided to match the RF power source 524 and the RF coil 526 .
  • the substrate support member 512 is connected to a DC power source 528 that provides a bias to the substrate support member 512 .
  • the patterned or etched substrate is preferably pre-cleaned using a hydrogen plasma in the pre-clean chamber prior to the deposition of a barrier layer.
  • the substrate is transferred into the pre-clean chamber after the FSG film has been planarized and the openings of the interconnect features have been formed.
  • the etching of the substrate may be processed in another processing platform or system before the substrate is transferred to a processing platform or system having a pre-clean chamber.
  • a processing gas comprising between about 0% and about 100% hydrogen is introduced into the processing region.
  • the processing gas comprises between about 5% and about 50% hydrogen, with a carrier gas, such as argon or helium, for the remainder of the percentage.
  • a plasma of the processing gas is struck in the processing region to subject the substrate in a hydrogen plasma environment.
  • the hydrogen plasma is generated by applying between about 50 W and about 500 W of plasma coil power from the RF power source 524 to the RF coil 526 and between about 10 W and about 300 W of DC bias power from the DC power source 528 to the substrate support member 512 .
  • the hydrogen plasma is preferably maintained for between about 10 seconds and about 300 seconds to provide sufficient cleaning time for the loosely bonded fluorine to react with the hydrogen to form hydrogen fluoride (HF).
  • the pre-clean chamber is evacuated to exhaust the processing gas and the reacted byproducts from the pre-cleaning process.
  • the barrier layer is then deposited over the cleaned substrate, and the remaining processes outlined in FIG. 2 is then carried out. Because the loosely bonded halogen atoms are removed from halogen-doped silicon oxide layer by the pre-cleaning process, no loosely bonded halogen atoms are released by the annealing process, and the reaction between the tantalum nitride barrier layer and the halogen-doped silicon oxide layer is prevented during the annealing process.
  • FIG. 4 illustrates a simplified cross-sectional view of a CMOS integrated circuit 400 .
  • the CMOS integrated circuit 400 includes NMOS and PMOS transistors 403 and 406 . which are separated and electrically isolated from each other by a field oxide region 420 .
  • Each transistor 403 and 406 comprises a source region 412 , a drain region 415 and a gate region 418 .
  • a premetal dielectric layer 421 separates transistors 403 and 406 from metal layer M1 with connections between metal layer M1 and the transistors made by contacts 424 .
  • Metal layer M1 is one of four metal layers, M1-M4, included in integrated circuit 400 .
  • Each metal layer M1-M4 is separated from adjacent metal layers by respective intermetal dielectric layers IMD1, IMD2 or IMD3. Adjacent metal layers are connected at selected openings by vias 426 . Deposited over metal layer M4 are planarized passivation layers 430 .
  • the present invention may find uses to treat and stabilize each of the dielectric layers shown in integrated circuit 400 , it is particularly useful in treating halogen-doped IMD layers.
  • Physical properties of such halogen-doped layers such as their low dielectric constant and good gap-fill properties, make such halogen-doped films most useful as an insulation layer between adjacent metal layers as shown by IMD layers IMD1-IMD3.
  • IMD layers are between about 0.5 and 3.0 microns thick.
  • the present invention may also be used in treating halogen-doped damascene layers which are included in some integrated circuits.
  • a blanket FSG layer is deposited over a substrate, selectively etched through and then filled with metal and etched back or polished to form metal layers such as layer M1.
  • a second blanket FSG deposition is performed and selectively etched. The etched areas are then filled with metal and etched back or polished to form vias 426 .
  • the simplified integrated circuit 400 is for illustrative purposes only.
  • One of ordinary skill in the art could implement the present method for fabrication of other integrated circuits such as microprocessors, application-specific integrated circuits (ASICS), memory devices, and the like.
  • ASICS application-specific integrated circuits
  • the method of the present invention may be used in the fabrication of integrated circuits using technologies such as BICMOS, NMOS, bipolar and others.

Abstract

The present invention generally provides a method for stabilizing a halogen-doped silicon oxide film, particularly a fluorinated silicon oxide film. The invention also provides a method for preventing loosely bonded halogen atoms from reacting with components of the barrier layer during subsequent processing of the substrate. The invention provides a hydrogen plasma treatment of the halogen-doped silicon oxide film without subjecting the substrate to a heated environment that may damage the substrate and the structures formed on the substrate. The invention also improves the adhesion strength between the halogen-doped silicon oxide film and the barrier layer. Furthermore, the hydrogen plasma treatment can be practiced in a variety of plasma processing chambers of an integrated process sequence, including pre-clean chambers, physical vapor deposition chambers, chemical vapor deposition chambers, etch chambers and other plasma processing chambers.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • This application is a continuation of co-pending U.S. patent application Ser. No. 09/218,703, filed Dec. 22, 1998, which is herein incorporated by reference.[0001]
  • BACKGROUND OF THE INVENTION
  • 1. Field of the Invention [0002]
  • The present invention generally relates to deposition of films onto a substrate. More particularly, the present invention relates to deposition of diffusion barriers and fluorinated silicon glass. [0003]
  • 2. Description of the Related Art [0004]
  • As feature sizes have become smaller and multilevel metallization commonplace in integrated circuits, low dielectric constant films have become increasingly important. Low dielectric constant films are particularly desirable for intermetal dielectric (IMD) layers to reduce the RC time delay of the interconnect metallization being covered to prevent crosstalk between the different levels of metallization, and to reduce device power consumption. [0005]
  • Many approaches to lower dielectric constants have been proposed. One of the more promising solutions is the incorporation of a halogen element, such as fluorine, chlorine or bromine into a silicon oxide layer. Fluorine, the preferred halogen dopant for silicon oxide, lowers the dielectric constant of the silicon oxide film because fluorine is an electronegative atom that decreases the solubility of the overall silicon oxide film. Fluorine-doped silicon oxide films are referred to as fluorosilicate glass films or FSG for short. [0006]
  • In addition to decreasing the dielectric constant, incorporating fluorine in silicon oxide layers also helps to solve common problems encountered in fabricating smaller geometry devices, such as filling closely spaced gaps between metal or polysilicon lines deposited over semiconductor structures. It is believed that because fluorine is an etching species, the introduction of fluorine during deposition of a silicon oxide film introduces an etching effect on the growing film. The simultaneous deposition/etching effect allows FSG films to have improved gap-filling capabilities such that the films are able to adequately cover adjacent metal layers having an aspect ratio of 1.8 or more. Thus, manufacturers desire to include fluorine in various dielectric layers and particularly in intermetal dielectric layers in multilevel structures. [0007]
  • Current integrated circuits generally include various formations of multilevel metal structures that form a high-conductivity, thin-film network fabricated above the silicon surface to connect various active devices through specific electrical paths. During the formation of metal-to-metal and metal-to-silicon contact structures in this thin-film network, openings are etched in the intermetal dielectric layer, such as the doped silicon dioxide film, that separates the substrate or underlying conductive thin film from the overlying conductive thin film. A conductive material, such as copper, aluminum or another metal, is then used to fill the opening and make a connection to the silicon substrate or underlying conductive thin film. Ideally, the impedance to current flow between the silicon and overlying connecting metal layer or between the underlying and overlying connecting metal layers should be as low as possible. [0008]
  • Diffusion barriers play a prominent role in the formation of multilevel metal structures which are present in many integrated circuits. Diffusion of materials between adjacent layers in semiconductor devices is a particular concern to those in the semiconductor industry. Such diffusion or intermixing may be prevented by sandwiching another material or stack of materials between the layers. The role of this third material or stack of materials is to prevent or retard the diffusion of the two materials into each other and hence the layer is often referred to as a diffusion barrier. [0009]
  • With the recent progress in sub-quarter-micron copper interconnect technology, tantalum and tantalum nitride have become popular barrier materials in addition to titanium and titanium nitride. Depending on the application, a diffusion barrier layer may comprise a tantalum layer, a tantalum nitride layer, a tantalum/tantalum nitride stack or other combinations of diffusion barrier materials. The diffusion barrier layer is commonly deposited over the doped silicon oxide film after openings for interconnect structures (contacts or vias) have been etched in the doped silicon oxide film. A metal, such as copper, is then deposited over the diffusion barrier to fill the interconnect feature. [0010]
  • During substrate processing, heat treatment steps in which a substrate is heated to a specified temperature for a specified time are employed for various reasons. For example, an anneal step may be used to repair damage to a substrate after a plasma processing step. However, when a FSG film is subjected to a temperature greater than about 350° C., loosely-bonded (dangling bonds) fluorine atoms and residual fluorine atoms tend to be released from the FSG film. The released fluorine atoms from the FSG film react with the tantalum component of the tantalum nitride barrier layer and form volatile TaF[0011] 2. TaF2 formation increases the resistance of the interconnect structure and causes significant losses in the adhesion properties between the tantalum nitride layer and the FSG film. The loss in adhesion properties causes the tantalum nitride barrier layer to peel off during subsequent processing of the substrate, resulting in the formation of defects. Similarly, for a titanium based barrier layer, the released fluorine atoms react with the titanium to form TiF, which leads to defect formations on the substrate as TiF2.
  • From the discussion above, it can be seen that low dielectric constant films, such as FSG and other halogen-doped silicon oxides, are desirable to use as intermetal dielectric layers in multilevel metal structures. However, there is a need to prevent reactions between the halogen-doped silicon oxides and the adjacent diffusion barrier material. [0012]
  • U.S. Pat. No. 5,763,010, by Guo et al, hereby incorporated by reference, illustrates an attempt to stabilize a halogen-doped silicon oxide film and to reduce halogen atoms migration and reaction with adjacent films during subsequent processing. The deposited halogen-doped silicon oxide film is subjected to a degassing step in which the film is briefly heated to a temperature of between about 300° C. and about 500° C. for between about 35 seconds and about [0013] 50 seconds before deposition of the barrier layer. The heat degassing treatment removes loosely bonded halogen atoms. However, the heat degassing treatment may produce more loosely bonded halogen atoms in the halogen-doped silicon oxide film when the substrate has been heated for a longer period of time than the optimal heat degassing treatment time. Furthermore, when the substrate has been heated for a shorter period of time than the optimal heat degassing treatment time, the heat degassing treatment may remove an insufficient amount of loosely bonded halogen atoms in the halogen-doped silicon oxide film. Also, it is generally preferred to minimize the substrate's exposure to a heated environment.
  • Therefore, there remains a need for a method to stabilize a halogen-doped silicon oxide film and to prevent loosely bonded halogen atoms from reacting with components of the barrier layer during subsequent processing of the substrate without subjecting the substrate to a heated environment. It would be desirable for the method to improve the adhesion strength between the halogen-doped silicon oxide film and the barrier layer. It would be further desirable for the method to be practiced in an integrated process sequence with other substrate processing such that the method can be practiced in a variety of processing chambers, including both physical vapor deposition chambers as well as chemical vapor deposition chambers. [0014]
  • SUMMARY OF THE INVENTION
  • The present invention generally provides a method for stabilizing a halogen-doped silicon oxide film and preventing loosely bonded halogen atoms from reacting with components of the barrier layer during subsequent processing of the substrate. The invention provides a hydrogen plasma treatment of the halogen-doped silicon oxide film without subjecting the substrate to a heated environment that may damage the substrate and the structures formed on the substrate. The invention also improves the adhesion strength between the halogen-doped silicon oxide film and the barrier layer. Furthermore, the hydrogen plasma treatment can be practiced in a variety of processing chambers of an integrated process sequence, including pre-clean chambers, physical vapor deposition chambers, chemical vapor deposition chambers, etch chambers and other plasma processing chambers. [0015]
  • In one aspect, the invention provides a method for treating a halogen-doped silicon oxide film, particularly a fluorinated silicon oxide film, deposited on a substrate, comprising exposing the halogen-doped silicon oxide film to a hydrogen plasma. Preferably, the hydrogen plasma treatment is carried out in a pre-clean chamber, and the substrate is transferred without breaking vacuum to another chamber used for depositing the diffusion barrier film. A diffusion barrier film, such as tantalum nitride, is then deposited over the treated halogen-doped silicon oxide film. The hydrogen plasma reactive cleaning process removes the loosely bonded halogen atoms from the silicon oxide film and provides a stable structure for the remaining halogen-doped silicon oxide film. The resulting film does not react with the barrier material and retains its adhesive properties with the barrier film. [0016]
  • Another aspect of the present invention provides a method for treating a fluorinated silicon oxide film deposited on a substrate, comprising reactive cleaning the fluorinated silicon oxide film using a hydrogen plasma. Preferably, the hydrogen plasma comprises a plasma of a processing gas comprising hydrogen and a carrier gas, wherein the processing gas contains between about 5% and about 50% hydrogen. The fluorinated silicon oxide film is subjected to the hydrogen plasma treatment for between about 10 seconds and about 300 seconds to remove the loosely bonded fluorine atoms in the fluorinated silicon oxide film and to stabilize the fluorinated silicon oxide film. The hydrogen plasma treatment improves adhesion of a subsequently deposited barrier film, such as a tantalum nitride film, because there are no loosely bonded fluorine atoms to be released during subsequent high temperature processes to form a refractory fluoride compound between the films.[0017]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • So that the manner in which the above recited features, advantages and objects of the present invention are attained and can be understood in detail, a more particular description of the invention, briefly summarized above, may be had by reference to the embodiments thereof which are illustrated in the appended drawings. [0018]
  • It is to be noted, however, that the appended drawings illustrate only typical embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments. [0019]
  • FIG. 1 is a schematic view of a cluster tool system having multiple substrate processing chambers. [0020]
  • FIG. 2 is a flowchart showing the hydrogen plasma pre-cleaning step of the present invention along with other process sequence steps that occur before and after the hydrogen plasma pre-cleaning step. [0021]
  • FIG. 3 is a cross sectional view of a typical PVD chamber useful for depositing a barrier layer. [0022]
  • FIG. 4 provides an exemplary structure that benefits from the present invention. [0023]
  • FIG. 5 is a cross sectional view of a typical pre-clean chamber useful for the present invention.[0024]
  • DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENT
  • FIG. 1 is a schematic view of a cluster tool system having multiple substrate processing chambers. The [0025] cluster tool system 100 includes vacuum load- lock chambers 105 and 110 attached to a first stage transfer chamber 115. The load- lock chambers 105 and 110 maintain vacuum conditions within the first stage transfer chamber 115 while substrates enter and exit system 100. A first robot 120 transfers substrates between the load- lock chambers 105 and 110 and one or more substrate processing chambers 125 and 130 attached to the first stage transfer chamber 115. Processing chambers 125 and 130 can be outfitted to perform a number of substrate processing operations such as chemical vapor deposition (CVD), physical vapor deposition (PVD), etch, pre-clean, degas, orientation and other substrate processes. The first robot 120 also transfers substrates to/from one or more transfer chambers 135 disposed between the first stage transfer chamber 115 and a second stage transfer chamber 140.
  • The [0026] transfer chambers 135 are used to maintain ultrahigh vacuum conditions in the second stage transfer chamber 140 while allowing substrates to be transferred between the first stage transfer chamber 115 and the second stage transfer chamber 140. A second robot 145 transfers substrates between the transfer chambers 135 and a plurality of substrate processing chambers 150, 155, 160 and 165. Similar to processing chambers 125 and 130, the processing chambers 150 to 165 can be outfitted to perform a variety of substrate processing operations. For example, the processing chamber 150 is a CVD chamber outfitted to deposit a halogen-doped silicon oxide film; the processing chamber 155 is an etching chamber outfitted to etch apertures or opening for interconnect features; the processing chamber 160 is a PVD chamber outfitted to reactively sputter deposit a tantalum nitride film; and the processing chamber 165 is a PVD chamber outfitted to sputter deposit a copper film. The above listed sequence arrangement of the processing chambers is useful for practicing the present invention. A plurality of cluster tool systems may be required to perform all of the processes required to complete manufacturing of an integrated circuit or chip.
  • During operation, substrates are brought to vacuum load-[0027] lock chambers 105 and 110 by a conveyor belt or robot system (not shown) that operates under the control of a computer program executed by a microprocessor or computer (not shown). Also, the robots 120 and 145 operate under control of the computer program to transfer substrates between the various processing chambers of the cluster tool system 100.
  • The above-described cluster tool system is mainly for illustrative purposes. Other plasma processing equipment, such as electron cyclotron resonance (ECR) plasma processing devices, induction-coupled RF high-density plasma processing devices or the like may be employed as part of the cluster tool system. Additionally, the method for forming a halogen-doped silicon oxide layer and barrier layer of the present invention is not limited to any specific apparatus or to any specific plasma excitation method. [0028]
  • FIG. 2 is a flowchart showing the hydrogen plasma pre-cleaning step of the present invention along with other process sequence steps that occur before and after the hydrogen plasma pre-cleaning step. The steps shown in FIG. 2 can be executed in response to instructions of a computer program executed by a microprocessor or computer controller for the [0029] cluster tool system 100.
  • First, a FSG layer or similar halogen-doped silicon oxide layer is deposited over a substrate (step [0030] 200). The deposition of halogen-doped silicon oxide films can be accomplished through a variety of methods well known in the art. Preferably, the FSG layer is deposited using a chemical vapor deposition process performed, for example, in the CVD chamber 150 as shown in FIG. 1. Before deposition of the halogen-doped layer, however, the substrate is typically subjected to multiple processing steps to form active devices and other structures as understood by a person of ordinary skill in the art.
  • Second, the halogen-doped silicon oxide layer is planarized (step [0031] 205) in preparation for deposition of overlying layers. The planarization process can include chemical mechanical polishing (CMP), etching or other similar processes. Once the halogen-doped silicon oxide layer is planarized, openings or apertures for interconnect features, such as contacts and vias, are etched in the halogen-doped silicon oxide layer (step 210). The etching process can be carried out in a typical etch chamber, such as the etch chamber 155 as shown in the cluster tool system 100 of FIG. 1. Typically, the planarized halogen-doped silicon oxide layer is between about 0.5 microns and about 3.0 microns thick, and the interconnect features have sub-quarter micron openings and aspect ratios (ratio of width to height) greater than 1:1. Steps 205 and 210 produces a patterned substrate having interconnect features to be metallized or filled with layers of materials.
  • Third, the hydrogen plasma pre-cleaning step according to the present invention is performed on the patterned substrate. The substrate is pre-cleaned using a hydrogen plasma (step [0032] 215) to remove loosely bonded halogen atoms and to stabilize the structure of the halogen-doped silicon oxide layer. In the pre-cleaning step, the hydrogen atoms in the hydrogen plasma react with the loosely bonded fluorine atoms to form hydrogen fluoride (HF), which is exhausted from the processing chamber. Because the loosely bonded halogen atoms are removed by the pre-cleaning process, the remaining structure of the halogen-doped silicon oxide layer becomes a stable film. Although the pre-cleaning step can be carried out in any typical plasma processing chamber, the pre-cleaning step is preferably carried out in a pre-clean chamber. The hydrogen plasma pre-cleaning step according to the invention is discussed in more detail infra with reference to a pre-clean chamber shown in FIG. 3.
  • Next, a diffusion barrier layer, preferably tantalum nitride, is deposited (step [0033] 220) to prevent diffusion of silicon into an overlaying metal layer. The diffusion barrier layer also improves film adhesion between different films, such as a metal film and a silicon oxide film. The tantalum nitride layer is preferably deposited using a PVD chamber outfitted for reactive sputtering which is well known in the art. Preferably, the diffusion barrier layer has a film thickness between about 50 Å and about 200 Å.
  • FIG. 3 is a cross sectional view of a typical PVD chamber useful for depositing a barrier layer. The PVD chamber [0034] 310 generally includes a chamber enclosure 312, a substrate support member 314, a target 316, a shield 318, a clamp ring 320, a gas inlet 322, a gas exhaust 324, a magnet assembly 326, an RF power source 328 and a DC power source. During processing, a substrate 330 is placed on the substrate support member 314 and a processing gas is introduced through the gas inlet 322 disposed between the edge of the target and the top portion of the shield into a processing region 332 defined by the target 316, the substrate 330 and the shield 318. The RF power source 328 supplies an RF power to the target to strike and maintain a plasma of the processing gas in the processing region 332 during processing while the DC power source 334 supplies a DC bias to the substrate support member 314. The shield 318 is typically grounded during processing. During deposition, the ions in the plasma bombard the target to sputter material from the target surface. The sputtered material react with ions in the plasma and form the desired film on the surface of the substrate. For deposition of a barrier film, such as tantalum nitride, the processing gas typically comprises argon and nitrogen, wherein argon serves as the primary gas source for the plasma ions that bombard the target 316 and nitrogen primarily reacts with the sputtered atoms (tantalum) from the target 316 to form a tantalum nitride film which is deposited onto the substrate 330. After deposition of the barrier film, the substrate is typically annealed at a temperature between about 300° C. and about 500° C. to improve the material properties of the deposited film.
  • Lastly, a metal layer, such as copper, is deposited over the diffusion barrier layer to complete the formation of the interconnect feature (step [0035] 225). Preferably, the metal layer is between about 6,000 Å and about 10,000 Å thick. The copper deposition can be carried out in a typical PVD chamber or a typical CVD chamber which are well known in the art. The above-described process may be repeated for multi-level integrated circuit structures.
  • According to the present invention, the FSG film is pre-cleaned using a hydrogen plasma prior to the deposition of the tantalum nitride barrier layer. The pre-cleaning process can be carried out in a variety of processing chamber, including a PVD chamber, a CVD chamber, an etch chamber and a pre-clean chamber. Preferably, the pre-cleaning process is carried out using a pre-clean chamber prior to the deposition of the tantalum nitride barrier layer. Although the invention is described using a pre-clean chamber, it is understood that the invention is applicable to a variety of processing chambers. For example, the pre-cleaning process can be carried out in the same PVD chamber outfitted for deposition of the barrier layer to decreased the time spent transferring substrates between the pre-clean chamber and the PVD chamber. [0036]
  • FIG. 5 is a cross sectional view of a typical pre-clean chamber useful for the present invention. An example of a pre-clean chamber useful for the present invention is the Pre-Clean II Chamber available from Applied Materials, Inc., Santa Clara, Cali. Generally, the [0037] pre-clean chamber 510 has a substrate support member 512 disposed in a chamber enclosure 514 under a quartz dome 516. The substrate support member 512 typically includes a central pedestal plate 518 disposed within a recess 520 on a quartz insulator plate 522. During processing, the substrate 524 is placed on the central pedestal plate 518 and contained thereon by locating pin 532. Preferably, an RF coil 526 is disposed outside of the quartz dome 516 and connected to an RF power source 524 to strike and maintain a plasma of the process gases within the chamber. Generally, a RF match network 530 is provided to match the RF power source 524 and the RF coil 526. Typically, the substrate support member 512 is connected to a DC power source 528 that provides a bias to the substrate support member 512.
  • According to the invention, the patterned or etched substrate is preferably pre-cleaned using a hydrogen plasma in the pre-clean chamber prior to the deposition of a barrier layer. Preferably, the substrate is transferred into the pre-clean chamber after the FSG film has been planarized and the openings of the interconnect features have been formed. The etching of the substrate may be processed in another processing platform or system before the substrate is transferred to a processing platform or system having a pre-clean chamber. Once the substrate is positioned for processing in the pre-clean chamber, a processing gas comprising between about 0% and about 100% hydrogen is introduced into the processing region. Preferably, the processing gas comprises between about 5% and about 50% hydrogen, with a carrier gas, such as argon or helium, for the remainder of the percentage. A plasma of the processing gas is struck in the processing region to subject the substrate in a hydrogen plasma environment. Preferably, the hydrogen plasma is generated by applying between about 50 W and about 500 W of plasma coil power from the [0038] RF power source 524 to the RF coil 526 and between about 10 W and about 300 W of DC bias power from the DC power source 528 to the substrate support member 512. The hydrogen plasma is preferably maintained for between about 10 seconds and about 300 seconds to provide sufficient cleaning time for the loosely bonded fluorine to react with the hydrogen to form hydrogen fluoride (HF). Once the pre-cleaning process is completed, the pre-clean chamber is evacuated to exhaust the processing gas and the reacted byproducts from the pre-cleaning process. The barrier layer is then deposited over the cleaned substrate, and the remaining processes outlined in FIG. 2 is then carried out. Because the loosely bonded halogen atoms are removed from halogen-doped silicon oxide layer by the pre-cleaning process, no loosely bonded halogen atoms are released by the annealing process, and the reaction between the tantalum nitride barrier layer and the halogen-doped silicon oxide layer is prevented during the annealing process.
  • FIG. 4 provides an exemplary structure that benefits from the present invention. FIG. 4 illustrates a simplified cross-sectional view of a CMOS integrated [0039] circuit 400. As shown, the CMOS integrated circuit 400 includes NMOS and PMOS transistors 403 and 406. which are separated and electrically isolated from each other by a field oxide region 420. Each transistor 403 and 406 comprises a source region 412, a drain region 415 and a gate region 418. A premetal dielectric layer 421 separates transistors 403 and 406 from metal layer M1 with connections between metal layer M1 and the transistors made by contacts 424. Metal layer M1 is one of four metal layers, M1-M4, included in integrated circuit 400. Each metal layer M1-M4 is separated from adjacent metal layers by respective intermetal dielectric layers IMD1, IMD2 or IMD3. Adjacent metal layers are connected at selected openings by vias 426. Deposited over metal layer M4 are planarized passivation layers 430.
  • While the present invention may find uses to treat and stabilize each of the dielectric layers shown in [0040] integrated circuit 400, it is particularly useful in treating halogen-doped IMD layers. Physical properties of such halogen-doped layers, such as their low dielectric constant and good gap-fill properties, make such halogen-doped films most useful as an insulation layer between adjacent metal layers as shown by IMD layers IMD1-IMD3. Typically, such IMD layers are between about 0.5 and 3.0 microns thick.
  • The present invention may also be used in treating halogen-doped damascene layers which are included in some integrated circuits. In damascene layers, a blanket FSG layer is deposited over a substrate, selectively etched through and then filled with metal and etched back or polished to form metal layers such as layer M1. After the metal layer is deposited, a second blanket FSG deposition is performed and selectively etched. The etched areas are then filled with metal and etched back or polished to form [0041] vias 426.
  • It should be understood that the simplified [0042] integrated circuit 400 is for illustrative purposes only. One of ordinary skill in the art could implement the present method for fabrication of other integrated circuits such as microprocessors, application-specific integrated circuits (ASICS), memory devices, and the like. Additionally, the method of the present invention may be used in the fabrication of integrated circuits using technologies such as BICMOS, NMOS, bipolar and others.
  • While the foregoing is directed to embodiments of the present invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow. [0043]

Claims (20)

1. A method for improving adhesion of a film deposited over a halogen-doped silicon oxide film, comprising:
a) exposing the halogen-doped silicon oxide film to a hydrogen plasma;
b) depositing the film thereover.
2. The method of claim 1, wherein the step of exposing the halogen-doped silicon oxide film to a hydrogen plasma is carried out in a pre-clean chamber.
3. The method of claim 1, wherein the hydrogen plasma comprises a plasma of a processing gas comprising hydrogen and a carrier gas.
4. The method of claim 1, wherein the processing gas comprises between about 5% and about 50% hydrogen.
5. The method of claim 1, wherein the halogen-doped silicon oxide film is exposed to the hydrogen plasma for between about 10 seconds and about 300 seconds.
6. A method for forming a barrier film over a halogen-doped silicon oxide film on a substrate, comprising:
a) exposing the halogen-doped silicon oxide film to a hydrogen plasma; and
b) depositing the barrier film over the halogen-doped silicon oxide film.
7. The method of claim 6, wherein the step of exposing the halogen-doped silicon oxide film to a hydrogen plasma is carried out in a pre-clean chamber.
8. The method of claim 7, wherein the step of depositing the barrier film is carried out in a physical vapor deposition chamber.
9. The method of claim 8, wherein the hydrogen plasma comprises a plasma of a processing gas comprising hydrogen and a carrier gas.
10. The method of claim 9, wherein the processing gas comprises between about 0% and about 100% hydrogen.
11. The method of claim 9, wherein the processing gas comprises between about 5% and about 50% hydrogen.
12. The method of claim 8, wherein the barrier film is deposited using reactive sputtering.
13. The method of claim 6, wherein the halogen-doped silicon oxide film is exposed to the hydrogen plasma for between about 10 seconds and about 300 seconds.
14. A method for treating a fluorinated silicon oxide film deposited on a substrate, comprising:
a) positioning the substrate in a plasma processing chamber; and
b) exposing the fluorinated silicon oxide film using a hydrogen plasma.
15. The method of claim 14, wherein the hydrogen plasma comprises a plasma of a processing gas comprising hydrogen and a carrier gas.
16. The method of claim 15, wherein the processing gas comprises between about 0% to about 100% hydrogen.
17. The method of claim 15, wherein the processing gas comprises between about 5% to about 50% hydrogen.
18. The method of claim 14, further comprising:
c) depositing a barrier film over the fluorinated silicon oxide film.
19. The method of claim 18, wherein the barrier film comprises tantalum nitride.
20. The method of claim 14, wherein the fluorinated silicon oxide film is exposed to the hydrogen plasma for between about 10 seconds and about 300 seconds.
US10/097,413 1998-12-22 2002-03-12 Adhesion of diffusion barrier and fluorinated silicon dioxide using hydrogen based preclean technology Abandoned US20020102365A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US10/097,413 US20020102365A1 (en) 1998-12-22 2002-03-12 Adhesion of diffusion barrier and fluorinated silicon dioxide using hydrogen based preclean technology

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/218,703 US6372301B1 (en) 1998-12-22 1998-12-22 Method of improving adhesion of diffusion layers on fluorinated silicon dioxide
US10/097,413 US20020102365A1 (en) 1998-12-22 2002-03-12 Adhesion of diffusion barrier and fluorinated silicon dioxide using hydrogen based preclean technology

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US09/218,703 Continuation US6372301B1 (en) 1998-12-22 1998-12-22 Method of improving adhesion of diffusion layers on fluorinated silicon dioxide

Publications (1)

Publication Number Publication Date
US20020102365A1 true US20020102365A1 (en) 2002-08-01

Family

ID=22816143

Family Applications (2)

Application Number Title Priority Date Filing Date
US09/218,703 Expired - Lifetime US6372301B1 (en) 1998-12-22 1998-12-22 Method of improving adhesion of diffusion layers on fluorinated silicon dioxide
US10/097,413 Abandoned US20020102365A1 (en) 1998-12-22 2002-03-12 Adhesion of diffusion barrier and fluorinated silicon dioxide using hydrogen based preclean technology

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US09/218,703 Expired - Lifetime US6372301B1 (en) 1998-12-22 1998-12-22 Method of improving adhesion of diffusion layers on fluorinated silicon dioxide

Country Status (5)

Country Link
US (2) US6372301B1 (en)
JP (1) JP2002533922A (en)
KR (1) KR20010099866A (en)
TW (1) TW430902B (en)
WO (1) WO2000038225A1 (en)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030176063A1 (en) * 2002-03-14 2003-09-18 Fujitsu Limited Lamination structure with copper wiring and its manufacture method
US20050136686A1 (en) * 2003-12-17 2005-06-23 Kim Do-Hyung Gap-fill method using high density plasma chemical vapor deposition process and method of manufacturing integrated circuit device
US20080257497A1 (en) * 2001-03-29 2008-10-23 Kabushiki Kaisha Toyota Chuo Kenkyusho Device for manufacturing a silicon structure, and manufacturing method thereof
US7482247B1 (en) * 2004-12-30 2009-01-27 Novellus Systems, Inc. Conformal nanolaminate dielectric deposition and etch bag gap fill process
US7625820B1 (en) 2006-06-21 2009-12-01 Novellus Systems, Inc. Method of selective coverage of high aspect ratio structures with a conformal film

Families Citing this family (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW417249B (en) 1997-05-14 2001-01-01 Applied Materials Inc Reliability barrier integration for cu application
US6372301B1 (en) * 1998-12-22 2002-04-16 Applied Materials, Inc. Method of improving adhesion of diffusion layers on fluorinated silicon dioxide
DE19922557B4 (en) * 1999-05-17 2004-11-04 Infineon Technologies Ag Process for depositing a TaN / Ta two-layer diffusion barrier
US7014887B1 (en) * 1999-09-02 2006-03-21 Applied Materials, Inc. Sequential sputter and reactive precleans of vias and contacts
US6562715B1 (en) 2000-08-09 2003-05-13 Applied Materials, Inc. Barrier layer structure for copper metallization and method of forming the structure
WO2002018653A2 (en) * 2000-08-28 2002-03-07 Applied Materials, Inc. Method for depositing nitride layers
US6733594B2 (en) 2000-12-21 2004-05-11 Lam Research Corporation Method and apparatus for reducing He backside faults during wafer processing
US6764940B1 (en) 2001-03-13 2004-07-20 Novellus Systems, Inc. Method for depositing a diffusion barrier for copper interconnect applications
US6723634B1 (en) * 2002-03-14 2004-04-20 Advanced Micro Devices, Inc. Method of forming interconnects with improved barrier layer adhesion
JP2004103971A (en) * 2002-09-12 2004-04-02 Hitachi High-Technologies Corp Method and apparatus for damascene processing, and damascene structure
US6797642B1 (en) 2002-10-08 2004-09-28 Novellus Systems, Inc. Method to improve barrier layer adhesion
US8298933B2 (en) 2003-04-11 2012-10-30 Novellus Systems, Inc. Conformal films on semiconductor substrates
US7842605B1 (en) 2003-04-11 2010-11-30 Novellus Systems, Inc. Atomic layer profiling of diffusion barrier and metal seed layers
US20040219795A1 (en) * 2003-05-01 2004-11-04 Taiwan Semiconductor Manufacturing Co. Method to improve breakdown voltage by H2 plasma treat
US7250370B2 (en) * 2003-09-19 2007-07-31 Taiwan Semiconductor Manufacturing Company, Ltd. Two step post-deposition treatment of ILD layer for a lower dielectric constant and improved mechanical properties
US7611912B2 (en) * 2004-06-30 2009-11-03 Headway Technologies, Inc. Underlayer for high performance magnetic tunneling junction MRAM
US7959984B2 (en) * 2004-12-22 2011-06-14 Lam Research Corporation Methods and arrangement for the reduction of byproduct deposition in a plasma processing system
US7510634B1 (en) 2006-11-10 2009-03-31 Novellus Systems, Inc. Apparatus and methods for deposition and/or etch selectivity
KR100826775B1 (en) 2006-12-27 2008-04-30 동부일렉트로닉스 주식회사 Pre-clean chamber with rf shield
CN104241120B (en) * 2013-06-13 2017-03-22 无锡华润上华科技有限公司 Method for preventing adhesive layer on edge of silicon wafer from falling off
TW201819665A (en) 2016-09-10 2018-06-01 美商應用材料股份有限公司 In-situ pre-clean for selectivity improvement for selective deposition

Citations (49)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4028155A (en) * 1974-02-28 1977-06-07 Lfe Corporation Process and material for manufacturing thin film integrated circuits
US4711698A (en) * 1985-07-15 1987-12-08 Texas Instruments Incorporated Silicon oxide thin film etching process
US4872947A (en) * 1986-12-19 1989-10-10 Applied Materials, Inc. CVD of silicon oxide using TEOS decomposition and in-situ planarization process
US5000819A (en) * 1988-11-30 1991-03-19 Plessey Overseas Limited Metal surface cleaning processes
US5232871A (en) * 1990-12-27 1993-08-03 Intel Corporation Method for forming a titanium nitride barrier layer
US5242530A (en) * 1991-08-05 1993-09-07 International Business Machines Corporation Pulsed gas plasma-enhanced chemical vapor deposition of silicon
US5248636A (en) * 1987-07-16 1993-09-28 Texas Instruments Incorporated Processing method using both a remotely generated plasma and an in-situ plasma with UV irradiation
US5266154A (en) * 1991-04-26 1993-11-30 Sony Corporation Dry etching method
US5306671A (en) * 1990-07-09 1994-04-26 Mitsubishi Denki Kabushiki Kaisha Method of treating semiconductor substrate surface and method of manufacturing semiconductor device including such treating method
US5403434A (en) * 1994-01-06 1995-04-04 Texas Instruments Incorporated Low-temperature in-situ dry cleaning process for semiconductor wafer
US5409543A (en) * 1992-12-22 1995-04-25 Sandia Corporation Dry soldering with hot filament produced atomic hydrogen
US5423941A (en) * 1992-11-18 1995-06-13 Nippondenso Co., Ltd. Dry etching process for semiconductor
US5424246A (en) * 1992-07-31 1995-06-13 Kabushiki Kaisha Toshiba Method of manufacturing semiconductor metal wiring layer by reduction of metal oxide
US5458907A (en) * 1993-02-12 1995-10-17 Nec Corporation Method of manufacturing printed circuit boards having an oxidation proof coating on a copper or copper alloy circuit pattern
US5476182A (en) * 1992-09-08 1995-12-19 Tokyo Electron Limited Etching apparatus and method therefor
US5527718A (en) * 1993-12-28 1996-06-18 Sony Corporation Process for removing impurities from polycide electrode and insulating film using heat
US5545592A (en) * 1995-02-24 1996-08-13 Advanced Micro Devices, Inc. Nitrogen treatment for metal-silicide contact
US5545289A (en) * 1994-02-03 1996-08-13 Applied Materials, Inc. Passivating, stripping and corrosion inhibition of semiconductor substrates
US5572072A (en) * 1992-12-30 1996-11-05 Samsung Electronics Co., Ltd. Semiconductor device having a multi-layer metallization structure
US5607542A (en) * 1994-11-01 1997-03-04 Applied Materials Inc. Inductively enhanced reactive ion etching
US5614270A (en) * 1996-02-09 1997-03-25 National Science Council Method of improving electrical characteristics of a liquid phase deposited silicon dioxide film by plasma treatment
US5629246A (en) * 1995-09-27 1997-05-13 Micron Technology, Inc. Method for forming fluorine-doped glass having low concentrations of free fluorine
US5643834A (en) * 1991-07-01 1997-07-01 Sumitomo Electric Industries, Ltd. Process for manufacturing a semiconductor substrate comprising laminated copper, silicon oxide and silicon nitride layers
US5661093A (en) * 1996-09-12 1997-08-26 Applied Materials, Inc. Method for the stabilization of halogen-doped films through the use of multiple sealing layers
US5660682A (en) * 1996-03-14 1997-08-26 Lsi Logic Corporation Plasma clean with hydrogen gas
US5674373A (en) * 1993-07-16 1997-10-07 Trefimetaux Method for metallizing non-conductive substrates
US5681780A (en) * 1994-05-23 1997-10-28 Fujitsu Limited Manufacture of semiconductor device with ashing and etching
US5725938A (en) * 1994-08-23 1998-03-10 Lucent Technologies Inc. Metallization of ceramic through application of an adherent reducible layer
US5726097A (en) * 1994-07-28 1998-03-10 Sony Corporation Method of forming multilevel interconnections using high density plasma metal clean
US5763010A (en) * 1996-05-08 1998-06-09 Applied Materials, Inc. Thermal post-deposition treatment of halogen-doped films to improve film stability and reduce halogen migration to interconnect layers
US5807785A (en) * 1996-08-02 1998-09-15 Applied Materials, Inc. Low dielectric constant silicon dioxide sandwich layer
US5827778A (en) * 1995-11-28 1998-10-27 Nec Corporation Method of manufacturing a semiconductor device using a silicon fluoride oxide film
US5827785A (en) * 1996-10-24 1998-10-27 Applied Materials, Inc. Method for improving film stability of fluorosilicate glass films
US5834371A (en) * 1997-01-31 1998-11-10 Tokyo Electron Limited Method and apparatus for preparing and metallizing high aspect ratio silicon semiconductor device contacts to reduce the resistivity thereof
US5843847A (en) * 1996-04-29 1998-12-01 Applied Materials, Inc. Method for etching dielectric layers with high selectivity and low microloading
US5874367A (en) * 1992-07-04 1999-02-23 Trikon Technologies Limited Method of treating a semi-conductor wafer
US5876798A (en) * 1997-12-29 1999-03-02 Chartered Semiconductor Manufacturing, Ltd. Method of fluorinated silicon oxide film deposition
US5877087A (en) * 1995-11-21 1999-03-02 Applied Materials, Inc. Low temperature integrated metallization process and apparatus
US5899720A (en) * 1994-12-28 1999-05-04 Nec Corporation Process of fabricating salicide structure from high-purity reproducible cobalt layer without sacrifice of leakage current and breakdown voltage of P-N junction
US5950083A (en) * 1994-09-29 1999-09-07 Nec Corporation Method for fabricating CMOS transistor with self-aligned silicide (salicide) structure
US5970378A (en) * 1996-09-03 1999-10-19 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-step plasma treatment process for forming low resistance titanium nitride layer
US5994778A (en) * 1998-09-18 1999-11-30 Advanced Micro Devices, Inc. Surface treatment of low-k SiOF to prevent metal interaction
US6015761A (en) * 1996-06-26 2000-01-18 Applied Materials, Inc. Microwave-activated etching of dielectric layers
US6033537A (en) * 1996-12-26 2000-03-07 Kabushiki Kaisha Toshiba Sputtering target and method of manufacturing a semiconductor device
US6103601A (en) * 1995-10-26 2000-08-15 Applied Materials, Inc. Method and apparatus for improving film stability of halogen-doped silicon oxide films
US6107192A (en) * 1997-12-30 2000-08-22 Applied Materials, Inc. Reactive preclean prior to metallization for sub-quarter micron application
US6132564A (en) * 1997-11-17 2000-10-17 Tokyo Electron Limited In-situ pre-metallization clean and metallization of semiconductor wafers
US6140247A (en) * 1995-03-10 2000-10-31 Kabushiki Kaisha Toshiba Semiconductor device manufacturing method
US6372301B1 (en) * 1998-12-22 2002-04-16 Applied Materials, Inc. Method of improving adhesion of diffusion layers on fluorinated silicon dioxide

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09275102A (en) 1996-04-04 1997-10-21 Sony Corp Formation of insulating film
US6310300B1 (en) * 1996-11-08 2001-10-30 International Business Machines Corporation Fluorine-free barrier layer between conductor and insulator for degradation prevention

Patent Citations (50)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4028155A (en) * 1974-02-28 1977-06-07 Lfe Corporation Process and material for manufacturing thin film integrated circuits
US4711698A (en) * 1985-07-15 1987-12-08 Texas Instruments Incorporated Silicon oxide thin film etching process
US4872947A (en) * 1986-12-19 1989-10-10 Applied Materials, Inc. CVD of silicon oxide using TEOS decomposition and in-situ planarization process
US5248636A (en) * 1987-07-16 1993-09-28 Texas Instruments Incorporated Processing method using both a remotely generated plasma and an in-situ plasma with UV irradiation
US5000819A (en) * 1988-11-30 1991-03-19 Plessey Overseas Limited Metal surface cleaning processes
US5306671A (en) * 1990-07-09 1994-04-26 Mitsubishi Denki Kabushiki Kaisha Method of treating semiconductor substrate surface and method of manufacturing semiconductor device including such treating method
US5232871A (en) * 1990-12-27 1993-08-03 Intel Corporation Method for forming a titanium nitride barrier layer
US5266154A (en) * 1991-04-26 1993-11-30 Sony Corporation Dry etching method
US5643834A (en) * 1991-07-01 1997-07-01 Sumitomo Electric Industries, Ltd. Process for manufacturing a semiconductor substrate comprising laminated copper, silicon oxide and silicon nitride layers
US5242530A (en) * 1991-08-05 1993-09-07 International Business Machines Corporation Pulsed gas plasma-enhanced chemical vapor deposition of silicon
US5874367A (en) * 1992-07-04 1999-02-23 Trikon Technologies Limited Method of treating a semi-conductor wafer
US5424246A (en) * 1992-07-31 1995-06-13 Kabushiki Kaisha Toshiba Method of manufacturing semiconductor metal wiring layer by reduction of metal oxide
US5476182A (en) * 1992-09-08 1995-12-19 Tokyo Electron Limited Etching apparatus and method therefor
US5423941A (en) * 1992-11-18 1995-06-13 Nippondenso Co., Ltd. Dry etching process for semiconductor
US5409543A (en) * 1992-12-22 1995-04-25 Sandia Corporation Dry soldering with hot filament produced atomic hydrogen
US5572072A (en) * 1992-12-30 1996-11-05 Samsung Electronics Co., Ltd. Semiconductor device having a multi-layer metallization structure
US5458907A (en) * 1993-02-12 1995-10-17 Nec Corporation Method of manufacturing printed circuit boards having an oxidation proof coating on a copper or copper alloy circuit pattern
US5674373A (en) * 1993-07-16 1997-10-07 Trefimetaux Method for metallizing non-conductive substrates
US5527718A (en) * 1993-12-28 1996-06-18 Sony Corporation Process for removing impurities from polycide electrode and insulating film using heat
US5403434A (en) * 1994-01-06 1995-04-04 Texas Instruments Incorporated Low-temperature in-situ dry cleaning process for semiconductor wafer
US5545289A (en) * 1994-02-03 1996-08-13 Applied Materials, Inc. Passivating, stripping and corrosion inhibition of semiconductor substrates
US5681780A (en) * 1994-05-23 1997-10-28 Fujitsu Limited Manufacture of semiconductor device with ashing and etching
US5726097A (en) * 1994-07-28 1998-03-10 Sony Corporation Method of forming multilevel interconnections using high density plasma metal clean
US5725938A (en) * 1994-08-23 1998-03-10 Lucent Technologies Inc. Metallization of ceramic through application of an adherent reducible layer
US5950083A (en) * 1994-09-29 1999-09-07 Nec Corporation Method for fabricating CMOS transistor with self-aligned silicide (salicide) structure
US5607542A (en) * 1994-11-01 1997-03-04 Applied Materials Inc. Inductively enhanced reactive ion etching
US5899720A (en) * 1994-12-28 1999-05-04 Nec Corporation Process of fabricating salicide structure from high-purity reproducible cobalt layer without sacrifice of leakage current and breakdown voltage of P-N junction
US5545592A (en) * 1995-02-24 1996-08-13 Advanced Micro Devices, Inc. Nitrogen treatment for metal-silicide contact
US6140247A (en) * 1995-03-10 2000-10-31 Kabushiki Kaisha Toshiba Semiconductor device manufacturing method
US5629246A (en) * 1995-09-27 1997-05-13 Micron Technology, Inc. Method for forming fluorine-doped glass having low concentrations of free fluorine
US6103601A (en) * 1995-10-26 2000-08-15 Applied Materials, Inc. Method and apparatus for improving film stability of halogen-doped silicon oxide films
US6374770B1 (en) * 1995-10-26 2002-04-23 Applied Materials, Inc. Apparatus for improving film stability of halogen-doped silicon oxide films
US5877087A (en) * 1995-11-21 1999-03-02 Applied Materials, Inc. Low temperature integrated metallization process and apparatus
US5827778A (en) * 1995-11-28 1998-10-27 Nec Corporation Method of manufacturing a semiconductor device using a silicon fluoride oxide film
US5614270A (en) * 1996-02-09 1997-03-25 National Science Council Method of improving electrical characteristics of a liquid phase deposited silicon dioxide film by plasma treatment
US5660682A (en) * 1996-03-14 1997-08-26 Lsi Logic Corporation Plasma clean with hydrogen gas
US5843847A (en) * 1996-04-29 1998-12-01 Applied Materials, Inc. Method for etching dielectric layers with high selectivity and low microloading
US5763010A (en) * 1996-05-08 1998-06-09 Applied Materials, Inc. Thermal post-deposition treatment of halogen-doped films to improve film stability and reduce halogen migration to interconnect layers
US6015761A (en) * 1996-06-26 2000-01-18 Applied Materials, Inc. Microwave-activated etching of dielectric layers
US5807785A (en) * 1996-08-02 1998-09-15 Applied Materials, Inc. Low dielectric constant silicon dioxide sandwich layer
US5970378A (en) * 1996-09-03 1999-10-19 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-step plasma treatment process for forming low resistance titanium nitride layer
US5661093A (en) * 1996-09-12 1997-08-26 Applied Materials, Inc. Method for the stabilization of halogen-doped films through the use of multiple sealing layers
US5827785A (en) * 1996-10-24 1998-10-27 Applied Materials, Inc. Method for improving film stability of fluorosilicate glass films
US6033537A (en) * 1996-12-26 2000-03-07 Kabushiki Kaisha Toshiba Sputtering target and method of manufacturing a semiconductor device
US5834371A (en) * 1997-01-31 1998-11-10 Tokyo Electron Limited Method and apparatus for preparing and metallizing high aspect ratio silicon semiconductor device contacts to reduce the resistivity thereof
US6132564A (en) * 1997-11-17 2000-10-17 Tokyo Electron Limited In-situ pre-metallization clean and metallization of semiconductor wafers
US5876798A (en) * 1997-12-29 1999-03-02 Chartered Semiconductor Manufacturing, Ltd. Method of fluorinated silicon oxide film deposition
US6107192A (en) * 1997-12-30 2000-08-22 Applied Materials, Inc. Reactive preclean prior to metallization for sub-quarter micron application
US5994778A (en) * 1998-09-18 1999-11-30 Advanced Micro Devices, Inc. Surface treatment of low-k SiOF to prevent metal interaction
US6372301B1 (en) * 1998-12-22 2002-04-16 Applied Materials, Inc. Method of improving adhesion of diffusion layers on fluorinated silicon dioxide

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080257497A1 (en) * 2001-03-29 2008-10-23 Kabushiki Kaisha Toyota Chuo Kenkyusho Device for manufacturing a silicon structure, and manufacturing method thereof
US20030176063A1 (en) * 2002-03-14 2003-09-18 Fujitsu Limited Lamination structure with copper wiring and its manufacture method
US6777323B2 (en) * 2002-03-14 2004-08-17 Fujitsu Limited Lamination structure with copper wiring and its manufacture method
US20050136686A1 (en) * 2003-12-17 2005-06-23 Kim Do-Hyung Gap-fill method using high density plasma chemical vapor deposition process and method of manufacturing integrated circuit device
US7482247B1 (en) * 2004-12-30 2009-01-27 Novellus Systems, Inc. Conformal nanolaminate dielectric deposition and etch bag gap fill process
US7625820B1 (en) 2006-06-21 2009-12-01 Novellus Systems, Inc. Method of selective coverage of high aspect ratio structures with a conformal film
US7863190B1 (en) 2006-06-21 2011-01-04 Novellus Systems, Inc. Method of selective coverage of high aspect ratio structures with a conformal film

Also Published As

Publication number Publication date
WO2000038225A1 (en) 2000-06-29
JP2002533922A (en) 2002-10-08
KR20010099866A (en) 2001-11-09
TW430902B (en) 2001-04-21
US6372301B1 (en) 2002-04-16

Similar Documents

Publication Publication Date Title
US6372301B1 (en) Method of improving adhesion of diffusion layers on fluorinated silicon dioxide
US5378660A (en) Barrier layers and aluminum contacts
KR100326499B1 (en) Thermal post-deposition treatment of halogen-doped films to improve film stability and reduce halogen migration to interconnect layers
US5498768A (en) Process for forming multilayer wiring
US6217721B1 (en) Filling narrow apertures and forming interconnects with a metal utilizing a crystallographically oriented liner layer
JP4738568B2 (en) Plasma treatment for adhesion enhancement and oxidation minimization of carbon-containing layers
US6436819B1 (en) Nitrogen treatment of a metal nitride/metal stack
US6992012B2 (en) Method and apparatus for forming improved metal interconnects
EP1081751A2 (en) Methods of pre-cleaning dielectric layers of substrates
US7192494B2 (en) Method and apparatus for annealing copper films
EP1570517B1 (en) A method for depositing a metal layer on a semiconductor interconnect structure having a capping layer
EP0799903A2 (en) Methods of sputtering a metal onto a substrate and semiconductor processing apparatus
US20030027427A1 (en) Integrated system for oxide etching and metal liner deposition
US6294458B1 (en) Semiconductor device adhesive layer structure and process for forming structure
US6479881B2 (en) Low temperature process for forming intermetal gap-filling insulating layers in silicon wafer integrated circuitry
US7014887B1 (en) Sequential sputter and reactive precleans of vias and contacts
US6518183B1 (en) Hillock inhibiting method for forming a passivated copper containing conductor layer
US6277729B1 (en) Method of manufacturing transistor barrier layer
KR100670618B1 (en) Sequential sputter and reactive precleans of vias and contacts
US6518176B2 (en) Method of selective formation of a barrier layer for a contact level via
US6281584B1 (en) Integrated circuit with improved adhesion between interfaces of conductive and dielectric surfaces
US20040224501A1 (en) Manufacturing method for making tungsten-plug in an intergrated circuit device without volcano phenomena
US6544891B1 (en) Method to eliminate post-CMP copper flake defect
US20020197775A1 (en) Laminating method for forming integrated circuit microelectronic fabrication
WO2002013234A2 (en) Stabilized surface between a fluorosilicate glass dielectric and a liner/barrier layer

Legal Events

Date Code Title Description
AS Assignment

Owner name: APPLIED MATERIALS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:NARASIMHAN, MURALI;PAVATE, VIKRAM;NGAN, KENNY KING-TAI;AND OTHERS;REEL/FRAME:012714/0267;SIGNING DATES FROM 19981217 TO 19981221

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION