US20020005582A1 - Pad structure for copper interconnection and its formation - Google Patents

Pad structure for copper interconnection and its formation Download PDF

Info

Publication number
US20020005582A1
US20020005582A1 US09/532,272 US53227200A US2002005582A1 US 20020005582 A1 US20020005582 A1 US 20020005582A1 US 53227200 A US53227200 A US 53227200A US 2002005582 A1 US2002005582 A1 US 2002005582A1
Authority
US
United States
Prior art keywords
layer
conductive
aluminum
tasin
barrier layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US09/532,272
Inventor
Takeshi Nogami
Susan Chen
Shekhar Pramanick
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Individual
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US09/532,272 priority Critical patent/US20020005582A1/en
Publication of US20020005582A1 publication Critical patent/US20020005582A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/2855Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by physical means, e.g. sputtering, evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28568Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System the conductive layers comprising transition metals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/7681Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving one or more buried masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53214Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being aluminium
    • H01L23/53223Additional layers associated with aluminium layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53233Copper alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05073Single internal layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/05186Material with a principal constituent of the material being a non metallic, non metalloid inorganic material
    • H01L2224/05187Ceramics, e.g. crystalline carbides, nitrides or oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05617Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C
    • H01L2224/05624Aluminium [Al] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01079Gold [Au]

Definitions

  • the present invention relates generally to semiconductors and more specifically to barrier materials and pad structures used in semiconductor devices comprising high conductivity interconnection lines.
  • Conventional semiconductor devices typically are made up of a semiconductor substrate, normally a monocrystalline silicon with a plurality of dielectric and conductive layers formed thereon.
  • An integrated circuit is formed of semiconductor devices connected by a plurality of spaced-apart conductive lines and a plurality of interconnection lines, such as bus lines, word lines and logic interconnection lines.
  • interconnection lines generally constitute a limiting factor in terms of various functional characteristics of the integrated circuit.
  • interconnection lines are made of aluminum or an aluminum-base alloy.
  • the performance of a semiconductor device could be improved by forming the interconnection line of a metal having a higher conductivity than aluminum, thereby increasing current handling capability.
  • copper, copper-base alloys, gold, gold-base alloys, silver and silver-base alloys generally exhibit a higher conductivity than aluminum and aluminum-base alloys, but each has its own drawbacks.
  • One drawback of using copper, for example, is that copper readily diffuses through silicon dioxide, the typical dielectric material employed in the manufacture of semiconductor devices. Moreover, a low cost satisfactory method for etching copper has yet to be developed.
  • Damascene is an art which has been employed for centuries in the fabrication of jewelry, and has recently been adapted for application in the semiconductor industry. Damascene basically involves the formation of a trench or a channel opening that is filled with a metal to form a channel.
  • the traditional etch back technique of providing an interconnection structure involves depositing a metal layer, forming a conductive pattern with interwiring spacings, and filling the interwiring spacings with dielectric material.
  • damascene differs from the traditional etch back technique by forming a pattern of openings in a dielectric layer, which openings are filled in with metal to form a conductive pattern followed by planarization.
  • two channels of conductive materials are positioned in vertically separate planes perpendicular to each other and interconnected by a vertical “via” at the closest point.
  • a plurality of conductive layers are formed over a semiconductor substrate, and the uppermost conductive layer joined to a bonding pad for forming an external electrical connection.
  • conductive layers would be formed by either damascene or dual damascene techniques.
  • the uppermost conductive layer of the integrated circuit which is to be connected to the chip carrier is typically referred to as the wire bonding layer.
  • the wire bonding layer has bonding pads which are used to make external connections by means of electrically conductive wires and external connection electrodes.
  • the most commonly used materials for the wire bonding layer are aluminum or aluminum-base alloys, such as aluminum with 2% copper.
  • the semiconductor device would be heated to above 200° C.
  • a bonding tool coupled to a bonding machine would connect the bonding pads with external connection electrodes using electrically conductive wires.
  • the electrically conductive wires are bonded to the bonding pads by the bonding tool using ultrasonic and thermal energies.
  • Copper or copper-base alloys are not used as wire bonding layers because copper or copper-base alloys tend to oxidize readily at temperature above 200° C. Once oxidized, the bonding pads would not be suitable for wire bonding. Therefore, even though the semiconductor industry is moving towards using copper as the material for interconnection lines, aluminum or aluminum-base alloys continue to be used as the materials for bonding pads.
  • a suitable conductive barrier layer is needed between the aluminum, or aluminum-base alloy, bonding pads and the underlying copper interconnection lines.
  • Such a barrier layer can be conveniently formed by employing a material that is substantially impervious to the diffusion of aluminum atoms therethrough into the copper interconnection lines and to the diffusion of copper atoms therethrough into the aluminum or aluminum-base alloy bonding pads.
  • TiN titanium nitride
  • TaN tantalum nitride
  • TaSiN tantalum silicon nitride
  • the use of TiN would require additional deposition chambers because the deposition of TiN and TaN cannot be performed using the same deposition chamber. The need for additional deposition chambers for TiN deposition undesirably increases the cost and process complexity for the production of semiconductor devices.
  • Conventional TaN typically contains a nitrogen content by atomic weight of 20%.
  • aluminum atoms from aluminum or aluminum-base alloys diffuse readily through conventional TaN, making it unsuitable for use as a conductive barrier layer between aluminum or aluminum-base alloys and copper interconnection lines.
  • a method to form improved TaN layers that can be used as conductive barrier layers between aluminum or aluminum-base alloys and copper interconnection lines has long been sought but has eluded those skilled in the art.
  • the present invention provides tantalum nitride materials that are substantially impervious to the diffusion of aluminum atoms of an aluminum layer therethrough into an underlying conductive layer and the diffusion of atoms of the underlying conductive layer therethrough into the aluminum layer.
  • the present invention provides a method of manufacturing semiconductors having high conductivity interconnection lines, such as copper, by using a tantalum nitride material as a conductive barrier material between aluminum layers and the underlying high conductivity interconnection lines.
  • the present invention further provides a method of manufacturing semiconductors having high conductivity interconnection lines, such as copper, by using tantalum nitride materials which have high nitrogen content by atomic weight as a conductive barrier material between aluminum layers and the underlying high conductivity interconnection lines.
  • the present invention still further provides a method of forming tantalum nitride materials with high nitrogen content by increasing the nitrogen gas flow rate during the deposition of the tantalum nitride material.
  • the present invention also provides a semiconductor device having tantalum nitride materials with high nitrogen content by atomic weight as a conductive barrier material between aluminum layers and the underlying high conductivity interconnection lines formed of a material such as copper.
  • FIG. 1 is a cross-section of semiconductor wafer with a pair of aligned semiconductor channels of conductive materials and a bonding pad disposed over a silicon substrate;
  • FIG. 2 (PRIOR ART) is a physical vapor deposition chamber
  • FIG. 3 is a cross-section of semiconductor wafer with a pair of aligned semiconductor channels of a conductive material and a bonding pad disposed over a silicon substrate manufactured in accordance with the present invention.
  • FIG. 1 PRIOR ART
  • a first channel 104 is shown disposed below a second channel 106 which extends substantially perpendicular to the first channel 104 .
  • a via 108 connects the first and second channels 104 and 106 , which is a part of the second channel 106 .
  • the first channel 104 comprises a first conductive material.
  • the second channel 106 is formed by filling a second channel opening 110 disposed in a second channel oxide layer 112 with a second conductive material.
  • the first and second channels 104 and 106 are in horizontal planes separated vertically by a first stop nitride layer 114 , a via oxide layer 116 , and a thin via nitride layer 117 .
  • the cylindrical via opening 118 forms the cylindrical via 108 when it is filled with the second conductive material.
  • a wire bonding layer 120 is the uppermost conductive layer and is shown disposed over the second channel 106 .
  • the wire bonding layer 120 comprises aluminum or aluminum-base alloys.
  • the second channel 106 and the wire bonding layer 120 are in horizontal planes separated vertically by a second stop nitride layer 126 and an oxide layer 124 .
  • barrier material 128 Also shown disposed around the first channel 104 is barrier material 128 , around the second channel 106 and the cylindrical via 108 is barrier material 130 , and around the wire bonding layer 120 is barrier material 132 .
  • Barrier materials where necessary, are used to prevent electromigration or diffusion of the conductive materials into the adjacent areas of the semiconductor. Tantalum nitride is the most commonly used barrier material for copper channels. Accordingly, barrier material 128 and 130 would be tantalum nitride. In the prior art, since the wire bonding layer comprises aluminum or aluminum-base alloys, the barrier material would be titanium nitride since conventional tantalum nitride is not a suitable barrier material for aluminum or aluminum-base alloys.
  • Chamber 200 can be used to deposit or sputter metal films, such as conductive barrier materials, onto the surface of a silicon substrate 202 .
  • Substrate 202 is disposed over a substrate holder 204 and is positioned face-up in the chamber 200 .
  • a target 206 is shown positioned face-down within the chamber 200 .
  • the target 206 is made of the material that is to be deposited onto the silicon substrate 202 .
  • a shield 208 is positioned within the interior of the chamber 200 to prevent target material from being deposited on the interior walls 209 of the chamber 200 .
  • Chamber 200 also includes inlets (not shown) for receiving gases such as argon and nitrogen that are to be used during the deposition of metal films.
  • a direct current (DC) voltage source 210 is coupled between the target 206 (cathode), and the shields 208 (anode) for generating a plasma using argon gas.
  • DC direct current
  • the ions in the plasma will be attracted to the target 206 as the plasma is formed.
  • particles are sputtered from the surface of the target 206 at a significant kinetic energy.
  • the sputtered particles from target 206 are then deposited onto the silicon substrate 202 in the form of a thin metal film.
  • Chamber 200 can be used to conduct reactive sputtering using more than one element to make up the deposition material.
  • nitrogen gas would be introduced into the chamber 200 during the deposition.
  • the sputter surface of the target 206 is in a nitrided-mode.
  • the target 206 would be formed of tantalum.
  • the nitrogen gas would cause tantalum nitride to be deposited.
  • the target 206 would be formed of tantalum silicon.
  • the nitrogen gas would cause tantalum silicon nitride to be deposited.
  • the nitrided compound e.g., TaN or TaSiN, would be deposited onto the silicon substrate 202 during the physical vapor deposition.
  • copper or copper-base alloys are not used as wire bonding layer because copper or copper-base alloys tend to oxidize readily at the wire bonding temperature which is above 200° C. Once oxidized, the bonding pads would not be suitable for wire bonding because the oxide acts as an insulator. Therefore, aluminum or aluminum-base alloys continue to be used as the materials for bonding pads even in the copper interconnection processes.
  • TaN tantalum nitride
  • TiN titanium nitride
  • the use of TiN as a conductive barrier would require additional deposition chambers because the deposition of TiN and TaN cannot be performed using the same deposition chamber.
  • the need for additional deposition chambers for TiN deposition undesirably increases the cost and process complexity for the production of the semiconductor devices.
  • the present invention provides a method for forming improved tantalum nitride material layers that can be used as conductive barrier layers between aluminum or aluminum-base alloys and high conductivity connection lines formed of material such as copper.
  • FIG. 3 therein is shown the cross-section of a semiconductor wafer 300 with a pair of aligned semiconductor channels of a conductive material, such as copper, disposed over a silicon substrate 102 formed in accordance with the present invention.
  • a conductive material such as copper
  • FIG. 3 is identical to FIG. 1 except that in this preferred embodiment, barrier material 332 is formed of tantalum nitride material such as TaN 2 , a combination of TaN and TaN 2 , TaSiN 2 , a combination of TaSiN and TaSiN 2 , or a combination of the aforegoing with a nitrogen content by atomic weight of between 20% and 40%.
  • the material formed according to the present invention contains a nitrogen content by atomic weight of more than about 30% and less than about 40%.
  • the tantalum nitride material formed is substantially impervious to the diffusion of aluminum atoms therethrough into the second copper channel 106 and the diffusion of copper atoms therethrough into the aluminum bonding pad 122 . Therefore, the tantalum nitride material is suitable to be used as a barrier material between the bonding pad 122 and copper channel 106 .
  • barrier materials 128 and 130 also comprise TaN since TaN would be used for copper interconnection lines. Accordingly, with the present invention, the same deposition chamber can be used for forming barrier material 128 , 130 , and 232 and no additional TiN deposition chamber is required.
  • a conventional first damascene process was used to put down over a production semiconductor wafer 300 a first channel 104 in a first channel oxide layer (not shown) above portions of a semiconductor device which is formed over a silicon substrate 102 .
  • the damascene process is a photolithographic process which uses a mask to define a first channel opening (not shown) in the first channel oxide layer to run in a first direction (which is horizontal in FIG. 1).
  • the first channel opening is then filled with a first conductive material, such as copper, to form the first channel 104 using conventional metal deposition technique, such as physical vapor deposition, chemical vapor deposition, electroplating, or a combination thereof.
  • the stop nitride layer 114 , the via oxide layer 116 , and the via nitride layer 117 would be successively deposited on top of the first channel 104 and the first channel oxide layer using conventional deposition technique.
  • the via photoresist and the via photolithographic process followed by nitride etching of a round via opening 118 in the via nitride layer 117 the basis for the cylindrical via 108 was formed.
  • the subsequent deposition of the second channel oxide layer 112 prepared the way for the second channel 106 to be perpendicular to the first channel 104 .
  • the second damascene process is a photolithographic process which uses a mask to define the second channel opening 110 in the second channel oxide layer 112 . Since the second damascene process uses an anisotropic oxide etch, the etch also forms the cylindrical via opening 118 down to the stop nitride layer 114 . The anisotropic oxide etch etches faster in the vertical direction of FIG.
  • the nitride etch of the stop nitride layer 114 completes the etching steps.
  • the deposition of the barrier material 130 such as a conventional TaN and the second conductive material, such as copper, into second channel opening 110 and via opening 118 forms the second channel 106 and the cylindrical via 108 .
  • the barrier material 130 is deposited using conventional deposition techniques, such as physical vapor deposition, chemical vapor deposition, or a combination thereof.
  • the second conductive material is deposited using conventional metal deposition technique, such as physical vapor deposition, chemical vapor deposition, electroplating, or a combination thereof. Thereafter, a chemical mechanical polishing process is used to complete the conventional connection process.
  • a second stop nitride layer 126 and the wire bonding oxide layer 124 would be successively deposited over the second channel 106 and the second channel oxide layer 112 using conventional deposition techniques.
  • a bonding pad opening 119 would be formed in the wire bonding oxide layer 124 and over second channel 106 .
  • the barrier material 332 is deposited over the sidewalls and the bottom of the bonding pad opening 119 in accordance with the present invention.
  • the barrier material 332 is the tantalum nitride material. It can be deposited using the deposition chamber 200 . Chamber 200 would be pumped down to a vacuum in the milli-Torr (mTorr) range after the semiconductor wafer 300 has been positioned over the substrate support 204 . Argon and nitrogen gases are then introduced into the chamber 200 . A high DC voltage is applied across the target 206 and the shield 208 using DC voltage source 210 to generate a plasma.
  • the nitrogen converts the target 206 into a nitrided-mode so that reactive sputtering can take place which results in the deposition of a layer of TaN 2 or the combination of TaN 2 and TaN over the sidewalls and the bottom of the bonding pad opening 119 .
  • the flow rate of the nitrogen gas would be within the range of about 28 to about 40 sccm (standard cubic centimeters), while the flow rate of the argon gas would be about 45 sccm.
  • the deposition pressure would be within the range of about 5 mTorr to about 20 mTorr, and preferably about 8 mTorr.
  • the DC power supplied by the DC voltage source 210 would be within the range of about 0.5 kW to about 4 kW, and preferably about 2 kW.
  • TaN 2 or the combination of TaN 2 and TaN it is important to maintain a high nitrogen flow rate relative to the argon flow rate. Specifically, TaN 2 or the combination of TaN 2 and TaN will be formed when the ratio of the nitrogen flow rate and the argon flow rate is within the range of about 28/45 to about 40/45. Further, the DC power should not be more than about 4 kW because higher DC power tends to reduce the amount of nitrogen incorporation into the resulting tantalum nitride material, making it unsuitable to act as a conductive barrier between aluminum or aluminum-base alloys and copper.
  • the bonding pad opening 119 is filled with aluminum or aluminum-base alloys followed by a conventional photolithographic and etching process to form the wire bonding layer 120 which comprises a bonding pad 122 .
  • the improved tantalum nitride material can be formed which functions as a conductive barrier material between aluminum or aluminum-base alloys and copper. Therefore, the same deposition chamber can be used for forming barrier material layers for copper interconnection lines without the need for additional TiN deposition chambers.
  • the present invention is applicable to other high conductivity materials such as copper-base alloys, gold, gold-base alloys, silver, and silver-base alloys. Further, the present invention is also applicable to provide a layer of TaSiN 2 or a combination of TaSiN 2 and TaSiN that can be used as conductive barrier materials between aluminum or aluminum-base alloys and copper.
  • tantalum nitride material that can be used as conductive barrier materials between aluminum or aluminum-base alloys and high conductivity materials such as copper.

Abstract

A semiconductor device with high conductivity interconnection lines formed of high conductivity material, such as copper, is manufactured using tantalum nitride material as barrier material between an aluminum layer, such as the wire bonding layer, and the underlying high conductivity interconnection lines. The tantalum nitride material contains high nitrogen content.

Description

    CROSS REFERENCE TO RELATED APPLICATION
  • This is a divisional of copending application Ser. No. 09/132,562 filed on Aug. 11, 1998.[0001]
  • TECHNICAL FIELD
  • The present invention relates generally to semiconductors and more specifically to barrier materials and pad structures used in semiconductor devices comprising high conductivity interconnection lines. [0002]
  • BACKGROUND ART
  • Conventional semiconductor devices typically are made up of a semiconductor substrate, normally a monocrystalline silicon with a plurality of dielectric and conductive layers formed thereon. An integrated circuit is formed of semiconductor devices connected by a plurality of spaced-apart conductive lines and a plurality of interconnection lines, such as bus lines, word lines and logic interconnection lines. Such interconnection lines generally constitute a limiting factor in terms of various functional characteristics of the integrated circuit. There exists a need to provide a reliable interconnection structure capable of achieving higher operating speeds, improved signal-to-noise ratio, improved wear characteristics, and improved reliability. [0003]
  • Most interconnection lines are made of aluminum or an aluminum-base alloy. The performance of a semiconductor device could be improved by forming the interconnection line of a metal having a higher conductivity than aluminum, thereby increasing current handling capability. It is known that copper, copper-base alloys, gold, gold-base alloys, silver and silver-base alloys generally exhibit a higher conductivity than aluminum and aluminum-base alloys, but each has its own drawbacks. One drawback of using copper, for example, is that copper readily diffuses through silicon dioxide, the typical dielectric material employed in the manufacture of semiconductor devices. Moreover, a low cost satisfactory method for etching copper has yet to be developed. [0004]
  • One method of forming copper interconnection lines is by using a “damascene” technique. Damascene is an art which has been employed for centuries in the fabrication of jewelry, and has recently been adapted for application in the semiconductor industry. Damascene basically involves the formation of a trench or a channel opening that is filled with a metal to form a channel. The traditional etch back technique of providing an interconnection structure involves depositing a metal layer, forming a conductive pattern with interwiring spacings, and filling the interwiring spacings with dielectric material. Thus, damascene differs from the traditional etch back technique by forming a pattern of openings in a dielectric layer, which openings are filled in with metal to form a conductive pattern followed by planarization. [0005]
  • In a “dual damascene” technique, two channels of conductive materials are positioned in vertically separate planes perpendicular to each other and interconnected by a vertical “via” at the closest point. [0006]
  • According to conventional practices, a plurality of conductive layers are formed over a semiconductor substrate, and the uppermost conductive layer joined to a bonding pad for forming an external electrical connection. In copper interconnection process, conductive layers would be formed by either damascene or dual damascene techniques. The uppermost conductive layer of the integrated circuit which is to be connected to the chip carrier is typically referred to as the wire bonding layer. The wire bonding layer has bonding pads which are used to make external connections by means of electrically conductive wires and external connection electrodes. [0007]
  • The most commonly used materials for the wire bonding layer are aluminum or aluminum-base alloys, such as aluminum with 2% copper. During the wire bonding process, the semiconductor device would be heated to above 200° C. A bonding tool coupled to a bonding machine would connect the bonding pads with external connection electrodes using electrically conductive wires. The electrically conductive wires are bonded to the bonding pads by the bonding tool using ultrasonic and thermal energies. [0008]
  • Copper or copper-base alloys are not used as wire bonding layers because copper or copper-base alloys tend to oxidize readily at temperature above 200° C. Once oxidized, the bonding pads would not be suitable for wire bonding. Therefore, even though the semiconductor industry is moving towards using copper as the material for interconnection lines, aluminum or aluminum-base alloys continue to be used as the materials for bonding pads. [0009]
  • A suitable conductive barrier layer is needed between the aluminum, or aluminum-base alloy, bonding pads and the underlying copper interconnection lines. Such a barrier layer can be conveniently formed by employing a material that is substantially impervious to the diffusion of aluminum atoms therethrough into the copper interconnection lines and to the diffusion of copper atoms therethrough into the aluminum or aluminum-base alloy bonding pads. [0010]
  • It is well known that titanium nitride (TiN) is a suitable conductive barrier material for aluminum, aluminum-base alloys, and copper. However, in copper interconnection processes, conventional tantalum nitride (TaN) is the most commonly used conductive barrier material. Besides TaN, tantalum silicon nitride (TaSiN) can also be used as a conductive barrier material for copper interconnection processes. The use of TiN would require additional deposition chambers because the deposition of TiN and TaN cannot be performed using the same deposition chamber. The need for additional deposition chambers for TiN deposition undesirably increases the cost and process complexity for the production of semiconductor devices. [0011]
  • Conventional TaN typically contains a nitrogen content by atomic weight of 20%. However, aluminum atoms from aluminum or aluminum-base alloys diffuse readily through conventional TaN, making it unsuitable for use as a conductive barrier layer between aluminum or aluminum-base alloys and copper interconnection lines. A method to form improved TaN layers that can be used as conductive barrier layers between aluminum or aluminum-base alloys and copper interconnection lines has long been sought but has eluded those skilled in the art. [0012]
  • DISCLOSURE OF THE INVENTION
  • The present invention provides tantalum nitride materials that are substantially impervious to the diffusion of aluminum atoms of an aluminum layer therethrough into an underlying conductive layer and the diffusion of atoms of the underlying conductive layer therethrough into the aluminum layer. [0013]
  • The present invention provides a method of manufacturing semiconductors having high conductivity interconnection lines, such as copper, by using a tantalum nitride material as a conductive barrier material between aluminum layers and the underlying high conductivity interconnection lines. [0014]
  • The present invention further provides a method of manufacturing semiconductors having high conductivity interconnection lines, such as copper, by using tantalum nitride materials which have high nitrogen content by atomic weight as a conductive barrier material between aluminum layers and the underlying high conductivity interconnection lines. [0015]
  • The present invention still further provides a method of forming tantalum nitride materials with high nitrogen content by increasing the nitrogen gas flow rate during the deposition of the tantalum nitride material. [0016]
  • The present invention also provides a semiconductor device having tantalum nitride materials with high nitrogen content by atomic weight as a conductive barrier material between aluminum layers and the underlying high conductivity interconnection lines formed of a material such as copper. [0017]
  • The above and additional advantages of the present invention will become apparent to those skilled in the art from a reading of the following detailed description when taken in conjunction with the accompanying drawings.[0018]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 (PRIOR ART) is a cross-section of semiconductor wafer with a pair of aligned semiconductor channels of conductive materials and a bonding pad disposed over a silicon substrate; [0019]
  • FIG. 2 (PRIOR ART) is a physical vapor deposition chamber; and [0020]
  • FIG. 3 is a cross-section of semiconductor wafer with a pair of aligned semiconductor channels of a conductive material and a bonding pad disposed over a silicon substrate manufactured in accordance with the present invention.[0021]
  • BEST MODE FOR CARRYING OUT THE INVENTION
  • Referring now to FIG. 1 (PRIOR ART), therein is shown a cross-section of [0022] semiconductor wafer 100 with a pair of aligned semiconductor channels of a conductive material, such as copper, disposed over a silicon substrate 102. A first channel 104 is shown disposed below a second channel 106 which extends substantially perpendicular to the first channel 104. Similarly, a via 108 connects the first and second channels 104 and 106, which is a part of the second channel 106. The first channel 104 comprises a first conductive material. The second channel 106 is formed by filling a second channel opening 110 disposed in a second channel oxide layer 112 with a second conductive material.
  • The first and [0023] second channels 104 and 106 are in horizontal planes separated vertically by a first stop nitride layer 114, a via oxide layer 116, and a thin via nitride layer 117. The cylindrical via opening 118 forms the cylindrical via 108 when it is filled with the second conductive material.
  • A [0024] wire bonding layer 120, typically an aluminum or aluminum-base alloy, is the uppermost conductive layer and is shown disposed over the second channel 106. The wire bonding layer 120 comprises aluminum or aluminum-base alloys.
  • The [0025] second channel 106 and the wire bonding layer 120 are in horizontal planes separated vertically by a second stop nitride layer 126 and an oxide layer 124.
  • Also shown disposed around the [0026] first channel 104 is barrier material 128, around the second channel 106 and the cylindrical via 108 is barrier material 130, and around the wire bonding layer 120 is barrier material 132. Barrier materials, where necessary, are used to prevent electromigration or diffusion of the conductive materials into the adjacent areas of the semiconductor. Tantalum nitride is the most commonly used barrier material for copper channels. Accordingly, barrier material 128 and 130 would be tantalum nitride. In the prior art, since the wire bonding layer comprises aluminum or aluminum-base alloys, the barrier material would be titanium nitride since conventional tantalum nitride is not a suitable barrier material for aluminum or aluminum-base alloys.
  • Referring now to FIG. 2 (PRIOR ART), therein is shown a physical [0027] vapor deposition chamber 200. Chamber 200 can be used to deposit or sputter metal films, such as conductive barrier materials, onto the surface of a silicon substrate 202. Substrate 202 is disposed over a substrate holder 204 and is positioned face-up in the chamber 200. A target 206 is shown positioned face-down within the chamber 200. The target 206 is made of the material that is to be deposited onto the silicon substrate 202. A shield 208 is positioned within the interior of the chamber 200 to prevent target material from being deposited on the interior walls 209 of the chamber 200. Chamber 200 also includes inlets (not shown) for receiving gases such as argon and nitrogen that are to be used during the deposition of metal films. A direct current (DC) voltage source 210 is coupled between the target 206 (cathode), and the shields 208 (anode) for generating a plasma using argon gas. By applying a negative voltage to the target 206, the ions in the plasma will be attracted to the target 206 as the plasma is formed. As the plasma ions strike the target 206, particles are sputtered from the surface of the target 206 at a significant kinetic energy. The sputtered particles from target 206 are then deposited onto the silicon substrate 202 in the form of a thin metal film.
  • [0028] Chamber 200 can be used to conduct reactive sputtering using more than one element to make up the deposition material. In a reactive sputtering process, nitrogen gas would be introduced into the chamber 200 during the deposition. As a result, the sputter surface of the target 206 is in a nitrided-mode. For example, when TaN is to be deposited onto the silicon substrate 202, the target 206 would be formed of tantalum. In the nitrided-mode, the nitrogen gas would cause tantalum nitride to be deposited. Similarly, when TaSiN is to be deposited onto the silicon substrate 202, the target 206 would be formed of tantalum silicon. In the nitrided-mode, the nitrogen gas would cause tantalum silicon nitride to be deposited. The nitrided compound, e.g., TaN or TaSiN, would be deposited onto the silicon substrate 202 during the physical vapor deposition.
  • As explained in the Background Art, copper or copper-base alloys are not used as wire bonding layer because copper or copper-base alloys tend to oxidize readily at the wire bonding temperature which is above 200° C. Once oxidized, the bonding pads would not be suitable for wire bonding because the oxide acts as an insulator. Therefore, aluminum or aluminum-base alloys continue to be used as the materials for bonding pads even in the copper interconnection processes. [0029]
  • In the copper interconnection processes, conventional tantalum nitride (TaN) is the most commonly used conductive barrier. However, aluminum atoms from aluminum or aluminum-base alloys diffuse readily through conventional TaN, making it unsuitable for use as a conductive barrier layer between aluminum or aluminum-base alloys bonding pads and copper interconnection lines. [0030]
  • Although titanium nitride (TiN) is a suitable conductive barrier for aluminum, aluminum-base alloys and copper, the use of TiN as a conductive barrier would require additional deposition chambers because the deposition of TiN and TaN cannot be performed using the same deposition chamber. The need for additional deposition chambers for TiN deposition undesirably increases the cost and process complexity for the production of the semiconductor devices. [0031]
  • The present invention provides a method for forming improved tantalum nitride material layers that can be used as conductive barrier layers between aluminum or aluminum-base alloys and high conductivity connection lines formed of material such as copper. [0032]
  • Referring to FIG. 3, therein is shown the cross-section of a [0033] semiconductor wafer 300 with a pair of aligned semiconductor channels of a conductive material, such as copper, disposed over a silicon substrate 102 formed in accordance with the present invention. For convenience of illustration, like reference numerals are used in FIG. 3 to denote like elements already described in FIG. 1. FIG. 3 is identical to FIG. 1 except that in this preferred embodiment, barrier material 332 is formed of tantalum nitride material such as TaN2, a combination of TaN and TaN2, TaSiN2, a combination of TaSiN and TaSiN2, or a combination of the aforegoing with a nitrogen content by atomic weight of between 20% and 40%. Unlike the conventional tantalum nitride which comprises a nitrogen content by atomic weight of about 20%, the material formed according to the present invention contains a nitrogen content by atomic weight of more than about 30% and less than about 40%. The tantalum nitride material formed is substantially impervious to the diffusion of aluminum atoms therethrough into the second copper channel 106 and the diffusion of copper atoms therethrough into the aluminum bonding pad 122. Therefore, the tantalum nitride material is suitable to be used as a barrier material between the bonding pad 122 and copper channel 106. As described earlier, barrier materials 128 and 130 also comprise TaN since TaN would be used for copper interconnection lines. Accordingly, with the present invention, the same deposition chamber can be used for forming barrier material 128, 130, and 232 and no additional TiN deposition chamber is required.
  • In production, a conventional first damascene process was used to put down over a production semiconductor wafer [0034] 300 a first channel 104 in a first channel oxide layer (not shown) above portions of a semiconductor device which is formed over a silicon substrate 102. The damascene process is a photolithographic process which uses a mask to define a first channel opening (not shown) in the first channel oxide layer to run in a first direction (which is horizontal in FIG. 1). The first channel opening is then filled with a first conductive material, such as copper, to form the first channel 104 using conventional metal deposition technique, such as physical vapor deposition, chemical vapor deposition, electroplating, or a combination thereof. The stop nitride layer 114, the via oxide layer 116, and the via nitride layer 117 would be successively deposited on top of the first channel 104 and the first channel oxide layer using conventional deposition technique.
  • By using the via photoresist and the via photolithographic process followed by nitride etching of a round via [0035] opening 118 in the via nitride layer 117, the basis for the cylindrical via 108 was formed. The subsequent deposition of the second channel oxide layer 112 prepared the way for the second channel 106 to be perpendicular to the first channel 104. The second damascene process is a photolithographic process which uses a mask to define the second channel opening 110 in the second channel oxide layer 112. Since the second damascene process uses an anisotropic oxide etch, the etch also forms the cylindrical via opening 118 down to the stop nitride layer 114. The anisotropic oxide etch etches faster in the vertical direction of FIG. 1 than in the horizontal direction. The nitride etch of the stop nitride layer 114 completes the etching steps. The deposition of the barrier material 130, such as a conventional TaN and the second conductive material, such as copper, into second channel opening 110 and via opening 118 forms the second channel 106 and the cylindrical via 108. The barrier material 130 is deposited using conventional deposition techniques, such as physical vapor deposition, chemical vapor deposition, or a combination thereof. Similarly, the second conductive material is deposited using conventional metal deposition technique, such as physical vapor deposition, chemical vapor deposition, electroplating, or a combination thereof. Thereafter, a chemical mechanical polishing process is used to complete the conventional connection process.
  • Thereafter, a second [0036] stop nitride layer 126 and the wire bonding oxide layer 124 would be successively deposited over the second channel 106 and the second channel oxide layer 112 using conventional deposition techniques. By using a conventional photolithographic and etching process, a bonding pad opening 119 would be formed in the wire bonding oxide layer 124 and over second channel 106.
  • Next, the [0037] barrier material 332 is deposited over the sidewalls and the bottom of the bonding pad opening 119 in accordance with the present invention. In a preferred embodiment, the barrier material 332 is the tantalum nitride material. It can be deposited using the deposition chamber 200. Chamber 200 would be pumped down to a vacuum in the milli-Torr (mTorr) range after the semiconductor wafer 300 has been positioned over the substrate support 204. Argon and nitrogen gases are then introduced into the chamber 200. A high DC voltage is applied across the target 206 and the shield 208 using DC voltage source 210 to generate a plasma. The nitrogen converts the target 206 into a nitrided-mode so that reactive sputtering can take place which results in the deposition of a layer of TaN2 or the combination of TaN2 and TaN over the sidewalls and the bottom of the bonding pad opening 119. In the best mode, the flow rate of the nitrogen gas would be within the range of about 28 to about 40 sccm (standard cubic centimeters), while the flow rate of the argon gas would be about 45 sccm. The deposition pressure would be within the range of about 5 mTorr to about 20 mTorr, and preferably about 8 mTorr. The DC power supplied by the DC voltage source 210 would be within the range of about 0.5 kW to about 4 kW, and preferably about 2 kW.
  • To deposit TaN[0038] 2 or the combination of TaN2 and TaN, it is important to maintain a high nitrogen flow rate relative to the argon flow rate. Specifically, TaN2 or the combination of TaN2 and TaN will be formed when the ratio of the nitrogen flow rate and the argon flow rate is within the range of about 28/45 to about 40/45. Further, the DC power should not be more than about 4 kW because higher DC power tends to reduce the amount of nitrogen incorporation into the resulting tantalum nitride material, making it unsuitable to act as a conductive barrier between aluminum or aluminum-base alloys and copper.
  • It has also been determined that a conductive barrier of 50 angstroms thickness will perform the barrier function satisfactorily. However, due to current bonding impacts which cause physical damage to the conductive barrier, thicknesses of between 400 to 500 angstroms are currently preferred. [0039]
  • After the deposition of [0040] barrier material 332 in the bonding pad opening 119, the bonding pad opening 119 is filled with aluminum or aluminum-base alloys followed by a conventional photolithographic and etching process to form the wire bonding layer 120 which comprises a bonding pad 122.
  • Accordingly, with the present invention, the improved tantalum nitride material can be formed which functions as a conductive barrier material between aluminum or aluminum-base alloys and copper. Therefore, the same deposition chamber can be used for forming barrier material layers for copper interconnection lines without the need for additional TiN deposition chambers. [0041]
  • While the best mode utilizes copper as the conductive material, it should be understood that the present invention is applicable to other high conductivity materials such as copper-base alloys, gold, gold-base alloys, silver, and silver-base alloys. Further, the present invention is also applicable to provide a layer of TaSiN[0042] 2 or a combination of TaSiN2 and TaSiN that can be used as conductive barrier materials between aluminum or aluminum-base alloys and copper.
  • As would be evident to those skilled in the art, besides physical vapor deposition, other deposition techniques, such as chemical vapor deposition, could be used to deposit the tantalum nitride material that can be used as conductive barrier materials between aluminum or aluminum-base alloys and high conductivity materials such as copper. [0043]
  • While the invention has been described in conjunction with a specific best mode, it is to be understood that many alternatives, modifications, and variations will be apparent to those skilled in the art in light of the aforegoing description. Accordingly, it is intended to embrace all such alternatives, modifications, and variations which fall within the spirit and scope of the included claims. All matters set forth herein or shown in the accompanying drawings are to be interpreted in an illustrative and non-limiting sense. [0044]

Claims (16)

The invention claimed is:
1. A semiconductor device, comprising:
a semiconductor substrate;
a conductive layer formed on said semiconductor substrate;
a conductive barrier layer formed on said conductive layer wherein said conductive barrier layer is a tantalum nitride material selected from the group consisting of TaN2, TaSiN2, the combination of TaSiN and TaSiN2, and the combination of TaN2, TaSiN and TaSiN2; and
an aluminum layer formed on said conductive barrier layer wherein said conductive barrier layer is substantially impervious to the diffusion of aluminum atoms therethrough into said conductive layer and the diffusion of atoms of said conductive layer therethrough into said aluminum layer.
2. The semiconductor device according to claim 1, wherein said conductive layer is a metal selected from the group consisting of copper, copper-based alloys, gold, gold-based alloys, silver, and silver-based alloys.
3. The semiconductor device according to claim 1, wherein said conductive barrier layer has a thickness within the range of about 50 to about 2,000 angstroms.
4. A semiconductor device, comprising:
a semiconductor substrate;
a conductive layer formed on said semiconductor substrate;
a conductive barrier layer formed on said conductive layer wherein said conductive barrier layer is a tantalum nitride material selected from the group consisting of TaN2 and TaSiN2; and
an aluminum layer formed on said conductive barrier layer wherein said conductive barrier layer is substantially impervious to the diffusion of aluminum atoms therethrough into said conductive layer and the diffusion of atoms of said conductive layer therethrough into said aluminum layer.
5. The semiconductor device according to claim 4, wherein said tantalum nitride material contains a nitrogen content by atomic weight of more than about 30%.
6. The semiconductor device according to claim 4, wherein said tantalum nitride material contains a nitrogen content by atomic weight of less than about 40%.
7. The semiconductor device according to claim 4, wherein said aluminum layer constitutes a wire bonding layer for external connection thereto.
8. The semiconductor device according to claim 4, wherein said conductive layer is in electrical and physical contact with said conductive barrier layer, and said first conductive barrier layer is in electrical and physical contact with said aluminum layer.
9. A semiconductor device, comprising:
a semiconductor substrate;
a conductive layer formed on said semiconductor substrate, wherein said conductive layer is a metal selected from the group consisting of copper, copper-based alloys, gold, gold-based alloys, silver, and silver-based alloys;
a conductive barrier layer formed on said conductive layer wherein said conductive barrier layer is a tantalum nitride material selected from the group consisting of TaN2, TaSiN2, the combination of TaN2 and TaSiN2, the combination of TaSiN and TaSiN2, and the combination of TaN2, TaSiN and TaSiN2; and
an aluminum layer formed on said conductive barrier layer; wherein said conductive barrier layer is substantially impervious to the diffusion of aluminum atoms therethrough into said conductive layer and the diffusion of atoms of said conductive layer therethrough into said aluminum layer.
10. The semiconductor device according to claim 9, wherein said conductive barrier layer has a thickness greater than 50 angstroms.
11. The semiconductor device according to claim 9, wherein said tantalum nitride material contains a nitrogen content by atomic weight of more than about 30%.
12. The semiconductor device according to claim 9, wherein said tantalum nitride material contains a nitrogen content by atomic weight of less than about 40%.
13. The semiconductor device according to claim 9, wherein said aluminum layer constitutes a wire bonding layer for external connection thereto.
14. The semiconductor device according to claim 9, wherein said conductive layer is in electrical and physical contact with said conductive barrier layer, and said first conductive barrier layer is in electrical and physical contact with said aluminum layer.
15. A semiconductor device, comprising:
a semiconductor substrate;
a conductive layer formed on said semiconductor substrate, wherein said conductive layer is a metal selected from the group consisting of copper, copper-based alloys, gold, gold-based alloys, silver, and silver-based alloys;
a conductive barrier layer formed on said conductive layer wherein said conductive barrier layer is a tantalum nitride material selected from the group consisting of TaN2, TaSiN2, the combination of TaN2 and TaSiN2, the combination of TaSiN and TaSiN2, and the combination of TaN2, TaSiN and TaSiN2, and has a thickness within the range of about 50 to about 2,000 angstroms; and
an aluminum layer formed on said conductive barrier layer wherein said aluminum layer constitutes a wire bonding layer for external connection thereto, said conductive layer is in electrical and physical contact with said conductive barrier layer, and said first conductive barrier layer is in electrical and physical contact with said aluminum layer, and said conductive barrier layer is substantially impervious to the diffusion of aluminum atoms therethrough into said conductive layer and the diffusion of atoms of said conductive layer therethrough into said aluminum layer.
16. The semiconductor device according to claim 15, wherein said conductive barrier layer contains a nitrogen content by atomic weight of more than about 30% and less than about 40%.
US09/532,272 1998-08-11 2000-03-21 Pad structure for copper interconnection and its formation Abandoned US20020005582A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US09/532,272 US20020005582A1 (en) 1998-08-11 2000-03-21 Pad structure for copper interconnection and its formation

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/132,562 US6117769A (en) 1998-08-11 1998-08-11 Pad structure for copper interconnection and its formation
US09/532,272 US20020005582A1 (en) 1998-08-11 2000-03-21 Pad structure for copper interconnection and its formation

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US09/132,562 Division US6117769A (en) 1998-08-11 1998-08-11 Pad structure for copper interconnection and its formation

Publications (1)

Publication Number Publication Date
US20020005582A1 true US20020005582A1 (en) 2002-01-17

Family

ID=22454607

Family Applications (2)

Application Number Title Priority Date Filing Date
US09/132,562 Expired - Lifetime US6117769A (en) 1998-08-11 1998-08-11 Pad structure for copper interconnection and its formation
US09/532,272 Abandoned US20020005582A1 (en) 1998-08-11 2000-03-21 Pad structure for copper interconnection and its formation

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US09/132,562 Expired - Lifetime US6117769A (en) 1998-08-11 1998-08-11 Pad structure for copper interconnection and its formation

Country Status (1)

Country Link
US (2) US6117769A (en)

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20010016415A1 (en) * 1999-11-18 2001-08-23 Taiwan Semiconductor Manufacturing Company Method of improving copper pad adhesion
US20010026952A1 (en) * 1998-09-23 2001-10-04 Manfred Engelhardt Integrated circuit configuration and production method
US6566171B1 (en) * 2001-06-12 2003-05-20 Lsi Logic Corporation Fuse construction for integrated circuit structure having low dielectric constant dielectric material
WO2003079434A2 (en) 2002-03-13 2003-09-25 Freescale Semiconductor, Inc. Semiconductor device having a wire bond pad and method therefor
US20040150112A1 (en) * 2003-01-30 2004-08-05 Nec Electronics Corporation Semiconductor device and method of fabrication same
US20050062159A1 (en) * 2003-09-19 2005-03-24 Han-Choon Lee Semiconductor devices and methods of forming a barrier metal in semiconductor devices
US6909191B2 (en) * 2000-03-27 2005-06-21 Kabushiki Kaisha Toshiba Semiconductor device
US20060030148A1 (en) * 2001-02-02 2006-02-09 Applied Materials, Inc. Formation of a tantalum-nitride layer
US20060148246A1 (en) * 2004-12-30 2006-07-06 Dongbuanam Semiconductor Inc. Method of forming a diffusion barrier layer using a TaSiN layer and method of forming a metal interconnection line using the same
US7172914B1 (en) * 2001-01-02 2007-02-06 Cypress Semiconductor Corporation Method of making uniform oxide layer
US20100108359A1 (en) * 2008-10-31 2010-05-06 Rainer Dohle Connecting wire and method for manufacturing same
US20150130064A1 (en) * 2008-02-22 2015-05-14 International Business Machines Corporation Methods of manufacturing semiconductor devices and a semiconductor structure

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6768198B1 (en) * 1998-11-17 2004-07-27 Advanced Micro Devices, Inc. Method and system for removing conductive lines during deprocessing
US6583057B1 (en) * 1998-12-14 2003-06-24 Motorola, Inc. Method of forming a semiconductor device having a layer deposited by varying flow of reactants
JP3708732B2 (en) 1998-12-25 2005-10-19 Necエレクトロニクス株式会社 Manufacturing method of semiconductor device
TW426980B (en) * 1999-01-23 2001-03-21 Lucent Technologies Inc Wire bonding to copper
US6373135B1 (en) * 2000-09-14 2002-04-16 Infineon Technologies Ag Semiconductor structure and method of fabrication
US6635564B1 (en) * 2000-09-14 2003-10-21 Infineon Technologies Ag Semiconductor structure and method of fabrication including forming aluminum columns
JP2002329722A (en) * 2001-04-27 2002-11-15 Nec Corp Semiconductor device and its manufacturing method
US7098537B2 (en) * 2003-11-21 2006-08-29 International Business Machines Corporation Interconnect structure diffusion barrier with high nitrogen content
US20080014732A1 (en) * 2006-07-07 2008-01-17 Yanping Li Application of PVD W/WN bilayer barrier to aluminum bondpad in wire bonding
US9831122B2 (en) 2012-05-29 2017-11-28 Globalfoundries Inc. Integrated circuit including wire structure, related method and design structure
US10804202B2 (en) 2019-02-18 2020-10-13 Sandisk Technologies Llc Bonded assembly including a semiconductor-on-insulator die and methods for making the same
US10879260B2 (en) 2019-02-28 2020-12-29 Sandisk Technologies Llc Bonded assembly of a support die and plural memory dies containing laterally shifted vertical interconnections and methods for making the same

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5714418A (en) * 1995-11-08 1998-02-03 Intel Corporation Diffusion barrier for electrical interconnects in an integrated circuit

Cited By (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20010026952A1 (en) * 1998-09-23 2001-10-04 Manfred Engelhardt Integrated circuit configuration and production method
US6998338B2 (en) 1998-09-23 2006-02-14 Infineon Technologies Ag Method of producing an integrated circuit configuration
US20040038507A1 (en) * 1998-09-23 2004-02-26 Infineon Technologies Ag Method of producing an integrated circuit configuration
US6828680B2 (en) * 1998-09-23 2004-12-07 Infineon Technologies Ag Integrated circuit configuration using spacers as a diffusion barrier and method of producing such an integrated circuit configuration
US20010016415A1 (en) * 1999-11-18 2001-08-23 Taiwan Semiconductor Manufacturing Company Method of improving copper pad adhesion
US7026721B2 (en) * 1999-11-18 2006-04-11 Taiwan Semiconductor Manufacturing Company, Ltd. Method of improving copper pad adhesion
US6909191B2 (en) * 2000-03-27 2005-06-21 Kabushiki Kaisha Toshiba Semiconductor device
US7172914B1 (en) * 2001-01-02 2007-02-06 Cypress Semiconductor Corporation Method of making uniform oxide layer
US20060030148A1 (en) * 2001-02-02 2006-02-09 Applied Materials, Inc. Formation of a tantalum-nitride layer
US7781326B2 (en) * 2001-02-02 2010-08-24 Applied Materials, Inc. Formation of a tantalum-nitride layer
US6806551B2 (en) 2001-06-12 2004-10-19 Lsi Logic Corporation Fuse construction for integrated circuit structure having low dielectric constant dielectric material
US6566171B1 (en) * 2001-06-12 2003-05-20 Lsi Logic Corporation Fuse construction for integrated circuit structure having low dielectric constant dielectric material
WO2003079434A3 (en) * 2002-03-13 2004-03-11 Motorola Inc Semiconductor device having a wire bond pad and method therefor
US20040036174A1 (en) * 2002-03-13 2004-02-26 Downey Susan H. Semiconductor device having a wire bond pad and method therefor
US6846717B2 (en) 2002-03-13 2005-01-25 Freescale Semiconductor, Inc. Semiconductor device having a wire bond pad and method therefor
KR100979080B1 (en) 2002-03-13 2010-08-31 프리스케일 세미컨덕터, 인크. Semiconductor device having a wire bond pad and method therefor
CN100461397C (en) * 2002-03-13 2009-02-11 飞思卡尔半导体公司 Semiconductor device having a wire bond pad and method therefor
WO2003079434A2 (en) 2002-03-13 2003-09-25 Freescale Semiconductor, Inc. Semiconductor device having a wire bond pad and method therefor
US20040150112A1 (en) * 2003-01-30 2004-08-05 Nec Electronics Corporation Semiconductor device and method of fabrication same
US20080088023A1 (en) * 2003-01-30 2008-04-17 Nec Electronics Corporation Semiconductor device with bonding pad support structure
US7397125B2 (en) * 2003-01-30 2008-07-08 Nec Electronics Corporation Semiconductor device with bonding pad support structure
US20080290516A1 (en) * 2003-01-30 2008-11-27 Nec Electronics Corporation Semiconductor device with bonding pad support structure
US7714449B2 (en) 2003-01-30 2010-05-11 Nec Electronics Corporation Semiconductor device with bonding pad support structure
US7186646B2 (en) * 2003-09-19 2007-03-06 Dongbu Electronics Co., Ltd. Semiconductor devices and methods of forming a barrier metal in semiconductor devices
US20050062159A1 (en) * 2003-09-19 2005-03-24 Han-Choon Lee Semiconductor devices and methods of forming a barrier metal in semiconductor devices
US7645699B2 (en) * 2004-12-30 2010-01-12 Dongbu Electronics Co., Ltd. Method of forming a diffusion barrier layer using a TaSiN layer and method of forming a metal interconnection line using the same
US20060148246A1 (en) * 2004-12-30 2006-07-06 Dongbuanam Semiconductor Inc. Method of forming a diffusion barrier layer using a TaSiN layer and method of forming a metal interconnection line using the same
US20150130064A1 (en) * 2008-02-22 2015-05-14 International Business Machines Corporation Methods of manufacturing semiconductor devices and a semiconductor structure
US20100108359A1 (en) * 2008-10-31 2010-05-06 Rainer Dohle Connecting wire and method for manufacturing same
US8450611B2 (en) * 2008-10-31 2013-05-28 Heraeus Materials Technology Gmbh & Co. Kg Connecting wire and method for manufacturing same

Also Published As

Publication number Publication date
US6117769A (en) 2000-09-12

Similar Documents

Publication Publication Date Title
US6117769A (en) Pad structure for copper interconnection and its formation
US7378338B2 (en) Method of forming an interconnect structure diffusion barrier with high nitrogen content
US5821620A (en) Electromigration resistant metallization structures for microcircuit interconnections with RF-reactively sputtered titanium tungsten and gold
US6479902B1 (en) Semiconductor catalytic layer and atomic layer deposition thereof
US6124203A (en) Method for forming conformal barrier layers
US5814557A (en) Method of forming an interconnect structure
US6228754B1 (en) Method for forming semiconductor seed layers by inert gas sputter etching
US5918149A (en) Deposition of a conductor in a via hole or trench
TWI402887B (en) Structures and methods for integration of ultralow-k dielectrics with improved reliability
US5081064A (en) Method of forming electrical contact between interconnection layers located at different layer levels
US5173449A (en) Metallization process
EP1570517B1 (en) A method for depositing a metal layer on a semiconductor interconnect structure having a capping layer
US6566258B1 (en) Bi-layer etch stop for inter-level via
EP0561132A1 (en) Refractory metal capped low resistivity metal conductor lines and vias formed using PVD and CVD
JPH0653163A (en) Integrated-circuit barrier structure and its manufacture
US6555461B1 (en) Method of forming low resistance barrier on low k interconnect
US8039390B2 (en) Method of manufacturing semiconductor device
US6261946B1 (en) Method for forming semiconductor seed layers by high bias deposition
US6080669A (en) Semiconductor interconnect interface processing by high pressure deposition
EP0402061B1 (en) Metallization process
JPH01130529A (en) Etching-back of tungsten on titanium/titanium nitride layer
US6443743B1 (en) Method for reducing via resistance in small high aspect ratio holes filled using aluminum extrusion
US20030207558A1 (en) Method forming copper containing semiconductor features to prevent thermally induced defects
JP3400353B2 (en) Method for manufacturing semiconductor device
US7825510B2 (en) Method for filling a contact hole and integrated circuit arrangement with contact hole

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION