US10896846B2 - Controlling performance and reliability of conductive regions in a metallization network - Google Patents

Controlling performance and reliability of conductive regions in a metallization network Download PDF

Info

Publication number
US10896846B2
US10896846B2 US16/699,934 US201916699934A US10896846B2 US 10896846 B2 US10896846 B2 US 10896846B2 US 201916699934 A US201916699934 A US 201916699934A US 10896846 B2 US10896846 B2 US 10896846B2
Authority
US
United States
Prior art keywords
trench
dielectric material
liner layer
semiconductor structure
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
US16/699,934
Other versions
US20200118866A1 (en
Inventor
Raghuveer Patlolla
Cornelius Brown Peethala
Chih-Chao Yang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Priority to US16/699,934 priority Critical patent/US10896846B2/en
Assigned to INTERNATIONAL BUSINESS MACHINES CORPORATION reassignment INTERNATIONAL BUSINESS MACHINES CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: PATLOLLA, RAGHUVEER, PEETHALA, CORNELIUS BROWN, YANG, CHIH-CHAO
Publication of US20200118866A1 publication Critical patent/US20200118866A1/en
Application granted granted Critical
Publication of US10896846B2 publication Critical patent/US10896846B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76885By forming conductive members before deposition of protective insulating material, e.g. pillars, studs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76867Barrier, adhesion or liner layers characterized by methods of formation other than PVD, CVD or deposition from a liquids
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials

Definitions

  • the present invention generally relates to fabrication methods and resulting structures for semiconductor devices. More specifically, the present invention relates to methods and structure for controlling performance and reliability of conductive regions in a metallization network.
  • IC components Semiconductor devices and components, which are referred to collectively herein as integrated circuit (IC) components, include a plurality of circuit elements (e.g., transistors, resistors, diodes, capacitors, etc.) communicatively connected together on a semiconductor substrate (i.e., a wafer or a chip). IC components are coupled to one another by a metallization network of interconnected layers and conductive regions formed in regions in the wafer/chip. The interconnect layers and conductive regions are often formed from copper (Cu), which facilitates the development of smaller metal components, reduces energy usage, and facilitates the fabrication of higher-performance processors.
  • Cu copper
  • Embodiments of the present invention are directed to a method of forming conductive regions in a substrate.
  • a non-limiting example of the method includes forming a trench in a dielectric material on the substrate, forming a first liner layer in a first portion of the trench, forming a second liner layer in a second portion of the trench, and forming a conductive material over the second liner layer in the trench.
  • Embodiments of the present invention are directed to a method of forming conductive regions in a substrate.
  • a non-limiting example of the method includes forming a first trench and a second trench in a dielectric material on the substrate, forming a first liner layer in a first portion of the first trench and a second portion of the second trench, forming a second liner layer in a third portion of the first trench and a fourth portion of the second trench, forming a first conductive material over the second liner layer in the first trench, and forming a second conductive material over the second liner layer in the second trench.
  • Embodiments of the present invention are directed to a semiconductor structure.
  • a non-limiting example of the semiconductor structure includes a first liner layer formed in a first portion of a first trench and a second portion of a second trench, each the first trench and second trench are formed in a dielectric material on a substrate, a second liner layer formed over a third portion of the first trench and a fourth portion of the second trench, a first conductive material formed over the second liner layer in the first trench, and a second conductive material formed over the second liner layer in the second trench.
  • FIGS. 1-5 depict a method for forming a metallization network according to embodiments of the invention, in which:
  • FIG. 1 depicts a cross-sectional view of a portion of a metallization network of a semiconductor substrate after initial fabrication operations according to one or more embodiments of the invention
  • FIG. 2 depicts a cross-sectional view of the metallization network of the semiconductor substrate after fabrication operations according to one or more embodiments of the invention
  • FIG. 3 depicts a cross-sectional view of the metallization network of the semiconductor substrate after fabrication operations according to one or more embodiments of the invention
  • FIG. 4 depicts a cross-sectional view of the metallization network of the semiconductor substrate after fabrication operations according to one or more embodiments of the invention
  • FIG. 5 depicts a cross-sectional view of the metallization network of the semiconductor substrate after fabrication operations according to one or more embodiments of the invention
  • FIG. 6 depicts a flow diagram of a method according to one or more embodiments of the invention.
  • FIG. 7 depicts a flow diagram of a method according to one or more embodiments of the invention.
  • semiconductor devices are used in a variety of electronic applications.
  • An IC is typically formed from various circuit configurations of semiconductor devices (e.g., transistors, capacitors, resistors, etc.) and conductive interconnect layers (known as metallization layers) formed on semiconductor wafers.
  • semiconductor devices can be formed as monolithic devices, e.g., discrete devices.
  • Semiconductor devices and conductive interconnect layers are formed on semiconductor wafers by depositing many types of thin films of material over the semiconductor wafers, patterning the thin films, doping selective regions of the semiconductor wafers, etc.
  • the conductive metallization network serve as a network of pathways that transport signals throughout an IC, thereby connecting circuit components of the IC into a functioning whole and to the outside world.
  • the metallization network includes interconnect layers that vary in number and type depending on the complexity of the device.
  • the interconnect layers are themselves interconnected by a network of conductive coupling regions formed on or in the wafer(s) of the IC.
  • the conductive coupling regions are, in effect, electrical contacts that extend into a dielectric layer formed on the semiconductor wafer or die.
  • the aspect ratio (i.e., the ratio of height/depth to width) of features such as conductive coupling regions generally increases.
  • Fabricating intricate structures of conductive interconnect layers and conductive coupling regions within an increasingly smaller IC footprint is one of the most process-intensive and cost-sensitive portions of semiconductor IC fabrication.
  • Interconnect layers and conductive coupling regions formed in regions in the wafer/chip are often formed from copper, which facilitates the development of smaller metal components, reduces energy usage, and facilitates the fabrication of higher-performance processors.
  • the fabrication operations used to form copper conductive coupling regions can include forming a trench in a dielectric layer over the substrate, over-filling the trench with copper fill material, and planarizing the over-fill (or overburden) using, for example, a chemical mechanical planarization (CMP) process.
  • CMP chemical mechanical planarization
  • the conductive coupling region is formed by depositing in the trench a seed layer of copper or a copper manganese alloy prior to over-filling the trench with the copper fill material.
  • the conductive coupling region is formed by depositing a traditional liner material layer in the trench prior to depositing the seed layer and/or the copper fill material.
  • conductive coupling regions are positioned more closely to one another, which can result in unwanted or parasitic capacitance and increased resistance in the conductive coupling regions.
  • reliability and electro-migration (EM) performance are negatively impacted as barrier thickness is downscaled in metallic interconnects (e.g., conductive coupling regions).
  • EM electro-migration
  • barrier thickness is downscaled in metallic interconnects (e.g., conductive coupling regions).
  • EM electro-migration
  • degradation of the dielectric layers can negatively impact device performance.
  • ULK ultra-low k
  • the trenches formed in the ULK dielectric material to house the metallic interconnect structures are formed utilizing etching techniques such as plasma etching.
  • the ULK dielectric can have a k value of less than about 3.0 or a k value of less than about 2.0.
  • the material that is used to form the ULK dielectric is typically a porous ULK dielectric such as octamethyl cyclotetra siloxane (OMCTS).
  • OCTS octamethyl cyclotetra siloxane
  • a drawback of forming conductive coupling regions of a metallization network in a porous dielectric material is the damage sustained by the porous dielectric material as a result of various plasma exposure steps (e.g., forming trenches in the porous dielectric material using reactive ion etching (RIE) and stripping). This damage is characterized by a so-called carbon depletion region, which is defined by a gradient in carbon concentration through the porous dielectric material.
  • RIE reactive ion etching
  • the carbon depletion region can begin in area of reduced carbon concentration that was exposed to the plasma process (i.e., near the exposition to the plasma process), and extends through the dielectric material where the carbon concentration continuously increases.
  • This carbon depletion region for various ULK dielectric materials has an adverse effect on the integrity of the dielectric materials, thereby increasing leakage current and capacitance.
  • the carbon depletion region mimics properties of an oxide material rather than dielectric material.
  • one or more embodiments of the invention address the above-described shortcomings of the prior art by providing fabrication methods and resulting structures to form conductive coupling regions in porous ULK dielectric layers by incorporating a dielectric liner between the porous ULK dielectric layer and the conductive fill material during the fabrication process.
  • the dielectric liner can also serve as a protective barrier when forming air gaps between multiple conductive coupling regions.
  • the dielectric liner can be removed after the formation of these airgaps leaving the interconnect structures having air gaps between each other, thus, decreasing the dielectric constant.
  • FIGS. 1-5 depict a process for forming a metallization network 100 according to embodiments of the invention. More specifically, FIG. 1 depicts a cross-section view of a portion of the metallization network 100 after known fabrication operations have been used to bring the metallization network 100 to a fabrication stage wherein the metallization network 100 includes a substrate 102 , a dielectric insulation layer 104 , trenches 106 formed in the dielectric insulation layer 104 , and a liner layer 108 conformally deposited over the dielectric insulation layer 104 and along the sidewalls and bottom of the trenches 106 .
  • the number of trenches 106 depicted in FIG. 1 are for ease of illustration and description. In embodiments of the invention, any number and/or combination of trenches 106 can be used.
  • the substrate 102 can be any type of semiconductor material including but not limited to silicon.
  • the dielectric insulating layer 104 can include a bulk porous ULK material including but not limited to octamethylcyclotetrasiloxane (OMCTS), SiCOH.
  • OCTS octamethylcyclotetrasiloxane
  • SiCOH SiCOH
  • the trenches 106 are formed in the dielectric insulation layer 104 using plasma etching processes including but not limited to reactive ion etching (RIE).
  • the liner layer 108 can be a dielectric, metal nitride, or metal oxide liner that is non-porous as compared to the dielectric insulation layer 104 .
  • the liner layer 108 can be silicon nitride (SiN), silicon oxy nitride (SiON), SiOCN, AlN, AlOx, manganese nitride (MnN), or combination of these materials.
  • the liner layer 108 can be deposited using any type of deposition process including but not limited to PVD, CVD, and ALD.
  • FIG. 2 depicts a cross-section view of the metallization network 100 after known semiconductor fabrication operations have been used to remove portion of the liner layer 108 according to one or more embodiments of the invention.
  • the known fabrication operations include a directional plasma etch of the liner layer selective to the dielectric insulation layer 104 to expose portions of the insulation layer 104 at the bottom of the trench 106 while maintaining the liner layer 104 on the sidewalls of the trench 106 .
  • the liner layer 104 over the top portions of the dielectric insulation layer 104 can be removed utilizing a chemical-mechanical planarization (CMP) process.
  • CMP chemical-mechanical planarization
  • FIG. 3 depicts a cross-section view of the metallization network 100 after known semiconductor fabrication operations have been used to form a second liner layer (or seed layer) 302 and deposit a conductive fill material 304 in the trenches 106 (shown in FIG. 1 ) of the dielectric insulation layer 104 according to one or more embodiments of the invention.
  • the known fabrication operations include conformally depositing the second liner layer 302 on the dielectric insulation layer 104 and along the liner layer 108 on the sidewalls of the trenches 106 .
  • the second liner layer 302 (for example Ti, TiN, Ta, TaN, Co, Ru, and CuMn) can be deposited using any type of deposition process including but not limited to PVD, CVD, and ALD.
  • the conductive fill material 304 (for example, Cu, Co, Ru, Ir, Rh, and W) is deposited on the second liner layer 302 and fills the remaining volume of the trenches 106 (shown in FIG. 2 ).
  • the conductive fill material 304 can be deposited using any suitable means. For example, in some embodiments of the invention the conductive fill material can be deposited by over-filling the trenches 106 with the conductive fill material 304 .
  • the conductive fill material can be deposited by electroplating, PVD, CVD, or combination for example.
  • the second liner layer 302 can be a variety of materials including, for example, copper, cobalt, ruthenium, copper manganese alloy, or similarly functioning materials.
  • the second liner 302 can function as seed layer when the conductive material 304 is deposited by electroplating.
  • a seed layer provides a layer of continuous, low resistance, electrical conductivity during the metal electroplating. Seed layers can be deposited using sputtering, evaporation methods, chemical vapor deposition, or any other suitable deposition method. For example, a physical vapor deposition (PVD) process can be employed to form the seed layer 302 .
  • PVD physical vapor deposition
  • the second liner layer 302 can be a traditional liner such as, for example, tantalum, titanium, cobalt, ruthenium, and any of their nitrides and alloys.
  • the conductive fill material 304 can be any type of conductive material including, but not limited to, copper, aluminum, tungsten, cobalt, ruthenium, and iridium.
  • the resultant metallization network 100 in FIG. 3 can be utilized in an integrated circuit (IC) having reduced leakage current and capacitance due to the incorporation of the low-k dielectric liner layer 108 .
  • the low-k dielectric liner layer 108 can have a k value of less than about 3.9.
  • the liner layer 108 can be any dielectric layer material. The carbon depletion regions formed in the dielectric insulation layer 104 from the etching process forming the trenches 106 now have the dielectric liner layer 108 between the conductive material and the carbon depletion regions in the dielectric insulation layer 104 .
  • the carbon depletion regions exhibit properties similar to that of an oxide material which results in capacitance leakage.
  • the liner layers 108 arranged between the conductive material trenches provide additional protections from capacitance leakage due to the low-k properties of the liner layers 108 .
  • a copper barrier 306 is formed during a post conductive fill anneal operation.
  • the anneal operation can be a thermal anneal at a temperature between 100 C and 350 C.
  • the copper barrier 306 is formed by a reaction of the alloy metal in copper with oxygen and silicon in the dielectric. This copper barrier has the following properties: the copper barrier prevents Cu diffusion into the dielectric.
  • a thermal anneal process can be conducted between 100 C and 350 C for 10 minutes to 1 hour in N2 environment. While only two conductive regions are shown in the illustrations, multiple conductive regions can be formed using the above described process.
  • additional fabrication operations are applied to the metallization network 100 shown in FIG. 3 .
  • known semiconductor fabrication operations have been used for planarizing the overburden and remove portions of the dielectric material.
  • the overburden can be planarized utilizing, for example, a CMP process.
  • the planarizing operation removes portions of the second liner layer 302 .
  • the known fabrication operations include a plasma or wet etch to remove portions of the dielectric insulating layer 104 .
  • the respective liner layers 108 protect the second liner layers 302 and conductive materials 304 during the etching process.
  • the etching process reduces leakage current and capacitance by reducing/eliminating the diffusion barrier in the dielectric insulation material 104 .
  • the portions of the dielectric insulating layer 104 can be removed utilizing a wet etch, a dry etch, or any combination of the two.
  • a plasma treatment combined with a wet etch can remove the portions of the dielectric 104 .
  • the plasma can contain chlorine, fluorine, or carbon tetrafluoride.
  • the wet etch can include hydrofluoric acid, hydrochloric acid, or sulfuric acid mixed with hydrogen peroxide.
  • FIG. 5 known semiconductor fabrication operations have been used to form air gaps between the resultant conductive material structures according to one or more embodiments of the invention.
  • Conformal deposition of a dielectric cap 504 results in pinch off and formation of an airgap 502 therein.
  • the resulting structure provides airgaps within a deposited dielectric cap 503 between conductive material structures 304 .
  • the airgap 502 further reduces capacitance issues between the two conductive material structures.
  • FIG. 6 depicts a flow diagram illustrating a method 600 for forming a conductive region 304 of a metallization network associate with a dielectric insulation region 104 on a substrate 102 according to one or more embodiments of the invention.
  • the method 600 includes forming a trench in a dielectric material on the substrate, as shown in block 602 .
  • the dielectric material can be a bulk porous ultra-low k dielectric material.
  • carbon depletion regions can be formed near the sidewalls and bottom of the trench as a result of the etching process.
  • the method 600 includes forming a first liner layer in the trench, as shown at block 604 .
  • the first liner layer can be a dielectric material such as, for example, silicon nitride.
  • the conductive trench is formed by the method 600 including forming a second liner layer on the first liner layer in the trench, as shown in block 606 . And at block 608 , the method 600 includes forming a conductive material over the second liner layer in the trench.
  • FIG. 7 depicts a flow diagram of a method 700 for forming conductive regions 304 of a metallization network associate with a dielectric insulation region 104 on a substrate 102 according to one or more embodiments of the invention.
  • the method 700 includes forming a first trench and a second trench in a dielectric material on the substrate 702 .
  • the method 700 includes forming a first liner layer in a first portion of the first trench and a second portion of the second trench. The first and second trench are separated by the dielectric insulation region 104 .
  • the method 700 at block 706 , includes forming a second liner layer in a third portion of the first trench and a fourth portion of the second trench.
  • the method 700 includes forming a first conductive material over the second liner layer in the first trench.
  • the method 700 at block 710 , includes forming a second conductive material over the second liner layer in the second trench.
  • references in the present description to forming layer “A” over layer “B” include situations in which one or more intermediate layers (e.g., layer “C”) is between layer “A” and layer “B” as long as the relevant characteristics and functionalities of layer “A” and layer “B” are not substantially changed by the intermediate layer(s).
  • layer “C” one or more intermediate layers
  • compositions comprising, “comprising,” “includes,” “including,” “has,” “having,” “contains” or “containing,” or any other variation thereof, are intended to cover a non-exclusive inclusion.
  • a composition, a mixture, process, method, article, or apparatus that comprises a list of elements is not necessarily limited to only those elements but can include other elements not expressly listed or inherent to such composition, mixture, process, method, article, or apparatus.
  • connection can include an indirect “connection” and a direct “connection.”
  • references in the specification to “one embodiment,” “an embodiment,” “an example embodiment,” etc., indicate that the embodiment described can include a particular feature, structure, or characteristic, but every embodiment may or may not include the particular feature, structure, or characteristic. Moreover, such phrases are not necessarily referring to the same embodiment. Further, when a particular feature, structure, or characteristic is described in connection with an embodiment, it is submitted that it is within the knowledge of one skilled in the art to affect such feature, structure, or characteristic in connection with other embodiments whether or not explicitly described.
  • the terms “upper,” “lower,” “right,” “left,” “vertical,” “horizontal,” “top,” “bottom,” and derivatives thereof shall relate to the described structures and methods, as oriented in the drawing figures.
  • the terms “overlying,” “atop,” “on top,” “positioned on” or “positioned atop” mean that a first element, such as a first structure, is present on a second element, such as a second structure, wherein intervening elements such as an interface structure can be present between the first element and the second element.
  • the term “direct contact” means that a first element, such as a first structure, and a second element, such as a second structure, are connected without any intermediary conducting, insulating or semiconductor layers at the interface of the two elements.
  • selective to means that the first element can be etched and the second element can act as an etch stop.
  • Deposition is any process that grows, coats, or otherwise transfers a material onto the wafer.
  • Available technologies include physical vapor deposition (PVD), chemical vapor deposition (CVD), electrochemical deposition (ECD), molecular beam epitaxy (MBE) and more recently, atomic layer deposition (ALD) among others.
  • Removal/etching is any process that removes material from the wafer. Examples include etch processes (either wet or dry), and chemical-mechanical planarization (CMP), and the like.
  • Semiconductor doping is the modification of electrical properties by doping, for example, transistor sources and drains, generally by diffusion and/or by ion implantation. These doping processes are followed by furnace annealing or by rapid thermal annealing (RTA). Annealing serves to activate the implanted dopants. Films of both conductors (e.g., poly-silicon, aluminum, copper, etc.) and insulators (e.g., various forms of silicon dioxide, silicon nitride, etc.) are used to connect and isolate transistors and their components. Selective doping of various regions of the semiconductor substrate allows the conductivity of the substrate to be changed with the application of voltage.
  • RTA rapid thermal annealing
  • Semiconductor lithography is the formation of three-dimensional relief images or patterns on the semiconductor substrate for subsequent transfer of the pattern to the substrate.
  • the patterns are formed by a light sensitive polymer called a photo-resist.
  • lithography and etch pattern transfer steps are repeated multiple times. Each pattern being printed on the wafer is aligned to the previously formed patterns and slowly the conductors, insulators and selectively doped regions are built up to form the final device.

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

Methods for forming conductive regions of a metallization network with reduced leakage current and capacitance are described. Aspects of the invention include forming a trench in a dielectric material on the substrate, forming a first liner layer in a first portion of the trench, forming a second liner layer in a second portion of the trench, and forming a conductive material over the second liner layer in the trench.

Description

This application is a divisional of U.S. patent application Ser. No. 16/157,286, filed Oct. 11, 2018, the disclosure of which is incorporated by reference herein in its entirety.
BACKGROUND
The present invention generally relates to fabrication methods and resulting structures for semiconductor devices. More specifically, the present invention relates to methods and structure for controlling performance and reliability of conductive regions in a metallization network.
Semiconductor devices and components, which are referred to collectively herein as integrated circuit (IC) components, include a plurality of circuit elements (e.g., transistors, resistors, diodes, capacitors, etc.) communicatively connected together on a semiconductor substrate (i.e., a wafer or a chip). IC components are coupled to one another by a metallization network of interconnected layers and conductive regions formed in regions in the wafer/chip. The interconnect layers and conductive regions are often formed from copper (Cu), which facilitates the development of smaller metal components, reduces energy usage, and facilitates the fabrication of higher-performance processors.
SUMMARY
Embodiments of the present invention are directed to a method of forming conductive regions in a substrate. A non-limiting example of the method includes forming a trench in a dielectric material on the substrate, forming a first liner layer in a first portion of the trench, forming a second liner layer in a second portion of the trench, and forming a conductive material over the second liner layer in the trench.
Embodiments of the present invention are directed to a method of forming conductive regions in a substrate. A non-limiting example of the method includes forming a first trench and a second trench in a dielectric material on the substrate, forming a first liner layer in a first portion of the first trench and a second portion of the second trench, forming a second liner layer in a third portion of the first trench and a fourth portion of the second trench, forming a first conductive material over the second liner layer in the first trench, and forming a second conductive material over the second liner layer in the second trench.
Embodiments of the present invention are directed to a semiconductor structure. A non-limiting example of the semiconductor structure includes a first liner layer formed in a first portion of a first trench and a second portion of a second trench, each the first trench and second trench are formed in a dielectric material on a substrate, a second liner layer formed over a third portion of the first trench and a fourth portion of the second trench, a first conductive material formed over the second liner layer in the first trench, and a second conductive material formed over the second liner layer in the second trench.
Additional technical features and benefits are realized through the techniques of the present invention. Embodiments and aspects of the invention are described in detail herein and are considered a part of the claimed subject matter. For a better understanding, refer to the detailed description and to the drawings.
BRIEF DESCRIPTION OF THE DRAWINGS
The specifics of the exclusive rights described herein are particularly pointed out and distinctly claimed in the claims at the conclusion of the specification. The foregoing and other features and advantages of the embodiments of the invention are apparent from the following detailed description taken in conjunction with the accompanying drawings in which:
FIGS. 1-5 depict a method for forming a metallization network according to embodiments of the invention, in which:
FIG. 1 depicts a cross-sectional view of a portion of a metallization network of a semiconductor substrate after initial fabrication operations according to one or more embodiments of the invention;
FIG. 2 depicts a cross-sectional view of the metallization network of the semiconductor substrate after fabrication operations according to one or more embodiments of the invention;
FIG. 3 depicts a cross-sectional view of the metallization network of the semiconductor substrate after fabrication operations according to one or more embodiments of the invention;
FIG. 4 depicts a cross-sectional view of the metallization network of the semiconductor substrate after fabrication operations according to one or more embodiments of the invention;
FIG. 5 depicts a cross-sectional view of the metallization network of the semiconductor substrate after fabrication operations according to one or more embodiments of the invention
FIG. 6 depicts a flow diagram of a method according to one or more embodiments of the invention; and
FIG. 7 depicts a flow diagram of a method according to one or more embodiments of the invention.
The diagrams depicted herein are illustrative. There can be many variations to the diagram or the operations described therein without departing from the spirit of the invention. For instance, the actions can be performed in a differing order or actions can be added, deleted or modified. Also, the term “coupled” and variations thereof describes having a communications path between two elements and does not imply a direct connection between the elements with no intervening elements/connections between them. All of these variations are considered a part of the specification.
In the accompanying figures and following detailed description of the described embodiments, the various elements illustrated in the figures are provided with two or three digit reference numbers. With minor exceptions, the leftmost digit(s) of each reference number correspond to the figure in which its element is first illustrated.
DETAILED DESCRIPTION
For the sake of brevity, conventional techniques related to semiconductor device and integrated circuit (IC) fabrication may or may not be described in detail herein. Moreover, the various tasks and process steps described herein can be incorporated into a more comprehensive procedure or process having additional steps or functionality not described in detail herein. In particular, various steps in the manufacture of semiconductor devices and semiconductor-based ICs are well known and so, in the interest of brevity, many conventional steps will only be mentioned briefly herein or will be omitted entirely without providing the well-known process details.
Turning now to an overview of technologies that are more specifically relevant to aspects of the invention, semiconductor devices are used in a variety of electronic applications. An IC is typically formed from various circuit configurations of semiconductor devices (e.g., transistors, capacitors, resistors, etc.) and conductive interconnect layers (known as metallization layers) formed on semiconductor wafers. Alternatively, semiconductor devices can be formed as monolithic devices, e.g., discrete devices. Semiconductor devices and conductive interconnect layers are formed on semiconductor wafers by depositing many types of thin films of material over the semiconductor wafers, patterning the thin films, doping selective regions of the semiconductor wafers, etc.
In contemporary semiconductor fabrication processes, a large number of semiconductor devices and a conductive metallization network are fabricated in and on a single wafer. The conductive metallization network serve as a network of pathways that transport signals throughout an IC, thereby connecting circuit components of the IC into a functioning whole and to the outside world. The metallization network includes interconnect layers that vary in number and type depending on the complexity of the device. The interconnect layers are themselves interconnected by a network of conductive coupling regions formed on or in the wafer(s) of the IC. For example, in some IC architectures, the conductive coupling regions are, in effect, electrical contacts that extend into a dielectric layer formed on the semiconductor wafer or die. As IC feature sizes continue to decrease, the aspect ratio, (i.e., the ratio of height/depth to width) of features such as conductive coupling regions generally increases. Fabricating intricate structures of conductive interconnect layers and conductive coupling regions within an increasingly smaller IC footprint is one of the most process-intensive and cost-sensitive portions of semiconductor IC fabrication.
Interconnect layers and conductive coupling regions formed in regions in the wafer/chip are often formed from copper, which facilitates the development of smaller metal components, reduces energy usage, and facilitates the fabrication of higher-performance processors. The fabrication operations used to form copper conductive coupling regions can include forming a trench in a dielectric layer over the substrate, over-filling the trench with copper fill material, and planarizing the over-fill (or overburden) using, for example, a chemical mechanical planarization (CMP) process. In some implementations, the conductive coupling region is formed by depositing in the trench a seed layer of copper or a copper manganese alloy prior to over-filling the trench with the copper fill material. In some implementations, the conductive coupling region is formed by depositing a traditional liner material layer in the trench prior to depositing the seed layer and/or the copper fill material.
However, as the size of ICs decreases, conductive coupling regions are positioned more closely to one another, which can result in unwanted or parasitic capacitance and increased resistance in the conductive coupling regions. Additionally, reliability and electro-migration (EM) performance are negatively impacted as barrier thickness is downscaled in metallic interconnects (e.g., conductive coupling regions). In addition to risks associated with barrier continuity on sidewalls, degradation of the dielectric layers can negatively impact device performance. For example, bulk porous ultra-low k (ULK) are utilized as a dielectric material containing these metallic interconnect structures. The trenches formed in the ULK dielectric material to house the metallic interconnect structures are formed utilizing etching techniques such as plasma etching. The ULK dielectric can have a k value of less than about 3.0 or a k value of less than about 2.0. For example, the material that is used to form the ULK dielectric is typically a porous ULK dielectric such as octamethyl cyclotetra siloxane (OMCTS). A drawback of forming conductive coupling regions of a metallization network in a porous dielectric material is the damage sustained by the porous dielectric material as a result of various plasma exposure steps (e.g., forming trenches in the porous dielectric material using reactive ion etching (RIE) and stripping). This damage is characterized by a so-called carbon depletion region, which is defined by a gradient in carbon concentration through the porous dielectric material. For example, the carbon depletion region can begin in area of reduced carbon concentration that was exposed to the plasma process (i.e., near the exposition to the plasma process), and extends through the dielectric material where the carbon concentration continuously increases. This carbon depletion region for various ULK dielectric materials has an adverse effect on the integrity of the dielectric materials, thereby increasing leakage current and capacitance. In essence, the carbon depletion region mimics properties of an oxide material rather than dielectric material.
Turning now to an overview of aspects of the invention, one or more embodiments of the invention address the above-described shortcomings of the prior art by providing fabrication methods and resulting structures to form conductive coupling regions in porous ULK dielectric layers by incorporating a dielectric liner between the porous ULK dielectric layer and the conductive fill material during the fabrication process. The dielectric liner can also serve as a protective barrier when forming air gaps between multiple conductive coupling regions. The dielectric liner can be removed after the formation of these airgaps leaving the interconnect structures having air gaps between each other, thus, decreasing the dielectric constant.
Turning now to a more detailed description of aspects of the present invention, FIGS. 1-5 depict a process for forming a metallization network 100 according to embodiments of the invention. More specifically, FIG. 1 depicts a cross-section view of a portion of the metallization network 100 after known fabrication operations have been used to bring the metallization network 100 to a fabrication stage wherein the metallization network 100 includes a substrate 102, a dielectric insulation layer 104, trenches 106 formed in the dielectric insulation layer 104, and a liner layer 108 conformally deposited over the dielectric insulation layer 104 and along the sidewalls and bottom of the trenches 106. The number of trenches 106 depicted in FIG. 1 are for ease of illustration and description. In embodiments of the invention, any number and/or combination of trenches 106 can be used.
The substrate 102 can be any type of semiconductor material including but not limited to silicon. The dielectric insulating layer 104 can include a bulk porous ULK material including but not limited to octamethylcyclotetrasiloxane (OMCTS), SiCOH. In one or more embodiments of the invention, the trenches 106 are formed in the dielectric insulation layer 104 using plasma etching processes including but not limited to reactive ion etching (RIE). In one or more embodiments of the invention, the liner layer 108 can be a dielectric, metal nitride, or metal oxide liner that is non-porous as compared to the dielectric insulation layer 104. The liner layer 108 can be silicon nitride (SiN), silicon oxy nitride (SiON), SiOCN, AlN, AlOx, manganese nitride (MnN), or combination of these materials. The liner layer 108 can be deposited using any type of deposition process including but not limited to PVD, CVD, and ALD.
FIG. 2 depicts a cross-section view of the metallization network 100 after known semiconductor fabrication operations have been used to remove portion of the liner layer 108 according to one or more embodiments of the invention. The known fabrication operations include a directional plasma etch of the liner layer selective to the dielectric insulation layer 104 to expose portions of the insulation layer 104 at the bottom of the trench 106 while maintaining the liner layer 104 on the sidewalls of the trench 106. The liner layer 104 over the top portions of the dielectric insulation layer 104 can be removed utilizing a chemical-mechanical planarization (CMP) process.
FIG. 3 depicts a cross-section view of the metallization network 100 after known semiconductor fabrication operations have been used to form a second liner layer (or seed layer) 302 and deposit a conductive fill material 304 in the trenches 106 (shown in FIG. 1) of the dielectric insulation layer 104 according to one or more embodiments of the invention. In embodiments of the invention, the known fabrication operations include conformally depositing the second liner layer 302 on the dielectric insulation layer 104 and along the liner layer 108 on the sidewalls of the trenches 106. The second liner layer 302 (for example Ti, TiN, Ta, TaN, Co, Ru, and CuMn) can be deposited using any type of deposition process including but not limited to PVD, CVD, and ALD. The conductive fill material 304 (for example, Cu, Co, Ru, Ir, Rh, and W) is deposited on the second liner layer 302 and fills the remaining volume of the trenches 106 (shown in FIG. 2). The conductive fill material 304 can be deposited using any suitable means. For example, in some embodiments of the invention the conductive fill material can be deposited by over-filling the trenches 106 with the conductive fill material 304. In some embodiments of the invention the conductive fill material can be deposited by electroplating, PVD, CVD, or combination for example. The second liner layer 302 can be a variety of materials including, for example, copper, cobalt, ruthenium, copper manganese alloy, or similarly functioning materials. The second liner 302 can function as seed layer when the conductive material 304 is deposited by electroplating. In general, a seed layer provides a layer of continuous, low resistance, electrical conductivity during the metal electroplating. Seed layers can be deposited using sputtering, evaporation methods, chemical vapor deposition, or any other suitable deposition method. For example, a physical vapor deposition (PVD) process can be employed to form the seed layer 302.
In embodiments of the invention, the second liner layer 302 can be a traditional liner such as, for example, tantalum, titanium, cobalt, ruthenium, and any of their nitrides and alloys. The conductive fill material 304 can be any type of conductive material including, but not limited to, copper, aluminum, tungsten, cobalt, ruthenium, and iridium.
In one or more embodiments of the invention, the resultant metallization network 100 in FIG. 3 can be utilized in an integrated circuit (IC) having reduced leakage current and capacitance due to the incorporation of the low-k dielectric liner layer 108. The low-k dielectric liner layer 108 can have a k value of less than about 3.9. In embodiments of the invention, the liner layer 108 can be any dielectric layer material. The carbon depletion regions formed in the dielectric insulation layer 104 from the etching process forming the trenches 106 now have the dielectric liner layer 108 between the conductive material and the carbon depletion regions in the dielectric insulation layer 104. As described above, the carbon depletion regions exhibit properties similar to that of an oxide material which results in capacitance leakage. In addition, the liner layers 108 arranged between the conductive material trenches provide additional protections from capacitance leakage due to the low-k properties of the liner layers 108. In addition, a copper barrier 306 is formed during a post conductive fill anneal operation. The anneal operation can be a thermal anneal at a temperature between 100 C and 350 C. The copper barrier 306 is formed by a reaction of the alloy metal in copper with oxygen and silicon in the dielectric. This copper barrier has the following properties: the copper barrier prevents Cu diffusion into the dielectric. Because it is formed in the dielectric by alloy reaction, it reduces trench resistance through an increase in cross sectional area for conductor and partial removal of the alloy element from conductor. A thermal anneal process can be conducted between 100 C and 350 C for 10 minutes to 1 hour in N2 environment. While only two conductive regions are shown in the illustrations, multiple conductive regions can be formed using the above described process.
In some embodiments of the invention, additional fabrication operations are applied to the metallization network 100 shown in FIG. 3. For example, in FIG. 4, known semiconductor fabrication operations have been used for planarizing the overburden and remove portions of the dielectric material. The overburden can be planarized utilizing, for example, a CMP process. In addition, the planarizing operation removes portions of the second liner layer 302. In embodiments of the invention, the known fabrication operations include a plasma or wet etch to remove portions of the dielectric insulating layer 104. The respective liner layers 108 protect the second liner layers 302 and conductive materials 304 during the etching process. The etching process reduces leakage current and capacitance by reducing/eliminating the diffusion barrier in the dielectric insulation material 104. The portions of the dielectric insulating layer 104 can be removed utilizing a wet etch, a dry etch, or any combination of the two. For example, a plasma treatment combined with a wet etch can remove the portions of the dielectric 104. The plasma can contain chlorine, fluorine, or carbon tetrafluoride. The wet etch can include hydrofluoric acid, hydrochloric acid, or sulfuric acid mixed with hydrogen peroxide.
In FIG. 5, known semiconductor fabrication operations have been used to form air gaps between the resultant conductive material structures according to one or more embodiments of the invention. Conformal deposition of a dielectric cap 504 results in pinch off and formation of an airgap 502 therein. As such, the resulting structure provides airgaps within a deposited dielectric cap 503 between conductive material structures 304. The airgap 502 further reduces capacitance issues between the two conductive material structures.
FIG. 6 depicts a flow diagram illustrating a method 600 for forming a conductive region 304 of a metallization network associate with a dielectric insulation region 104 on a substrate 102 according to one or more embodiments of the invention. The method 600 includes forming a trench in a dielectric material on the substrate, as shown in block 602. The dielectric material can be a bulk porous ultra-low k dielectric material. During etching of the trench in the dielectric material, carbon depletion regions can be formed near the sidewalls and bottom of the trench as a result of the etching process. To account for these carbon depletion regions, the method 600 includes forming a first liner layer in the trench, as shown at block 604. In embodiments of the invention, the first liner layer can be a dielectric material such as, for example, silicon nitride. The conductive trench is formed by the method 600 including forming a second liner layer on the first liner layer in the trench, as shown in block 606. And at block 608, the method 600 includes forming a conductive material over the second liner layer in the trench.
Additional processes can also be included. It should be understood that the processes depicted in FIG. 6 represent illustrations and that other processes can be added or existing processes can be removed, modified, or rearranged without departing from the scope and spirit of the present invention.
FIG. 7 depicts a flow diagram of a method 700 for forming conductive regions 304 of a metallization network associate with a dielectric insulation region 104 on a substrate 102 according to one or more embodiments of the invention. The method 700 includes forming a first trench and a second trench in a dielectric material on the substrate 702. At block 704, the method 700 includes forming a first liner layer in a first portion of the first trench and a second portion of the second trench. The first and second trench are separated by the dielectric insulation region 104. The method 700, at block 706, includes forming a second liner layer in a third portion of the first trench and a fourth portion of the second trench. And at block 708, the method 700 includes forming a first conductive material over the second liner layer in the first trench. The method 700, at block 710, includes forming a second conductive material over the second liner layer in the second trench.
Additional processes can also be included. It should be understood that the processes depicted in FIG. 7 represent illustrations and that other processes can be added or existing processes can be removed, modified, or rearranged without departing from the scope and spirit of the present invention.
Various embodiments of the present invention are described herein with reference to the related drawings. Alternative embodiments can be devised without departing from the scope of this invention. Although various connections and positional relationships (e.g., over, below, adjacent, etc.) are set forth between elements in the following description and in the drawings, persons skilled in the art will recognize that many of the positional relationships described herein are orientation-independent when the described functionality is maintained even though the orientation is changed. These connections and/or positional relationships, unless specified otherwise, can be direct or indirect, and the present invention is not intended to be limiting in this respect. Accordingly, a coupling of entities can refer to either a direct or an indirect coupling, and a positional relationship between entities can be a direct or indirect positional relationship. As an example of an indirect positional relationship, references in the present description to forming layer “A” over layer “B” include situations in which one or more intermediate layers (e.g., layer “C”) is between layer “A” and layer “B” as long as the relevant characteristics and functionalities of layer “A” and layer “B” are not substantially changed by the intermediate layer(s).
The following definitions and abbreviations are to be used for the interpretation of the claims and the specification. As used herein, the terms “comprises,” “comprising,” “includes,” “including,” “has,” “having,” “contains” or “containing,” or any other variation thereof, are intended to cover a non-exclusive inclusion. For example, a composition, a mixture, process, method, article, or apparatus that comprises a list of elements is not necessarily limited to only those elements but can include other elements not expressly listed or inherent to such composition, mixture, process, method, article, or apparatus.
Additionally, the term “exemplary” is used herein to mean “serving as an example, instance or illustration.” Any embodiment or design described herein as “exemplary” is not necessarily to be construed as preferred or advantageous over other embodiments or designs. The terms “at least one” and “one or more” are understood to include any integer number greater than or equal to one, i.e. one, two, three, four, etc. The terms “a plurality” are understood to include any integer number greater than or equal to two, i.e. two, three, four, five, etc. The term “connection” can include an indirect “connection” and a direct “connection.”
References in the specification to “one embodiment,” “an embodiment,” “an example embodiment,” etc., indicate that the embodiment described can include a particular feature, structure, or characteristic, but every embodiment may or may not include the particular feature, structure, or characteristic. Moreover, such phrases are not necessarily referring to the same embodiment. Further, when a particular feature, structure, or characteristic is described in connection with an embodiment, it is submitted that it is within the knowledge of one skilled in the art to affect such feature, structure, or characteristic in connection with other embodiments whether or not explicitly described.
For purposes of the description hereinafter, the terms “upper,” “lower,” “right,” “left,” “vertical,” “horizontal,” “top,” “bottom,” and derivatives thereof shall relate to the described structures and methods, as oriented in the drawing figures. The terms “overlying,” “atop,” “on top,” “positioned on” or “positioned atop” mean that a first element, such as a first structure, is present on a second element, such as a second structure, wherein intervening elements such as an interface structure can be present between the first element and the second element. The term “direct contact” means that a first element, such as a first structure, and a second element, such as a second structure, are connected without any intermediary conducting, insulating or semiconductor layers at the interface of the two elements.
The phrase “selective to,” such as, for example, “a first element selective to a second element,” means that the first element can be etched and the second element can act as an etch stop.
The terms “about,” “substantially,” “approximately,” and variations thereof, are intended to include the degree of error associated with measurement of the particular quantity based upon the equipment available at the time of filing the application. For example, “about” can include a range of ±8% or 5%, or 2% of a given value.
As previously noted herein, for the sake of brevity, conventional techniques related to semiconductor device and integrated circuit (IC) fabrication may or may not be described in detail herein. By way of background, however, a more general description of the semiconductor device fabrication processes that can be utilized in implementing one or more embodiments of the present invention will now be provided. Although specific fabrication operations used in implementing one or more embodiments of the present invention can be individually known, the described combination of operations and/or resulting structures of the present invention are unique. Thus, the unique combination of the operations described in connection with the fabrication of a semiconductor device according to the present invention utilize a variety of individually known physical and chemical processes performed on a semiconductor (e.g., silicon) substrate, some of which are described in the immediately following paragraphs.
In general, the various processes used to form a micro-chip that will be packaged into an IC fall into four general categories, namely, film deposition, removal/etching, semiconductor doping and patterning/lithography. Deposition is any process that grows, coats, or otherwise transfers a material onto the wafer. Available technologies include physical vapor deposition (PVD), chemical vapor deposition (CVD), electrochemical deposition (ECD), molecular beam epitaxy (MBE) and more recently, atomic layer deposition (ALD) among others. Removal/etching is any process that removes material from the wafer. Examples include etch processes (either wet or dry), and chemical-mechanical planarization (CMP), and the like. Semiconductor doping is the modification of electrical properties by doping, for example, transistor sources and drains, generally by diffusion and/or by ion implantation. These doping processes are followed by furnace annealing or by rapid thermal annealing (RTA). Annealing serves to activate the implanted dopants. Films of both conductors (e.g., poly-silicon, aluminum, copper, etc.) and insulators (e.g., various forms of silicon dioxide, silicon nitride, etc.) are used to connect and isolate transistors and their components. Selective doping of various regions of the semiconductor substrate allows the conductivity of the substrate to be changed with the application of voltage. By creating structures of these various components, millions of transistors can be built and wired together to form the complex circuitry of a modern microelectronic device. Semiconductor lithography is the formation of three-dimensional relief images or patterns on the semiconductor substrate for subsequent transfer of the pattern to the substrate. In semiconductor lithography, the patterns are formed by a light sensitive polymer called a photo-resist. To build the complex structures that make up a transistor and the many wires that connect the millions of transistors of a circuit, lithography and etch pattern transfer steps are repeated multiple times. Each pattern being printed on the wafer is aligned to the previously formed patterns and slowly the conductors, insulators and selectively doped regions are built up to form the final device.
The flowchart and block diagrams in the Figures illustrate possible implementations of fabrication and/or operation methods according to various embodiments of the present invention. Various functions/operations of the method are represented in the flow diagram by blocks. In some alternative implementations, the functions noted in the blocks can occur out of the order noted in the Figures. For example, two blocks shown in succession can, in fact, be executed substantially concurrently, or the blocks can sometimes be executed in the reverse order, depending upon the functionality involved.
The descriptions of the various embodiments of the present invention have been presented for purposes of illustration, but are not intended to be exhaustive or limited to the embodiments described. Many modifications and variations will be apparent to those of ordinary skill in the art without departing from the scope and spirit of the described embodiments. The terminology used herein was chosen to best explain the principles of the embodiments, the practical application or technical improvement over technologies found in the marketplace, or to enable others of ordinary skill in the art to understand the embodiments described herein.

Claims (20)

What is claimed is:
1. A semiconductor structure comprising:
a first liner layer formed in a first portion of a first trench and a second portion of a second trench, each the first trench and second trench are formed in a dielectric material on a substrate;
a second liner layer formed over a third portion of the first trench and a fourth portion of the second trench;
a first conductive material formed over the second liner layer in the first trench;
a second conductive material formed over the second liner layer in the second trench;
wherein the first liner layer is not present over a first bottom surface of the first trench and is not present over a second bottom surface of the second trench;
wherein a portion of the second liner is over the first bottom surface of the first trench and the second bottom surface of the second trench are defined by a portion of the dielectric material; and
a copper barrier formed at the first bottom surface of the first trench and the second bottom surface of the second trench, wherein the copper barrier comprises an alloy material in the second liner layer, oxygen, and silicon in the dielectric material on the substrate.
2. The semiconductor structure of claim 1 further comprising an air gap formed by a dielectric cap deposited in a portion of the dielectric material on the substrate between the first conductive material and the second conductive material.
3. The semiconductor structure of claim 2, wherein the dielectric material comprises SiCN or SiOCN.
4. The semiconductor structure of claim 1, wherein the dielectric material on the substrate comprises a porous low-k dielectric material.
5. The semiconductor structure of claim 4, wherein the porous low-k dielectric material comprises octamethylcyclotetrasiloxane (OMCTS).
6. The semiconductor structure of claim 1, wherein the dielectric material on the substrate comprise a carbon depleted region.
7. The semiconductor structure of claim 6, wherein the carbon depleted region in the dielectric material on the substrate is adjacent to sidewalls of the first trench and second trench.
8. The semiconductor structure of claim 1, wherein the first liner layer comprises a second dielectric material.
9. The semiconductor structure of claim 8, wherein the second dielectric material comprises silicon nitride, SiCN, SiOCN, SiON, or SiBCN.
10. The semiconductor structure of claim 1, wherein the first liner layer comprises a metal oxide, the metal oxide comprising Al2O3.
11. The semiconductor structure of claim 1, wherein the first liner layer comprises a metal nitride.
12. The semiconductor structure of claim 11, wherein the metal nitride comprising AlN or MnN.
13. The semiconductor structure of claim 1, wherein the second liner layer comprises a seed layer.
14. The semiconductor structure of claim 13, wherein the seed layer comprising a cobalt and copper manganese.
15. A semiconductor structure comprising:
a first liner layer formed in a first portion of a trench formed in a dielectric material on a substrate, wherein the dielectric material on the substrate comprises a carbon depleted region;
a second liner layer formed over a second portion of the trench; and
a conductive material formed over the second liner layer in the trench;
wherein the first liner layer is not present over a bottom surface of the trench;
wherein at least a portion of the second liner layer is over the bottom surface of the trench;
wherein the bottom surface of the trench is defined by a portion of the dielectric material; and
a copper barrier formed at the bottom surface of the trench, wherein the copper barrier comprises an alloy material in the second liner layer, oxygen, and silicon in the dielectric material on the substrate.
16. The semiconductor structure of claim 1, wherein the dielectric material on the substrate comprises a porous low-k dielectric material.
17. The semiconductor structure of claim 16, wherein the porous low-k dielectric material comprises octamethylcyclotetrasiloxane (OMCTS).
18. The semiconductor structure of claim 1, wherein the carbon depleted region in the dielectric material on the substrate is adjacent to sidewalls of the trench.
19. The semiconductor structure of claim 1 wherein the first liner layer comprises a second dielectric material.
20. The semiconductor structure of claim 19, wherein the second dielectric material comprises silicon nitride, SiCN, SiOCN, SiON, or SiBCN.
US16/699,934 2018-10-11 2019-12-02 Controlling performance and reliability of conductive regions in a metallization network Active US10896846B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US16/699,934 US10896846B2 (en) 2018-10-11 2019-12-02 Controlling performance and reliability of conductive regions in a metallization network

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/157,286 US10714382B2 (en) 2018-10-11 2018-10-11 Controlling performance and reliability of conductive regions in a metallization network
US16/699,934 US10896846B2 (en) 2018-10-11 2019-12-02 Controlling performance and reliability of conductive regions in a metallization network

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US16/157,286 Division US10714382B2 (en) 2018-10-11 2018-10-11 Controlling performance and reliability of conductive regions in a metallization network

Publications (2)

Publication Number Publication Date
US20200118866A1 US20200118866A1 (en) 2020-04-16
US10896846B2 true US10896846B2 (en) 2021-01-19

Family

ID=70160143

Family Applications (2)

Application Number Title Priority Date Filing Date
US16/157,286 Expired - Fee Related US10714382B2 (en) 2018-10-11 2018-10-11 Controlling performance and reliability of conductive regions in a metallization network
US16/699,934 Active US10896846B2 (en) 2018-10-11 2019-12-02 Controlling performance and reliability of conductive regions in a metallization network

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US16/157,286 Expired - Fee Related US10714382B2 (en) 2018-10-11 2018-10-11 Controlling performance and reliability of conductive regions in a metallization network

Country Status (1)

Country Link
US (2) US10714382B2 (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10896848B1 (en) * 2019-10-15 2021-01-19 Nanya Technology Corporation Method of manufacturing a semiconductor device

Citations (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5652176A (en) * 1995-02-24 1997-07-29 Motorola, Inc. Method for providing trench isolation and borderless contact
US6491978B1 (en) 2000-07-10 2002-12-10 Applied Materials, Inc. Deposition of CVD layers for copper metallization using novel metal organic chemical vapor deposition (MOCVD) precursors
US6518167B1 (en) 2002-04-16 2003-02-11 Advanced Micro Devices, Inc. Method of forming a metal or metal nitride interface layer between silicon nitride and copper
US6528180B1 (en) 2000-05-23 2003-03-04 Applied Materials, Inc. Liner materials
US6680538B2 (en) 2000-10-10 2004-01-20 Samsung Electronics Co., Ltd. Semiconductor device for suppressing detachment of conductive layer
US6736701B1 (en) 2001-11-20 2004-05-18 Taiwan Semiconductor Manufacturing Company Eliminate broken line damage of copper after CMP
US6774489B2 (en) 2000-08-29 2004-08-10 Texas Instruments Incorporated Dielectric layer liner for an integrated circuit structure
US6783868B2 (en) 2001-04-02 2004-08-31 Air Products And Chemicals, Inc. Halogen addition for improved adhesion of CVD copper to barrier
US6910947B2 (en) 2001-06-19 2005-06-28 Applied Materials, Inc. Control of chemical mechanical polishing pad conditioner directional velocity to improve pad life
US6913938B2 (en) 2001-06-19 2005-07-05 Applied Materials, Inc. Feedback control of plasma-enhanced chemical vapor deposition processes
US6919636B1 (en) 2003-07-31 2005-07-19 Advanced Micro Devices, Inc. Interconnects with a dielectric sealant layer
US6936843B2 (en) 2003-05-09 2005-08-30 Applied Materials, Inc. Fixture used to prepare semiconductor specimens for film adhesion testing
US6950716B2 (en) 2001-08-13 2005-09-27 Applied Materials, Inc. Dynamic control of wafer processing paths in semiconductor manufacturing processes
US6952052B1 (en) 2004-03-30 2005-10-04 Advanced Micro Devices, Inc. Cu interconnects with composite barrier layers for wafer-to-wafer uniformity
US6961626B1 (en) 2004-05-28 2005-11-01 Applied Materials, Inc Dynamic offset and feedback threshold
US6984198B2 (en) 2001-08-14 2006-01-10 Applied Materials, Inc. Experiment management system, method and medium
US6999836B2 (en) 2002-08-01 2006-02-14 Applied Materials, Inc. Method, system, and medium for handling misrepresentative metrology data within an advanced process control system
US7069101B1 (en) 1999-07-29 2006-06-27 Applied Materials, Inc. Computer integrated manufacturing techniques
US7082345B2 (en) 2001-06-19 2006-07-25 Applied Materials, Inc. Method, system and medium for process control for the matching of tools, chambers and/or other semiconductor-related entities
US7272459B2 (en) 2002-11-15 2007-09-18 Applied Materials, Inc. Method, system and medium for controlling manufacture process having multivariate input parameters
US7333871B2 (en) 2003-01-21 2008-02-19 Applied Materials, Inc. Automated design and execution of experiments with integrated model creation for semiconductor manufacturing tools
US7337019B2 (en) 2001-07-16 2008-02-26 Applied Materials, Inc. Integration of fault detection with run-to-run control
US7354332B2 (en) 2003-08-04 2008-04-08 Applied Materials, Inc. Technique for process-qualifying a semiconductor manufacturing tool using metrology data
US20120118619A1 (en) * 2010-11-15 2012-05-17 International Business Machines Corporation Back-end-of-line planar resistor
US9040411B2 (en) 2008-01-10 2015-05-26 International Business Machines Corporation Advanced low k cap film formation process for nano electronic devices
US20150262860A1 (en) * 2014-03-14 2015-09-17 Taiwan Semiconductor Manufacturing Company, Ltd. Method of Semiconductor Integrated Circuit Fabrication
US9312224B1 (en) 2014-12-11 2016-04-12 International Business Machines Corporation Interconnect structure containing a porous low k interconnect dielectric/dielectric cap
US9379009B2 (en) * 2012-02-13 2016-06-28 SK Hynix Inc. Interconnection structures in a semiconductor device and methods of manufacturing the same
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9449871B1 (en) * 2015-11-18 2016-09-20 International Business Machines Corporation Hybrid airgap structure with oxide liner
US20160276280A1 (en) 2014-06-08 2016-09-22 International Business Machines Corporation Ultrathin multilayer metal alloy liner for nano cu interconnects
US9553019B1 (en) * 2016-04-15 2017-01-24 International Business Machines Corporation Airgap protection layer for via alignment
US9721833B2 (en) 2014-05-30 2017-08-01 Magnachip Semiconductor, Ltd. Semiconductor device with voids within silicon-on-insulator (SOI) structure and method of forming the semiconductor device
US9754822B1 (en) * 2016-03-02 2017-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure and method
US20170278786A1 (en) * 2016-03-22 2017-09-28 Samsung Electronics Co., Ltd. Semiconductor device
US20180061708A1 (en) * 2016-08-30 2018-03-01 International Business Machines Corporation Self-forming barrier for use in air gap formation
US20190067089A1 (en) * 2017-08-30 2019-02-28 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of interconnection structure of semiconductor device
US20190103310A1 (en) * 2017-10-04 2019-04-04 Globalfoundries Inc. Interconnect structures

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7838428B2 (en) * 2006-03-23 2010-11-23 International Business Machines Corporation Method of repairing process induced dielectric damage by the use of GCIB surface treatment using gas clusters of organic molecular species
US7466027B2 (en) * 2006-09-13 2008-12-16 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect structures with surfaces roughness improving liner and methods for fabricating the same
US8236684B2 (en) * 2008-06-27 2012-08-07 Applied Materials, Inc. Prevention and reduction of solvent and solution penetration into porous dielectrics using a thin barrier layer
WO2010047118A1 (en) * 2008-10-24 2010-04-29 Necエレクトロニクス株式会社 Semiconductor device and method for manufacturing same
US8853831B2 (en) * 2012-03-29 2014-10-07 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure and method for forming the same
US20150091172A1 (en) * 2013-10-01 2015-04-02 Taiwan Semiconductor Manufacturing Co., Ltd. Pore sealing techniques for porous low-k dielectric interconnect
US9431235B1 (en) 2015-04-24 2016-08-30 International Business Machines Corporation Multilayer dielectric structures with graded composition for nano-scale semiconductor devices
US10629478B2 (en) * 2017-08-22 2020-04-21 International Business Machines Corporation Dual-damascene formation with dielectric spacer and thin liner

Patent Citations (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5652176A (en) * 1995-02-24 1997-07-29 Motorola, Inc. Method for providing trench isolation and borderless contact
US7069101B1 (en) 1999-07-29 2006-06-27 Applied Materials, Inc. Computer integrated manufacturing techniques
US6528180B1 (en) 2000-05-23 2003-03-04 Applied Materials, Inc. Liner materials
US6491978B1 (en) 2000-07-10 2002-12-10 Applied Materials, Inc. Deposition of CVD layers for copper metallization using novel metal organic chemical vapor deposition (MOCVD) precursors
US6774489B2 (en) 2000-08-29 2004-08-10 Texas Instruments Incorporated Dielectric layer liner for an integrated circuit structure
US6680538B2 (en) 2000-10-10 2004-01-20 Samsung Electronics Co., Ltd. Semiconductor device for suppressing detachment of conductive layer
US6783868B2 (en) 2001-04-02 2004-08-31 Air Products And Chemicals, Inc. Halogen addition for improved adhesion of CVD copper to barrier
US6910947B2 (en) 2001-06-19 2005-06-28 Applied Materials, Inc. Control of chemical mechanical polishing pad conditioner directional velocity to improve pad life
US6913938B2 (en) 2001-06-19 2005-07-05 Applied Materials, Inc. Feedback control of plasma-enhanced chemical vapor deposition processes
US7082345B2 (en) 2001-06-19 2006-07-25 Applied Materials, Inc. Method, system and medium for process control for the matching of tools, chambers and/or other semiconductor-related entities
US7040956B2 (en) 2001-06-19 2006-05-09 Applied Materials, Inc. Control of chemical mechanical polishing pad conditioner directional velocity to improve pad life
US7337019B2 (en) 2001-07-16 2008-02-26 Applied Materials, Inc. Integration of fault detection with run-to-run control
US6950716B2 (en) 2001-08-13 2005-09-27 Applied Materials, Inc. Dynamic control of wafer processing paths in semiconductor manufacturing processes
US6984198B2 (en) 2001-08-14 2006-01-10 Applied Materials, Inc. Experiment management system, method and medium
US6736701B1 (en) 2001-11-20 2004-05-18 Taiwan Semiconductor Manufacturing Company Eliminate broken line damage of copper after CMP
US6518167B1 (en) 2002-04-16 2003-02-11 Advanced Micro Devices, Inc. Method of forming a metal or metal nitride interface layer between silicon nitride and copper
US6999836B2 (en) 2002-08-01 2006-02-14 Applied Materials, Inc. Method, system, and medium for handling misrepresentative metrology data within an advanced process control system
US7272459B2 (en) 2002-11-15 2007-09-18 Applied Materials, Inc. Method, system and medium for controlling manufacture process having multivariate input parameters
US7333871B2 (en) 2003-01-21 2008-02-19 Applied Materials, Inc. Automated design and execution of experiments with integrated model creation for semiconductor manufacturing tools
US6936843B2 (en) 2003-05-09 2005-08-30 Applied Materials, Inc. Fixture used to prepare semiconductor specimens for film adhesion testing
US6919636B1 (en) 2003-07-31 2005-07-19 Advanced Micro Devices, Inc. Interconnects with a dielectric sealant layer
US7354332B2 (en) 2003-08-04 2008-04-08 Applied Materials, Inc. Technique for process-qualifying a semiconductor manufacturing tool using metrology data
US6952052B1 (en) 2004-03-30 2005-10-04 Advanced Micro Devices, Inc. Cu interconnects with composite barrier layers for wafer-to-wafer uniformity
US7349753B2 (en) 2004-05-28 2008-03-25 Applied Materials, Inc. Adjusting manufacturing process control parameter using updated process threshold derived from uncontrollable error
US6961626B1 (en) 2004-05-28 2005-11-01 Applied Materials, Inc Dynamic offset and feedback threshold
US9040411B2 (en) 2008-01-10 2015-05-26 International Business Machines Corporation Advanced low k cap film formation process for nano electronic devices
US20120118619A1 (en) * 2010-11-15 2012-05-17 International Business Machines Corporation Back-end-of-line planar resistor
US9379009B2 (en) * 2012-02-13 2016-06-28 SK Hynix Inc. Interconnection structures in a semiconductor device and methods of manufacturing the same
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US20150262860A1 (en) * 2014-03-14 2015-09-17 Taiwan Semiconductor Manufacturing Company, Ltd. Method of Semiconductor Integrated Circuit Fabrication
US9721833B2 (en) 2014-05-30 2017-08-01 Magnachip Semiconductor, Ltd. Semiconductor device with voids within silicon-on-insulator (SOI) structure and method of forming the semiconductor device
US20160276280A1 (en) 2014-06-08 2016-09-22 International Business Machines Corporation Ultrathin multilayer metal alloy liner for nano cu interconnects
US9312224B1 (en) 2014-12-11 2016-04-12 International Business Machines Corporation Interconnect structure containing a porous low k interconnect dielectric/dielectric cap
US9449871B1 (en) * 2015-11-18 2016-09-20 International Business Machines Corporation Hybrid airgap structure with oxide liner
US9754822B1 (en) * 2016-03-02 2017-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure and method
US20170278786A1 (en) * 2016-03-22 2017-09-28 Samsung Electronics Co., Ltd. Semiconductor device
US9553019B1 (en) * 2016-04-15 2017-01-24 International Business Machines Corporation Airgap protection layer for via alignment
US20180061708A1 (en) * 2016-08-30 2018-03-01 International Business Machines Corporation Self-forming barrier for use in air gap formation
US20190067089A1 (en) * 2017-08-30 2019-02-28 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of interconnection structure of semiconductor device
US20190103310A1 (en) * 2017-10-04 2019-04-04 Globalfoundries Inc. Interconnect structures

Non-Patent Citations (4)

* Cited by examiner, † Cited by third party
Title
Grill et al., "Progress in the development and understanding of advanced low k and ultralow k dielectrics for very large-scale integrated interconnects-State of the art," Applied Physics Reviews, 1, 011306, 2014, pp. 011306-1-011306-17.
Grill et al., "Progress in the development and understanding of advanced low k and ultralow k dielectrics for very large-scale integrated interconnects—State of the art," Applied Physics Reviews, 1, 011306, 2014, pp. 011306-1-011306-17.
List of IBM Patents or Patent Applications Treated as Related; Date Filed: Dec. 2, 2019; 2 pages.
Patlolla et al., "Controlling Performance and Reliability of Conductive Regions in a Metallization Network," U.S. Appl. No. 16/157,286, filed Oct. 11, 2018.

Also Published As

Publication number Publication date
US20200118866A1 (en) 2020-04-16
US20200118865A1 (en) 2020-04-16
US10714382B2 (en) 2020-07-14

Similar Documents

Publication Publication Date Title
US11621294B2 (en) Embedding MRAM device in advanced interconnects
US10832946B1 (en) Recessed interconnet line having a low-oxygen cap for facilitating a robust planarization process and protecting the interconnect line from downstream etch operations
US10685876B2 (en) Liner and cap structures for reducing local interconnect vertical resistance without compromising reliability
US11171051B1 (en) Contacts and liners having multi-segmented protective caps
WO2021033063A1 (en) Interconnect structure having fully aligned vias
US11990410B2 (en) Top via interconnect having a line with a reduced bottom dimension
US11114382B2 (en) Middle-of-line interconnect having low metal-to-metal interface resistance
US10896846B2 (en) Controlling performance and reliability of conductive regions in a metallization network
US11139201B2 (en) Top via with hybrid metallization
US11908734B2 (en) Composite interconnect formation using graphene
US11004736B2 (en) Integrated circuit having a single damascene wiring network
JP7466564B2 (en) Double Metal Patterning
US11177169B2 (en) Interconnects with gouged vias
US10916431B2 (en) Robust gate cap for protecting a gate from downstream metallization etch operations
US11201112B2 (en) Fully-aligned skip-vias
US12062609B2 (en) Electronic fuse structure embedded in top via
US11682617B2 (en) High aspect ratio vias for integrated circuits
US11177166B2 (en) Etch stop layer removal for capacitance reduction in damascene top via integration
US11244859B2 (en) Interconnects having a via-to-line spacer for preventing short circuit events between a conductive via and an adjacent line
US20230197506A1 (en) Decoupled interconnects
US20230178429A1 (en) Accurate metal line and via height control for top via process
US20230170294A1 (en) Single-damascene interconnect having control over corrosion, dielectric damage, capacitance, and resistance
US11075161B2 (en) Large via buffer
US10692755B2 (en) Selective deposition of dielectrics on ultra-low k dielectrics

Legal Events

Date Code Title Description
AS Assignment

Owner name: INTERNATIONAL BUSINESS MACHINES CORPORATION, NEW YORK

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:PATLOLLA, RAGHUVEER;PEETHALA, CORNELIUS BROWN;YANG, CHIH-CHAO;REEL/FRAME:051149/0136

Effective date: 20181004

FEPP Fee payment procedure

Free format text: ENTITY STATUS SET TO UNDISCOUNTED (ORIGINAL EVENT CODE: BIG.); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE TO NON-FINAL OFFICE ACTION ENTERED AND FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: NOTICE OF ALLOWANCE MAILED -- APPLICATION RECEIVED IN OFFICE OF PUBLICATIONS

STPP Information on status: patent application and granting procedure in general

Free format text: PUBLICATIONS -- ISSUE FEE PAYMENT VERIFIED

STCF Information on status: patent grant

Free format text: PATENTED CASE

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 4TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1551); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 4