US10461172B2 - Vertical transistors having improved gate length control using uniformly deposited spacers - Google Patents
Vertical transistors having improved gate length control using uniformly deposited spacers Download PDFInfo
- Publication number
- US10461172B2 US10461172B2 US15/850,585 US201715850585A US10461172B2 US 10461172 B2 US10461172 B2 US 10461172B2 US 201715850585 A US201715850585 A US 201715850585A US 10461172 B2 US10461172 B2 US 10461172B2
- Authority
- US
- United States
- Prior art keywords
- spacers
- layer
- spacing
- opl
- gate structure
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Expired - Fee Related
Links
- 125000006850 spacer group Chemical group 0.000 title claims abstract description 118
- 238000002789 length control Methods 0.000 title description 2
- 238000000034 method Methods 0.000 claims abstract description 56
- 239000004065 semiconductor Substances 0.000 claims abstract description 45
- 239000000758 substrate Substances 0.000 claims abstract description 23
- 230000008569 process Effects 0.000 claims description 27
- 239000000463 material Substances 0.000 claims description 25
- 238000000231 atomic layer deposition Methods 0.000 claims description 16
- 238000000151 deposition Methods 0.000 claims description 10
- 238000005137 deposition process Methods 0.000 claims description 4
- 229910052751 metal Inorganic materials 0.000 claims description 3
- 239000002184 metal Substances 0.000 claims description 3
- 238000004519 manufacturing process Methods 0.000 description 38
- 230000008021 deposition Effects 0.000 description 7
- 238000005530 etching Methods 0.000 description 7
- 239000000203 mixture Substances 0.000 description 7
- 238000005240 physical vapour deposition Methods 0.000 description 5
- 229920000642 polymer Polymers 0.000 description 5
- 238000005229 chemical vapour deposition Methods 0.000 description 4
- 238000013461 design Methods 0.000 description 4
- 238000001459 lithography Methods 0.000 description 4
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 3
- 229910052782 aluminium Inorganic materials 0.000 description 3
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 3
- 230000015572 biosynthetic process Effects 0.000 description 3
- 239000003989 dielectric material Substances 0.000 description 3
- 238000005516 engineering process Methods 0.000 description 3
- 239000012212 insulator Substances 0.000 description 3
- 229910044991 metal oxide Inorganic materials 0.000 description 3
- 150000004706 metal oxides Chemical class 0.000 description 3
- -1 oxynitrides Chemical class 0.000 description 3
- 238000012546 transfer Methods 0.000 description 3
- PXHVJJICTQNCMI-UHFFFAOYSA-N Nickel Chemical compound [Ni] PXHVJJICTQNCMI-UHFFFAOYSA-N 0.000 description 2
- KDLHZDBZIXYQEI-UHFFFAOYSA-N Palladium Chemical compound [Pd] KDLHZDBZIXYQEI-UHFFFAOYSA-N 0.000 description 2
- 238000000137 annealing Methods 0.000 description 2
- 239000007795 chemical reaction product Substances 0.000 description 2
- 150000001875 compounds Chemical class 0.000 description 2
- 239000004020 conductor Substances 0.000 description 2
- 238000007796 conventional method Methods 0.000 description 2
- 230000008878 coupling Effects 0.000 description 2
- 238000010168 coupling process Methods 0.000 description 2
- 238000005859 coupling reaction Methods 0.000 description 2
- 238000010586 diagram Methods 0.000 description 2
- 239000002019 doping agent Substances 0.000 description 2
- 238000004070 electrodeposition Methods 0.000 description 2
- 230000008020 evaporation Effects 0.000 description 2
- 238000001704 evaporation Methods 0.000 description 2
- 230000005669 field effect Effects 0.000 description 2
- 150000002500 ions Chemical class 0.000 description 2
- MRELNEQAGSRDBK-UHFFFAOYSA-N lanthanum(3+);oxygen(2-) Chemical compound [O-2].[O-2].[O-2].[La+3].[La+3] MRELNEQAGSRDBK-UHFFFAOYSA-N 0.000 description 2
- 238000012986 modification Methods 0.000 description 2
- 230000004048 modification Effects 0.000 description 2
- 150000004767 nitrides Chemical class 0.000 description 2
- 239000011368 organic material Substances 0.000 description 2
- 238000001020 plasma etching Methods 0.000 description 2
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 2
- BASFCYQUMIYNBI-UHFFFAOYSA-N platinum Chemical compound [Pt] BASFCYQUMIYNBI-UHFFFAOYSA-N 0.000 description 2
- 239000000047 product Substances 0.000 description 2
- 238000004151 rapid thermal annealing Methods 0.000 description 2
- 229910000951 Aluminide Inorganic materials 0.000 description 1
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 1
- KJTLSVCANCCWHF-UHFFFAOYSA-N Ruthenium Chemical compound [Ru] KJTLSVCANCCWHF-UHFFFAOYSA-N 0.000 description 1
- 229910052581 Si3N4 Inorganic materials 0.000 description 1
- 229910000577 Silicon-germanium Inorganic materials 0.000 description 1
- GWEVSGVZZGPLCZ-UHFFFAOYSA-N Titan oxide Chemical compound O=[Ti]=O GWEVSGVZZGPLCZ-UHFFFAOYSA-N 0.000 description 1
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 1
- QCWXUUIWCKQGHC-UHFFFAOYSA-N Zirconium Chemical compound [Zr] QCWXUUIWCKQGHC-UHFFFAOYSA-N 0.000 description 1
- 229910026551 ZrC Inorganic materials 0.000 description 1
- OTCHGXYCWNXDOA-UHFFFAOYSA-N [C].[Zr] Chemical compound [C].[Zr] OTCHGXYCWNXDOA-UHFFFAOYSA-N 0.000 description 1
- XWCMFHPRATWWFO-UHFFFAOYSA-N [O-2].[Ta+5].[Sc+3].[O-2].[O-2].[O-2] Chemical compound [O-2].[Ta+5].[Sc+3].[O-2].[O-2].[O-2] XWCMFHPRATWWFO-UHFFFAOYSA-N 0.000 description 1
- CEPICIBPGDWCRU-UHFFFAOYSA-N [Si].[Hf] Chemical compound [Si].[Hf] CEPICIBPGDWCRU-UHFFFAOYSA-N 0.000 description 1
- ILCYGSITMBHYNK-UHFFFAOYSA-N [Si]=O.[Hf] Chemical compound [Si]=O.[Hf] ILCYGSITMBHYNK-UHFFFAOYSA-N 0.000 description 1
- CAVCGVPGBKGDTG-UHFFFAOYSA-N alumanylidynemethyl(alumanylidynemethylalumanylidenemethylidene)alumane Chemical compound [Al]#C[Al]=C=[Al]C#[Al] CAVCGVPGBKGDTG-UHFFFAOYSA-N 0.000 description 1
- 150000004645 aluminates Chemical class 0.000 description 1
- VKJLWXGJGDEGSO-UHFFFAOYSA-N barium(2+);oxygen(2-);titanium(4+) Chemical compound [O-2].[O-2].[O-2].[Ti+4].[Ba+2] VKJLWXGJGDEGSO-UHFFFAOYSA-N 0.000 description 1
- 239000000919 ceramic Substances 0.000 description 1
- 238000001311 chemical methods and process Methods 0.000 description 1
- 238000000224 chemical solution deposition Methods 0.000 description 1
- 229910017052 cobalt Inorganic materials 0.000 description 1
- 239000010941 cobalt Substances 0.000 description 1
- GUTLYIVDDKVIGB-UHFFFAOYSA-N cobalt atom Chemical compound [Co] GUTLYIVDDKVIGB-UHFFFAOYSA-N 0.000 description 1
- 238000004891 communication Methods 0.000 description 1
- 229910052802 copper Inorganic materials 0.000 description 1
- 239000010949 copper Substances 0.000 description 1
- 238000009792 diffusion process Methods 0.000 description 1
- 238000001312 dry etching Methods 0.000 description 1
- 230000000694 effects Effects 0.000 description 1
- 230000005672 electromagnetic field Effects 0.000 description 1
- 229910052735 hafnium Inorganic materials 0.000 description 1
- VBJZVLUMGGDVMO-UHFFFAOYSA-N hafnium atom Chemical compound [Hf] VBJZVLUMGGDVMO-UHFFFAOYSA-N 0.000 description 1
- 229910000449 hafnium oxide Inorganic materials 0.000 description 1
- WIHZLLGSGQNAGK-UHFFFAOYSA-N hafnium(4+);oxygen(2-) Chemical compound [O-2].[O-2].[Hf+4] WIHZLLGSGQNAGK-UHFFFAOYSA-N 0.000 description 1
- WHJFNYXPKGDKBB-UHFFFAOYSA-N hafnium;methane Chemical compound C.[Hf] WHJFNYXPKGDKBB-UHFFFAOYSA-N 0.000 description 1
- 230000006872 improvement Effects 0.000 description 1
- 239000012535 impurity Substances 0.000 description 1
- 239000013067 intermediate product Substances 0.000 description 1
- 238000005468 ion implantation Methods 0.000 description 1
- 238000002955 isolation Methods 0.000 description 1
- 229910052746 lanthanum Inorganic materials 0.000 description 1
- FZLIPJUXYLNCLC-UHFFFAOYSA-N lanthanum atom Chemical compound [La] FZLIPJUXYLNCLC-UHFFFAOYSA-N 0.000 description 1
- JQJCSZOEVBFDKO-UHFFFAOYSA-N lead zinc Chemical compound [Zn].[Pb] JQJCSZOEVBFDKO-UHFFFAOYSA-N 0.000 description 1
- 238000005259 measurement Methods 0.000 description 1
- 150000001247 metal acetylides Chemical class 0.000 description 1
- 229910052914 metal silicate Inorganic materials 0.000 description 1
- 238000004377 microelectronic Methods 0.000 description 1
- 229910003465 moissanite Inorganic materials 0.000 description 1
- 238000001451 molecular beam epitaxy Methods 0.000 description 1
- 229910021421 monocrystalline silicon Inorganic materials 0.000 description 1
- 229910052759 nickel Inorganic materials 0.000 description 1
- TWNQGVIAIRXVLR-UHFFFAOYSA-N oxo(oxoalumanyloxy)alumane Chemical compound O=[Al]O[Al]=O TWNQGVIAIRXVLR-UHFFFAOYSA-N 0.000 description 1
- KJXBRHIPHIVJCS-UHFFFAOYSA-N oxo(oxoalumanyloxy)lanthanum Chemical compound O=[Al]O[La]=O KJXBRHIPHIVJCS-UHFFFAOYSA-N 0.000 description 1
- SIWVEOZUMHYXCS-UHFFFAOYSA-N oxo(oxoyttriooxy)yttrium Chemical compound O=[Y]O[Y]=O SIWVEOZUMHYXCS-UHFFFAOYSA-N 0.000 description 1
- BPUBBGLMJRNUCC-UHFFFAOYSA-N oxygen(2-);tantalum(5+) Chemical compound [O-2].[O-2].[O-2].[O-2].[O-2].[Ta+5].[Ta+5] BPUBBGLMJRNUCC-UHFFFAOYSA-N 0.000 description 1
- RVTZCBVAJQQJTK-UHFFFAOYSA-N oxygen(2-);zirconium(4+) Chemical compound [O-2].[O-2].[Zr+4] RVTZCBVAJQQJTK-UHFFFAOYSA-N 0.000 description 1
- 229910052763 palladium Inorganic materials 0.000 description 1
- 238000000059 patterning Methods 0.000 description 1
- 238000007747 plating Methods 0.000 description 1
- 229910052697 platinum Inorganic materials 0.000 description 1
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 1
- 238000012545 processing Methods 0.000 description 1
- 229910052707 ruthenium Inorganic materials 0.000 description 1
- 238000005389 semiconductor device fabrication Methods 0.000 description 1
- 150000004760 silicates Chemical class 0.000 description 1
- 229910052710 silicon Inorganic materials 0.000 description 1
- 239000010703 silicon Substances 0.000 description 1
- 229910010271 silicon carbide Inorganic materials 0.000 description 1
- 235000012239 silicon dioxide Nutrition 0.000 description 1
- 239000000377 silicon dioxide Substances 0.000 description 1
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 1
- 229910052814 silicon oxide Inorganic materials 0.000 description 1
- UVGLBOPDEUYYCS-UHFFFAOYSA-N silicon zirconium Chemical compound [Si].[Zr] UVGLBOPDEUYYCS-UHFFFAOYSA-N 0.000 description 1
- 238000004544 sputter deposition Methods 0.000 description 1
- VEALVRVVWBQVSL-UHFFFAOYSA-N strontium titanate Chemical compound [Sr+2].[O-][Ti]([O-])=O VEALVRVVWBQVSL-UHFFFAOYSA-N 0.000 description 1
- CZXRMHUWVGPWRM-UHFFFAOYSA-N strontium;barium(2+);oxygen(2-);titanium(4+) Chemical compound [O-2].[O-2].[O-2].[O-2].[Ti+4].[Sr+2].[Ba+2] CZXRMHUWVGPWRM-UHFFFAOYSA-N 0.000 description 1
- 229910052715 tantalum Inorganic materials 0.000 description 1
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 description 1
- 229910001936 tantalum oxide Inorganic materials 0.000 description 1
- 229910052719 titanium Inorganic materials 0.000 description 1
- 239000010936 titanium Substances 0.000 description 1
- OGIDPMRJRNCKJF-UHFFFAOYSA-N titanium oxide Inorganic materials [Ti]=O OGIDPMRJRNCKJF-UHFFFAOYSA-N 0.000 description 1
- MTPVUVINMAGMJL-UHFFFAOYSA-N trimethyl(1,1,2,2,2-pentafluoroethyl)silane Chemical compound C[Si](C)(C)C(F)(F)C(F)(F)F MTPVUVINMAGMJL-UHFFFAOYSA-N 0.000 description 1
- 229910052726 zirconium Inorganic materials 0.000 description 1
- 229910001928 zirconium oxide Inorganic materials 0.000 description 1
- GFQYVLUOOAAOGM-UHFFFAOYSA-N zirconium(iv) silicate Chemical compound [Zr+4].[O-][Si]([O-])([O-])[O-] GFQYVLUOOAAOGM-UHFFFAOYSA-N 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02263—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
- H01L21/02271—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
- H01L21/0228—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/28008—Making conductor-insulator-semiconductor electrodes
- H01L21/28017—Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
- H01L21/28026—Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
- H01L21/28088—Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/31058—After-treatment of organic layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31144—Etching the insulating layers by chemical or physical means using masks
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3205—Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
- H01L21/321—After treatment
- H01L21/3213—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
- H01L21/32139—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/40—Electrodes ; Multistep manufacturing processes therefor
- H01L29/401—Multistep manufacturing processes
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/66007—Multistep manufacturing processes
- H01L29/66075—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
- H01L29/66227—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
- H01L29/66409—Unipolar field-effect transistors
- H01L29/66477—Unipolar field-effect transistors with an insulated gate, i.e. MISFET
- H01L29/66666—Vertical transistors
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/66007—Multistep manufacturing processes
- H01L29/66075—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
- H01L29/66227—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
- H01L29/66409—Unipolar field-effect transistors
- H01L29/66477—Unipolar field-effect transistors with an insulated gate, i.e. MISFET
- H01L29/66742—Thin film unipolar transistors
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/68—Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
- H01L29/76—Unipolar devices, e.g. field effect transistors
- H01L29/772—Field effect transistors
- H01L29/78—Field effect transistors with field effect produced by an insulated gate
- H01L29/7827—Vertical transistors
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/68—Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
- H01L29/76—Unipolar devices, e.g. field effect transistors
- H01L29/772—Field effect transistors
- H01L29/78—Field effect transistors with field effect produced by an insulated gate
- H01L29/786—Thin film transistors, i.e. transistors with a channel being at least partly a thin film
- H01L29/78642—Vertical transistors
Definitions
- the present invention relates in general to semiconductor devices and their fabrication. More specifically, the present invention relates to improved fabrication methodologies and resulting structures for vertical field effect transistors (VTFETs) having improved gate length control based at least in part on incorporating relatively thin and uniformly deposited/etched spacers to define the gate length dimension.
- VTFETs vertical field effect transistors
- MOSFETs metal oxide semiconductor field effect transistors
- each MOSFET has a source and a drain that are formed in an active region of a semiconductor layer by implanting n-type or p-type impurities in the layer of semiconductor material.
- a conventional geometry for MOSTFETs is known as a planar device geometry in which the various parts of the MOSFET device are laid down as planes or layers.
- VTFET non-planar FET
- MOSFETs employ semiconductor fins and side-gates that can be contacted outside the active region, resulting in increased device density and some increased performance over lateral devices.
- the source to drain current flows in a direction that is perpendicular to a major surface of the substrate.
- a major substrate surface is horizontal and a vertical fin extends upward from the substrate surface.
- the fin forms the channel region of the transistor.
- a source region and a drain region are situated in electrical contact with the top and bottom ends of the channel region, while a gate is disposed on one or more of the fin sidewalls.
- An important parameter in VTFET designs is the gate length (Lgate).
- Embodiments of the invention are directed to a method of forming a semiconductor device.
- the method includes forming a channel fin structure across from a major surface of a substrate, wherein the channel fin structure includes a plurality of channel fins, wherein a first spacing is defined between adjacent ones of a first set of the plurality of channel fins, wherein a second spacing is defined between adjacent ones of a second set of the plurality of channel fins, wherein the first spacing is not equal to the second spacing.
- An initial gate structure is formed over the plurality of channels.
- spacers Formed along vertical sidewall portions of the initial gate structure are spacers that each has a predetermined spacer height dimension, wherein a thickness dimension of each of the spacers is insufficient to allow any one of the spacers to fill the first spacing or the second spacing. Portions of the initial gate structure that are not covered by the spacers are removed to define a plurality of gate structures each having a gate structure height dimension defined by the spacer height dimension. A gate length dimension of each of the plurality of gate structures substantially equals the gate height dimension.
- Embodiments of the invention are directed to a semiconductor device that includes a channel fin structure formed across from a major surface of a substrate, wherein the channel fin structure includes a plurality of channel fins, wherein a first spacing is defined between adjacent ones of a first set of the plurality of channel fins, wherein a second spacing is defined between adjacent ones of a second set of the plurality of channel fins, wherein the first spacing is not equal to the second spacing.
- Gate structures are formed along vertical sidewall portions of the plurality of channels.
- Spacers are formed along vertical sidewall portions of the gate structures, wherein each of the spacers has an upper horizontal spacer surface that defines a predetermined spacer height dimension, wherein a thickness dimension of each of the spacers is insufficient to allow any one of the spacers to fill the first spacing or the second spacing.
- Each of the gate structures includes a gate structure upper horizontal surface that is substantially co-planar with the upper horizontal spacer surface of each of the spacers. The gate structure upper horizontal surfaces define one end of a gate height dimension, and a gate length dimension of each of the plurality of gate structures substantially equals the gate height dimension.
- FIG. 1 depicts a cross-sectional view showing the state of a pattern of VTFET devices just prior to applying gate formation operations, wherein the VTFET devices include organic planarization layers (OPLs) having non-uniform height dimensions, which can result from using known OPL deposition and recess operations;
- OPLs organic planarization layers
- FIG. 2 depicts a cross-sectional view of a semiconductor structure after a fabrication operation according to embodiments of the invention
- FIG. 3 depicts a cross-sectional view of a semiconductor device after a fabrication operation according to embodiments of the invention
- FIG. 4 depicts a cross-sectional view of a semiconductor device after a fabrication operation according to embodiments of the invention
- FIG. 5 depicts a cross-sectional view of a semiconductor device after a fabrication operation according to embodiments of the invention
- FIG. 6 depicts a cross-sectional view of a semiconductor device after a fabrication operation according to embodiments of the invention
- FIG. 7 depicts a cross-sectional view of a semiconductor device after a fabrication operation according to embodiments of the invention.
- FIG. 8 depicts a cross-sectional view of a semiconductor device after a fabrication operation according to embodiments of the invention.
- FIG. 9 depicts a cross-sectional view of a semiconductor device after a fabrication operation according to embodiments of the invention.
- FIG. 10 depicts a cross-sectional view of a semiconductor device after a fabrication operation according to embodiments of the invention.
- FIG. 11 depicts a cross-sectional view of a semiconductor device after a fabrication operation according to embodiments of the invention.
- FIG. 12 depicts a cross-sectional view of a semiconductor device after a fabrication operation according to embodiments of the invention.
- FIG. 13 depicts a cross-sectional view of a semiconductor device after a fabrication operation according to embodiments of the invention.
- FIG. 14 depicts a cross-sectional view of a semiconductor device after a fabrication operation according to embodiments of the invention.
- FIG. 1 depicts a VTFET pattern 100 showing multiple VFETs after a known sequence of fabrication operations. As shown in FIG.
- the VTFET pattern 100 at this fabrication stage includes a substrate 102 , three fins 104 , 104 A, 104 B, hardmasks 110 , bottom spacers 118 , a work function metal (WFM) layer 116 , a high-k dielectric layer 114 , and organic planarization layer (OPL) regions 112 , configured and arranged as shown.
- WFM work function metal
- OPL organic planarization layer
- the OPL 112 is formed, planarized, and recessed to the level(s) depicted in FIG. 1 .
- a subsequent fabrication operation which is not shown in FIG. 1 , the portions of the WFM layer 116 and the high-k dielectric layer 114 above the exposed upper surface of the OPL 112 are removed, and the portions of the WFM layer 116 and the high-k dielectric layer 114 below the exposed upper surface of the OPL 112 are preserved and form the vertical gate structure (not shown) of the final VTFET device.
- the vertical height of the OPL 112 defines the gate length (Lgate) of the gate structure.
- a problem with using the vertical height of the OPL 112 to define Lgate is that the OPL 112 exhibits thickness (or height) deltas (pre and post recessing) based on the different densities of the OPL material in the relevant region.
- the space between fin 104 A and fin 104 B is greater than the space between fin 104 A and fin 104 .
- the space from fin 104 to the left edge of the substrate 102 is less than the space from fin 104 B to the right edge of the substrate 102 .
- the underlying densities of the OPL 112 in the various regions around the fins 104 , 104 A, 104 B are different, which results in the heights of the OPL 112 in these regions also being different.
- the height of the OPL 112 between fin 104 A and fin 104 B is generally less than the height of the OPL 112 between fin 104 A and fin 104 .
- the height of the OPL 112 between fin 104 A and fin 104 B varies.
- the height of the OPL 112 from fin 104 to the left edge of the substrate 102 is greater than the height of the OPL 112 from fin 104 B to the right edge of the substrate 102 .
- the varying space around fins 104 , 140 A, 104 B results in varying densities of the OPL 112 in the spaces around the fins 104 , 140 A, 104 B, which results in variations in the height of the OPL 112 , which results in variations in the Lgate dimension (e.g., Lgate 130 , Lgate 132 ).
- Lgate is a critical transistor parameter, and variations in Lgate can have negative effects (e.g., variations) on a variety of VTFET parameters such as threshold voltage, drive current, leakage current, and the like. Accordingly, there is a need to form VTFET semiconductor devices with a precisely controlled and substantially uniform Lgate dimension within a given macro, as well as from one macro to another macro.
- Lgate is defined by a height dimension of a relatively thin spacer formed along sidewalls of the initial gate structures, which have been conformally formed around the exposed surfaces of the channel fin portions of the VTFETs of a macro.
- the spacer is formed by conformally depositing a relatively thin (e.g., from about 20 A to about 70 A) layer of dielectric spacer material over the initial gate structures, and then performing a reactive ion etch (RIE) to remove selected portions of the dielectric spacer material.
- RIE reactive ion etch
- the relatively thin layer of dielectric spacer material is deposited using a precisely controlled layer-by-layer process such as atomic layer deposition (ALD).
- ALD atomic layer deposition
- the RIE etches the relatively thin spacer layer in a substantially vertical direction until a desired spacer height is reached.
- the spacer height is selected to be substantially equal to the desired Lgate.
- the vertical gate structure is then formed by etching the initial gate structure selective to the height of the post-RIE relatively thin spacer layer. Hence, the height of the relatively thin spacer layer defines the Lgate dimension of the vertical gate structure.
- the novel spacer described herein is relatively thin, it occupies a small fraction of the space that exists around any given channel fin in the macro during fabrication. Thus, the relatively thin spacer's thickness dimensions are not in any way constrained by the space around the channel fins during VTFET fabrication. Because the novel relatively thin spacer described herein is formed by a precisely controlled, layer-by-layer atomic growth process, along with a precisely controlled RIE process, the width and height of the relatively thin spacer material is uniform throughout the macro, and from macro to macro. Hence, unlike the varying widths/heights of the OPL 112 shown in FIG.
- the width/height of the spacer material according to embodiments of the invention is independent of the space/area that surrounds any one of the channel fins during VTFET fabrication. According to embodiments of the invention, using the novel spacer arrangement described herein to define Lgate results in uniform Lgate dimensions that do not vary based on the space/area that surrounds a given channel fin.
- an OPL can be deposited adjacent the relatively thin spacers in the remaining space between the channel fins of the VTFETs.
- the OPL can protect the non-etched portions of the gate structure from subsequent removal/etching processes.
- the OPL deposited in accordance with aspects of the invention can exhibit thickness (or height) deltas (pre and post OPL recessing) based on the density of the OPL material in the relevant region.
- the underlying densities of the OPL in the various regions around the channel fins are different, which results in the heights of the OPL in these regions also being different.
- Lgate is defined by the closely controlled height of the relatively thin spacers
- the height variations in the OPL need only be coarsely controlled to ensure that the maximum OPL height is below the Lgate dimension defined by the height dimension of the relatively thin spacer layers in order to not interfere with the relatively thin spacers performing the function of defining Lgate.
- the OPL is configured to protect the portions underneath the OPL from subsequent removal/etching processes but, because of the above-described relatively thin spacer layer along the gate structure sidewalls, the OPL according to aspects of the invention does not need to be configured (or relied on) to define Lgate.
- FIGS. 2-8 depict cross-sectional views of a semiconductor structure after fabrication operations according to embodiments of the invention.
- known VTFET fabrication techniques have been used to form the VTFET structure to include a substrate 302 , three fins 304 , 304 A, 304 B, hardmasks 310 , bottom spacers 318 , a WFM layer 316 , and a high-k dielectric layer 314 , configured and arranged as shown.
- the substrate 302 can be any suitable substrate material, such as, for example, monocrystalline Si, SiGe, SiC, III-V compound semiconductor, II-VI compound semiconductor, or semiconductor-on-insulator (SOI).
- the substrate 302 includes a buried oxide layer (not depicted).
- the fins 304 , 304 A, 304 B will form the channel of the final VTFET device.
- the channel fins 304 , 304 A, 304 B can be electrically isolated from other regions of the substrate 302 by shallow trench isolation (STI) regions (not depicted).
- the STI regions can be of any suitable dielectric material, such as, for example, a silicon oxide.
- the type of material used to form the WFM layer 316 depends on the type of VTFET and can differ between the nFET and pFET devices.
- P-type WFMs include compositions such as ruthenium, palladium, platinum, cobalt, nickel, and conductive metal oxides, or any combination thereof.
- N-type WFMs include compositions such as hafnium, zirconium, titanium, tantalum, aluminum, metal carbides (e.g., hafnium carbide, zirconium carbide, titanium carbide, and aluminum carbide), aluminides, or any combination thereof.
- the WFM 316 can be deposited by a suitable deposition process, for example, CVD, PECVD, PVD, plating, thermal or e-beam evaporation, and sputtering.
- the high-k dielectric layer 314 can be a dielectric material having a dielectric constant greater than, for example, 3.9, 7.0, or 10.0.
- suitable materials for the high-k dielectric layer 314 include oxides, nitrides, oxynitrides, silicates (e.g., metal silicates), aluminates, titanates, nitrides, or any combination thereof.
- high-k materials with a dielectric constant greater than 7.0 include, but are not limited to, metal oxides such as hafnium oxide, hafnium silicon oxide, hafnium silicon oxynitride, lanthanum oxide, lanthanum aluminum oxide, zirconium oxide, zirconium silicon oxide, zirconium silicon oxynitride, tantalum oxide, titanium oxide, barium strontium titanium oxide, barium titanium oxide, strontium titanium oxide, yttrium oxide, aluminum oxide, lead scandium tantalum oxide, and lead zinc niobate.
- the high-k dielectric layer 314 can further include dopants such as, for example, lanthanum and aluminum.
- the high-k dielectric layer 314 can be formed by suitable deposition processes, for example, CVD, PECVD, atomic layer deposition (ALD), evaporation, physical vapor deposition (PVD), chemical solution deposition, or other like processes.
- the thickness of the high-k dielectric layer 314 can vary depending on the deposition process as well as the composition and number of high-k dielectric materials used.
- a relatively thin dielectric spacer layer 340 has been conformally deposited over the WFM layer 316 .
- the relatively thin (e.g., from about 20 A to about 70 A) dielectric spacer layer 340 can be deposited using a precisely controlled layer-by-layer process such as atomic layer deposition (ALD).
- ALD atomic layer deposition
- the spacer layer 340 occupies only a fraction of the space around and/or between any of the fins 304 , 304 A, 304 B.
- a RIE has performed in order to remove selected portions of the relatively thin dielectric spacer layer 340 (shown in FIG. 2 ) to form relatively thin dielectric spacers 340 A.
- the RIE etches the spacer layer 340 in a substantially vertical direction until a desired height of the spacer 340 A is reached.
- the spacer height is selected to be substantially equal to the desired gate length, which is depicted in FIG. 3 as Lgate 430 .
- the vertical gate structure will be formed by performing a wet/dry etch to remove the WFM layer 316 and the high-k dielectric layer 314 selective to the height of the relatively thin dielectric spacers 340 A.
- the height of the relatively thin dielectric spacers 340 A define the Lgate dimensions of the vertical gate structures that will be formed from the non-etched portions of the WFM layer 316 and the high-k dielectric layer 314 .
- an OPL 502 has been deposited over exposed surfaces of the WFM 316 and the spacers 340 A.
- the OPL 502 can protect the exposed surfaces of the WFM 316 and the high-k dielectric layer 314 from subsequent removal/etching processes.
- the OPL 502 can be a self-planarizing organic material such as a polymer with sufficiently low viscosity that the top surface of the applied polymer can form, under the appropriate conditions (e.g., no underlying density differences), a substantially planar and horizontal surface.
- the OPL 502 is depicted with a substantially planar and horizontal upper surface.
- the upper surface of the OPL 502 will be substantially non-planar in areas of lower or different underlying pattern density, similar to the recessed OPL layer 112 shown in FIG. 1 .
- the OPL 502 has been recessed in a substantially vertical direction to form OPL regions 502 A.
- the upper surfaces of the OPLs 502 A are all below a top surface of the relatively thin spacers 340 A but above horizontal surfaces of bottom portions of the WFM 316 .
- the vertically directed recess of the OPL 502 does not need to be precisely controlled.
- the upper surface of the OPL 502 is substantially non-planar.
- the upper surfaces of the recessed OPLs 502 A are also substantially non-planar.
- the maximum height dimension of the top surfaces of the post-recess OPLs 502 A only needs to stop somewhere along a sidewall of the relatively thin spacers 340 A, below an upper horizontal surface of the relatively thin spacer 340 A, and above horizontal surfaces of the bottom portions of the WFM 316 .
- the OPL 502 can be recessed using any suitable process, such as, for example, a wet etch, a dry etch, or a combination thereof.
- an initial portion of the vertical gate structure 802 (shown in FIG. 7 ) is formed by performing a wet/dry etch to remove the WFM layer 316 selective to the height of the relatively thin dielectric spacers 340 A to form WFM regions 316 A.
- the height of the relatively thin dielectric spacers 340 A defines the height of the WFM regions 316 A.
- the spacers 340 A are relatively thin, the spacers 340 A were formed using closely controlled layer-by-layer deposition (e.g., ALD) and RIE, and the spaces between and around the fins 304 , 304 A, 304 B do not impact the width/height dimensions of the spacer 340 A, the upper horizontal surfaces of the spacers 340 A are substantially co-planar with the upper horizontal surfaces of the WFM regions 316 A.
- ALD layer-by-layer deposition
- the vertical gate structure 802 is formed by performing a wet/dry etch to remove the high-k dielectric layer 314 selective to the height of the relatively thin dielectric spacers 340 A to form high-k dielectric regions 314 A.
- the height of the relatively thin dielectric spacers 340 A defines the height of the WFM regions 316 A and the high-k dielectric regions 314 A, which defines the Lgate dimension (shown in FIG. 3 ) of the gate structure 802 .
- the spacers 340 A are relatively thin, the spacers 340 A were formed using closely controlled layer-by-layer deposition (e.g., ALD) and RIE, and the spaces between and around the fins 304 , 304 A, 304 B do not impact the width/height dimensions of the spacer 340 A, the upper horizontal surfaces of the spacers 340 A are substantially co-planar with the upper horizontal surfaces of the WFM regions 316 A and the high-k dielectric regions 314 A.
- ALD layer-by-layer deposition
- the OPL regions 502 A have been removed using any suitable removal process.
- the OPL regions 502 A can optionally remain in place while the remaining aspects of the VTFET device (e.g., source/drain regions, contacts, STI regions, etc.) are formed.
- the details of suitable fabrication processes to form a finished VTFET semiconductor device are known to those skilled in the art so have been omitted in the interest of brevity.
- FIGS. 9-14 depict cross-sectional views of a semiconductor structure after fabrication operations according to embodiments of the invention.
- the fabrication operations depicted in FIGS. 9-14 are substantially the same as the fabrication operations depicted in FIG. 2-8 except in FIGS. 9-14 the OPL 502 is deposited and recessed to form the OPL regions 502 A prior to applying the RIE to the relatively thin dielectric layer 304 to form spacers 340 B shown in FIG. 11 .
- the spacers 340 B maintain the horizontal bottom portions of the relatively thin dielectric spacer layer 340
- the OPL regions 502 A protect the horizontal bottom portions of the relatively thin dielectric spacer layer 340 from the RIE applied to the top portions of the relatively thin dielectric layer 340 .
- the relatively thin dielectric spacer layer 340 has been conformally deposited over the WFM layer 316 .
- the relatively thin (e.g., from about 20 A to about 70 A) dielectric spacer layer 340 can be deposited using a precisely controlled layer-by-layer process such as atomic layer deposition (ALD).
- ALD atomic layer deposition
- the OPL 502 has been deposited over exposed surfaces of the relatively thin dielectric spacer layer 340 .
- the OPL 502 can protect the relatively thin dielectric spacer layer 340 (and the materials underneath the spacer layer 340 ) from subsequent removal/etching processes.
- the OPL 502 can be a self-planarizing organic material such as a polymer with sufficiently low viscosity that the top surface of the applied polymer can form, under the appropriate conditions (e.g., no underlying lower or different pattern density), a substantially planar and horizontal surface.
- the OPL 502 is depicted with a substantially planar and horizontal upper surface.
- the upper surface of the OPL 502 will be substantially non-planar in areas of lower or different underlying pattern density, similar to the recessed OPL layer 112 shown in FIG. 1 .
- the OPL 502 has been recessed in a substantially vertical direction to form OPL regions 502 A.
- the upper surfaces of the OPLs 502 A are all below the expected Lgate dimension.
- the vertically directed recess of the OPL 502 does not need to be precisely controlled.
- the upper surface of the OPL 502 is substantially non-planar.
- the upper surfaces of the recessed OPLs 502 A are also substantially non-planar.
- the maximum height dimension of the top surfaces of the post-recess OPLs 502 A according to embodiments of the invention only needs to stop somewhere below the Lgate dimension.
- the OPL 502 can be recessed using any suitable process, such as, for example, a wet etch, a dry etch, or a combination thereof.
- a RIE has performed in order to remove selected portions of the relatively thin dielectric spacer layer 340 (shown in FIG. 2 ) to form relatively thin dielectric spacers 340 B.
- the RIE etches the spacer layer 340 in a substantially vertical direction until a desired height of the spacer 340 B is reached.
- the spacer height is selected to be substantially equal to the desired gate length, which is depicted in FIG. 3 as Lgate 430 .
- an initial portion of the vertical gate structure 802 (shown in FIG. 13 ) is formed by performing a wet/dry etch to remove the WFM layer 316 selective to the height of the relatively thin dielectric spacers 340 B to form WFM regions 316 A.
- the height of the relatively thin dielectric spacers 340 B defines the height of the WFM regions 316 A.
- the spacers 340 B are relatively thin, the spacers 340 B were formed using closely controlled layer-by-layer deposition (e.g., ALD) and RIE, and the spaces between and around the fins 304 , 304 A, 304 B do not impact the width/height dimensions of the spacer 340 B, the upper horizontal surfaces of the spacers 340 B are substantially co-planar with the upper horizontal surfaces of the WFM regions 316 A.
- ALD layer-by-layer deposition
- the vertical gate structure 802 is formed by performing a wet/dry etch to remove the high-k dielectric layer 314 selective to the height of the relatively thin dielectric spacers 340 B to form high-k dielectric regions 314 A.
- the height of the relatively thin dielectric spacers 340 B defines the height of the WFM regions 316 A and the high-k dielectric regions 314 A, which defines the Lgate dimension (shown in FIG. 3 ) of the gate structure 802 .
- the spacers 340 B are relatively thin, the spacers 340 B were formed using closely controlled layer-by-layer deposition (e.g., ALD) and RIE, and the spaces between and around the fins 304 , 304 A, 304 B do not impact the width/height dimensions of the spacers 340 B, the upper horizontal surfaces of the spacers 340 B are substantially co-planar with the upper horizontal surfaces of the WFM regions 316 A and the high-k dielectric regions 314 A.
- ALD layer-by-layer deposition
- the OPL regions 502 A have been removed using any suitable removal process.
- the OPL regions 502 A can optionally remain in place while the remaining aspects of the VTFET device (e.g., source/drain regions, contacts, STI regions, etc.) are formed.
- the details of suitable fabrication processes to form a finished VTFET semiconductor device are known to those skilled in the art so have been omitted in the interest of brevity.
- the methods described herein are used in the fabrication of IC chips.
- the resulting integrated circuit chips can be distributed by the fabricator in raw wafer form (that is, as a single wafer that has multiple unpackaged chips), as a bare die, or in a packaged form.
- the chip is mounted in a single chip package (such as a plastic carrier, with leads that are affixed to a motherboard or other higher level carrier) or in a multichip package (such as a ceramic carrier that has either or both surface interconnections or buried interconnections).
- the chip is then integrated with other chips, discrete circuit elements, and/or other signal processing devices as part of either (a) an intermediate product, such as a motherboard, or (b) an end product.
- the end product can be any product that includes integrated circuit chips, ranging from toys and other low-end applications to advanced computer products having a display, a keyboard or other input device, and a central processor.
- a coupling of entities can refer to either a direct or an indirect coupling
- a positional relationship between entities can be a direct or indirect positional relationship.
- references in the present description to forming layer “A” over layer “B” include situations in which one or more intermediate layers (e.g., layer “C”) is between layer “A” and layer “B” as long as the relevant characteristics and functionalities of layer “A” and layer “B” are not substantially changed by the intermediate layer(s).
- compositions comprising, “comprising,” “includes,” “including,” “has,” “having,” “contains” or “containing,” or any other variation thereof, are intended to cover a non-exclusive inclusion.
- a composition, a mixture, process, method, article, or apparatus that comprises a list of elements is not necessarily limited to only those elements but can include other elements not expressly listed or inherent to such composition, mixture, process, method, article, or apparatus.
- connection can include an indirect “connection” and a direct “connection.”
- references in the specification to “one embodiment,” “an embodiment,” “an example embodiment,” etc., indicate that the embodiment described can include a particular feature, structure, or characteristic, but every embodiment may or may not include the particular feature, structure, or characteristic. Moreover, such phrases are not necessarily referring to the same embodiment. Further, when a particular feature, structure, or characteristic is described in connection with an embodiment, it is submitted that it is within the knowledge of one skilled in the art to affect such feature, structure, or characteristic in connection with other embodiments whether or not explicitly described.
- the terms “upper,” “lower,” “right,” “left,” “vertical,” “horizontal,” “top,” “bottom,” and derivatives thereof shall relate to the described structures and methods, as oriented in the drawing figures.
- the terms “overlying,” “atop,” “on top,” “positioned on” or “positioned atop” mean that a first element, such as a first structure, is present on a second element, such as a second structure, wherein intervening elements such as an interface structure can be present between the first element and the second element.
- the term “direct contact” means that a first element, such as a first structure, and a second element, such as a second structure, are connected without any intermediary conducting, insulating or semiconductor layers at the interface of the two elements.
- spatially relative terms e.g., “beneath,” “below,” “lower,” “above,” “upper,” and the like, can be used herein for ease of description to describe one element or feature's relationship to another element(s) or feature(s) as illustrated in the figures. It will be understood that the spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures. For example, if the device in the figures is turned over, elements described as “below” or “beneath” other elements or features would then be oriented “above” the other elements or features. Thus, the term “below” can encompass both an orientation of above and below. The device can be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein interpreted accordingly.
- selective to means that the first element can be etched and the second element can act as an etch stop.
- conformal e.g., a conformal layer
- the thickness of the layer is substantially the same on all surfaces, or that the thickness variation is less than 15% of the nominal thickness of the layer.
- Deposition is any process that grows, coats, or otherwise transfers a material onto the wafer.
- Available technologies include physical vapor deposition (PVD), chemical vapor deposition (CVD), electrochemical deposition (ECD), molecular beam epitaxy (MBE) and more recently, atomic layer deposition (ALD) among others.
- Removal/etching is any process that removes material from the wafer. Examples include etch processes (either wet or dry), chemical-mechanical planarization (CMP), and the like.
- Reactive ion etching is a type of dry etching that uses chemically reactive plasma to remove a material, such as a masked pattern of semiconductor material, by exposing the material to a bombardment of ions that dislodge portions of the material from the exposed surface.
- the plasma is typically generated under low pressure (vacuum) by an electromagnetic field.
- Semiconductor doping is the modification of electrical properties by doping, for example, transistor sources and drains, generally by diffusion and/or by ion implantation. These doping processes are followed by furnace annealing or by rapid thermal annealing (RTA). Annealing serves to activate the implanted dopants.
- Films of both conductors e.g., poly-silicon, aluminum, copper, etc.
- insulators e.g., various forms of silicon dioxide, silicon nitride, etc.
- Semiconductor lithography is the formation of three-dimensional relief images or patterns on the semiconductor substrate for subsequent transfer of the pattern to the substrate. In semiconductor lithography, the patterns are formed by a light sensitive polymer called a photo-resist.
- lithography and etch pattern transfer steps are repeated multiple times. Each pattern being printed on the wafer is aligned to the previously formed patterns and slowly the conductors, insulators and selectively doped regions are built up to form the final device.
Landscapes
- Engineering & Computer Science (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Computer Hardware Design (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Ceramic Engineering (AREA)
- Chemical & Material Sciences (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Composite Materials (AREA)
- Thin Film Transistor (AREA)
Abstract
Description
Claims (12)
Priority Applications (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US15/850,585 US10461172B2 (en) | 2017-12-21 | 2017-12-21 | Vertical transistors having improved gate length control using uniformly deposited spacers |
Applications Claiming Priority (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US15/850,585 US10461172B2 (en) | 2017-12-21 | 2017-12-21 | Vertical transistors having improved gate length control using uniformly deposited spacers |
Publications (2)
Publication Number | Publication Date |
---|---|
US20190198642A1 US20190198642A1 (en) | 2019-06-27 |
US10461172B2 true US10461172B2 (en) | 2019-10-29 |
Family
ID=66951491
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
US15/850,585 Expired - Fee Related US10461172B2 (en) | 2017-12-21 | 2017-12-21 | Vertical transistors having improved gate length control using uniformly deposited spacers |
Country Status (1)
Country | Link |
---|---|
US (1) | US10461172B2 (en) |
Citations (13)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5610085A (en) | 1993-11-29 | 1997-03-11 | Texas Instruments Incorporated | Method of making a vertical FET using epitaxial overgrowth |
US6097046A (en) | 1993-04-30 | 2000-08-01 | Texas Instruments Incorporated | Vertical field effect transistor and diode |
US6156611A (en) | 1998-07-20 | 2000-12-05 | Motorola, Inc. | Method of fabricating vertical FET with sidewall gate electrode |
US20060226477A1 (en) | 2005-03-29 | 2006-10-12 | Brar Berinder P S | Substrate driven field-effect transistor |
US20120280331A1 (en) * | 2011-05-05 | 2012-11-08 | Taiwan Semiconductor Manufacturing Company, Ltd. | Adaptive Fin Design for FinFETs |
US9391200B2 (en) | 2014-06-18 | 2016-07-12 | Stmicroelectronics, Inc. | FinFETs having strained channels, and methods of fabricating finFETs having strained channels |
US9530700B1 (en) * | 2016-01-28 | 2016-12-27 | International Business Machines Corporation | Method of fabricating vertical field effect transistors with protective fin liner during bottom spacer recess etch |
US9607899B1 (en) | 2016-04-27 | 2017-03-28 | International Business Machines Corporation | Integration of vertical transistors with 3D long channel transistors |
US9711618B1 (en) | 2016-03-31 | 2017-07-18 | International Business Machines Corporation | Fabrication of vertical field effect transistor structure with controlled gate length |
US9799751B1 (en) | 2016-04-19 | 2017-10-24 | Globalfoundries Inc. | Methods of forming a gate structure on a vertical transistor device |
US9805935B2 (en) | 2015-12-31 | 2017-10-31 | International Business Machines Corporation | Bottom source/drain silicidation for vertical field-effect transistor (FET) |
US9806153B1 (en) | 2017-02-09 | 2017-10-31 | International Business Machines Corporation | Controlling channel length for vertical FETs |
US20170317260A1 (en) * | 2016-05-02 | 2017-11-02 | Fraunhofer-Gesellschaft Zur Foerderung Der Angewandten Forschung E.V. | Integrated thermoelectric structure, method for manufacturing an integrated thermoelectric structure, method for operating same as a detector, thermoelectric generator and thermoelectric peltier element |
-
2017
- 2017-12-21 US US15/850,585 patent/US10461172B2/en not_active Expired - Fee Related
Patent Citations (14)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6097046A (en) | 1993-04-30 | 2000-08-01 | Texas Instruments Incorporated | Vertical field effect transistor and diode |
US5610085A (en) | 1993-11-29 | 1997-03-11 | Texas Instruments Incorporated | Method of making a vertical FET using epitaxial overgrowth |
US6156611A (en) | 1998-07-20 | 2000-12-05 | Motorola, Inc. | Method of fabricating vertical FET with sidewall gate electrode |
US20060226477A1 (en) | 2005-03-29 | 2006-10-12 | Brar Berinder P S | Substrate driven field-effect transistor |
US20120280331A1 (en) * | 2011-05-05 | 2012-11-08 | Taiwan Semiconductor Manufacturing Company, Ltd. | Adaptive Fin Design for FinFETs |
US9391200B2 (en) | 2014-06-18 | 2016-07-12 | Stmicroelectronics, Inc. | FinFETs having strained channels, and methods of fabricating finFETs having strained channels |
US9805935B2 (en) | 2015-12-31 | 2017-10-31 | International Business Machines Corporation | Bottom source/drain silicidation for vertical field-effect transistor (FET) |
US9530700B1 (en) * | 2016-01-28 | 2016-12-27 | International Business Machines Corporation | Method of fabricating vertical field effect transistors with protective fin liner during bottom spacer recess etch |
US9711618B1 (en) | 2016-03-31 | 2017-07-18 | International Business Machines Corporation | Fabrication of vertical field effect transistor structure with controlled gate length |
US9799751B1 (en) | 2016-04-19 | 2017-10-24 | Globalfoundries Inc. | Methods of forming a gate structure on a vertical transistor device |
US9607899B1 (en) | 2016-04-27 | 2017-03-28 | International Business Machines Corporation | Integration of vertical transistors with 3D long channel transistors |
US20170317080A1 (en) * | 2016-04-27 | 2017-11-02 | International Business Machines Corporation | Integration of vertical transistors with 3d long channel transistors |
US20170317260A1 (en) * | 2016-05-02 | 2017-11-02 | Fraunhofer-Gesellschaft Zur Foerderung Der Angewandten Forschung E.V. | Integrated thermoelectric structure, method for manufacturing an integrated thermoelectric structure, method for operating same as a detector, thermoelectric generator and thermoelectric peltier element |
US9806153B1 (en) | 2017-02-09 | 2017-10-31 | International Business Machines Corporation | Controlling channel length for vertical FETs |
Also Published As
Publication number | Publication date |
---|---|
US20190198642A1 (en) | 2019-06-27 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
US11569366B2 (en) | Fully depleted SOI transistor with a buried ferroelectric layer in back-gate | |
US10749040B2 (en) | Integration scheme for non-volatile memory on gate-all-around structure | |
US10840147B1 (en) | Fin cut forming single and double diffusion breaks | |
US10665692B2 (en) | Non-self aligned gate contacts formed over the active region of a transistor | |
US11710666B2 (en) | Dummy fin template to form a self-aligned metal contact for output of vertical transport field effect transistor | |
US10832961B1 (en) | Sacrificial gate spacer regions for gate contacts formed over the active region of a transistor | |
US11075299B2 (en) | Transistor gate having tapered segments positioned above the fin channel | |
US10950506B2 (en) | Forming single and double diffusion breaks | |
US10903123B2 (en) | High threshold voltage FET with the same fin height as regular threshold voltage vertical FET | |
US10937703B2 (en) | Field-effect transistor having dual channels | |
US10720502B2 (en) | Vertical transistors having a layer of charge carriers in the extension region for reduced extension region resistance | |
US20230178437A1 (en) | Integrating gate-cuts and single diffusion break isolation post-rmg using low-temperature protective liners | |
US10665694B2 (en) | Vertical transistors having improved gate length control | |
US11695005B2 (en) | Fabricating gate-all-around transistors having high aspect ratio channels and reduced parasitic capacitance | |
US11362093B2 (en) | Co-integration of non-volatile memory on gate-all-around field effect transistor | |
US10461172B2 (en) | Vertical transistors having improved gate length control using uniformly deposited spacers | |
US11757012B2 (en) | Source and drain contact cut last process to enable wrap-around-contact | |
US10439045B1 (en) | Flipped VFET with self-aligned junctions and controlled gate length | |
US20230142760A1 (en) | Vertical transistors having improved control of parasitic capacitance and gate-to-contact short circuits | |
US10950505B2 (en) | Multiple finFET formation with epitaxy separation |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
AS | Assignment |
Owner name: INTERNATIONAL BUSINESS MACHINES CORPORATION, NEW Y Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:WASKIEWICZ, CHRISTOPHER J.;JAGANNATHAN, HEMANTH;MIGNOT, YANN;AND OTHERS;REEL/FRAME:044463/0352 Effective date: 20171220 |
|
FEPP | Fee payment procedure |
Free format text: ENTITY STATUS SET TO UNDISCOUNTED (ORIGINAL EVENT CODE: BIG.); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY |
|
STPP | Information on status: patent application and granting procedure in general |
Free format text: PUBLICATIONS -- ISSUE FEE PAYMENT RECEIVED |
|
STPP | Information on status: patent application and granting procedure in general |
Free format text: PUBLICATIONS -- ISSUE FEE PAYMENT VERIFIED |
|
STCF | Information on status: patent grant |
Free format text: PATENTED CASE |
|
FEPP | Fee payment procedure |
Free format text: MAINTENANCE FEE REMINDER MAILED (ORIGINAL EVENT CODE: REM.); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY |
|
LAPS | Lapse for failure to pay maintenance fees |
Free format text: PATENT EXPIRED FOR FAILURE TO PAY MAINTENANCE FEES (ORIGINAL EVENT CODE: EXP.); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY |
|
STCH | Information on status: patent discontinuation |
Free format text: PATENT EXPIRED DUE TO NONPAYMENT OF MAINTENANCE FEES UNDER 37 CFR 1.362 |
|
FP | Lapsed due to failure to pay maintenance fee |
Effective date: 20231029 |