TWI846916B - Substrate transport apparatus and method of operating the same - Google Patents

Substrate transport apparatus and method of operating the same Download PDF

Info

Publication number
TWI846916B
TWI846916B TW109125812A TW109125812A TWI846916B TW I846916 B TWI846916 B TW I846916B TW 109125812 A TW109125812 A TW 109125812A TW 109125812 A TW109125812 A TW 109125812A TW I846916 B TWI846916 B TW I846916B
Authority
TW
Taiwan
Prior art keywords
robot arm
arm
substrate
image
link
Prior art date
Application number
TW109125812A
Other languages
Chinese (zh)
Other versions
TW202110602A (en
Inventor
傑羅 摩拉
Original Assignee
美商布魯克斯自動機械美國公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商布魯克斯自動機械美國公司 filed Critical 美商布魯克斯自動機械美國公司
Publication of TW202110602A publication Critical patent/TW202110602A/en
Application granted granted Critical
Publication of TWI846916B publication Critical patent/TWI846916B/en

Links

Images

Abstract

A substrate transport apparatus includes a transport chamber, a drive section, a robot arm, an imaging system with a camera mounted through a mounting interface of the drive section in a predetermined location with respect to the transport chamber and disposed to image part of the arm, and a controller connected to the imaging system and configured to image, with the camera, the arm moving to or in the predetermined location, the controller effecting capture of a first image of the arm on registry of the arm proximate to or in the predetermined location, the controller is configured to calculate a positional variance of the arm from comparison of the first image with a calibration image of the arm, and determine a motion compensation factor changing an extended position of the arm. Each camera effecting capture of the first image is disposed inside the perimeter of the mounting interface.

Description

基板運輸設備及操作基板運輸設備的方法 Substrate transport equipment and method for operating substrate transport equipment

本發明例式性實施例一般相關於基板處理設備,且更明確是相關於基板運輸設備。 Exemplary embodiments of the present invention generally relate to substrate processing equipment, and more specifically to substrate transport equipment.

一般而言,半導體自動化運行在真空環境下,真空環境具有由各種處理模組站施加之未知與高溫度變化,諸如耦合到或形成集群工具。習知半導體自動化設計(諸如,機器人設計)倚賴內嵌位置回饋裝置,其定位遠離其中基板被固持在空間中之位置的。舉例而言,運用類比或數位旋轉或線性編碼器來檢測馬達致動器之位置,並且此資訊被用於經由機械臂之假定運動模型來計算空間中機器人末端執行器之預期位置。由於機器手臂操作的環境(例如熱效應)在機器手臂連桿中造成的維度變化大,因此連桿和末端執行器的實際位置可能未知。 Generally speaking, semiconductor automation operates in a vacuum environment with unknown and high temperature variations imposed by various processing module stations, such as coupled to or forming cluster tools. Conventional semiconductor automation designs (e.g., robotics designs) rely on embedded position feedback devices that are located remotely from the position where the substrate is held in space. For example, an analog or digital rotary or linear encoder is used to detect the position of the motor actuator, and this information is used to calculate the expected position of the robot end effector in space via an assumed motion model of the robot arm. Due to the large dimensional variations in the robot arm linkage caused by the environment in which the robot arm operates (e.g., thermal effects), the actual position of the linkage and end effector may be unknown.

一般而言,已提出針對基板處理精確性之不 同解決方案。作為實例,已經在處理模組站中使用視覺系統,以能夠提供附加位置回饋迴路。其他類型之機器人位置感測(諸如基於GPS)亦已經被提出,作為不僅在空間中定位機器人亦得以找出各別處理模組站之方式。其他方法運用定位在處理模組站之閘閥鄰近的反射或穿透光束雷射感測器,以校正相對於末端執行器之晶圓/基板偏位。此等解決方法可以被稱作具有熱補償之主動晶圓置中(Active Wafer Centering,AWC)。若干種版本之AWC亦補償機器手臂連桿由於熱效應造成之維度變化,補償方法諸如包括當手臂維度在熱度上改變時,使用AWC感測器追蹤端部執行器或機器手臂之手腕之特徵,該AWC感測器諸如設置在處理模組站或閘閥處。 In general, different solutions have been proposed for substrate processing accuracy. As an example, vision systems have been used in the processing stations to be able to provide additional position feedback loops. Other types of robotic position sensing (such as GPS-based) have also been proposed as a way to locate the robot not only in space but also to locate individual processing stations. Other approaches use reflected or through-beam laser sensors positioned near the gates of the processing stations to correct for wafer/substrate misalignment relative to the end effector. These solutions may be referred to as Active Wafer Centering (AWC) with thermal compensation. Some versions of AWC also compensate for changes in the dimensions of the robot arm linkage due to thermal effects, such as by using AWC sensors to track the characteristics of the end effector or robot arm wrist as the arm dimensions change over time, such as at the process module station or gate valve.

上述基板處理精確性之習知解決方案可能是不足,因為例如需要對集群工具(或其他處理設備組態)進行不期望的改變(例如,由於成本、停機時間等),以支援附加的位置或溫度回饋資訊。感測技術在嚴峻環境(諸如,高溫或銹蝕氣體)附近可能不能良好地運作。感測技術可能無法提供足夠的資訊以正確預測熱增長(或收縮)。 The above-described known solutions for substrate processing accuracy may be insufficient because, for example, undesirable changes (e.g., due to cost, downtime, etc.) to cluster tools (or other processing equipment configurations) are required to support additional position or temperature feedback information. Sensing technologies may not operate well near harsh environments (e.g., high temperatures or corrosive gases). Sensing technologies may not provide sufficient information to correctly predict thermal growth (or contraction).

12:介面區段 12: Interface section

15:運輸手臂 15: Transport arm

18B:運輸室模組 18B: Transport room module

18i:運輸室模組 18i: Transport room module

26B:運輸手臂 26B: Transport arm

26i:運輸手臂 26i: Transport arm

30i:工件站 30i: Workpiece station

30S1:靜態工件支撐/軸 30S1: Static workpiece support/axis

30S2:靜態工件支撐/軸 30S2: Static workpiece support/axis

56A:負載鎖模組 56A: Load lock module

56S1:靜態工件支撐/軸 56S1: Static workpiece support/axis

56S2:靜態工件支撐/軸 56S2: Static workpiece support/axis

56:負載鎖模組 56: Load lock module

199A1:介面區段 199A1: Interface section

199A2:介面區段 199A2: Interface section

199A3:介面區段 199A3: Interface section

199A4:介面區段 199A4: Interface section

199A5:介面區段 199A5: Interface section

199A6:介面區段 199A6: Interface section

199A7:介面區段 199A7: Interface section

214:線性滑動臂 214: Linear Sliding Arm

216A:蛙腿臂 216A: Frog Leg Arm

216B:蛙腿臂 216B: Frog Leg Arm

216:蛙腿臂 216: Frog Leg Arm

217:跳蛙臂 217: Leapfrog Arm

218A:雙對稱手臂 218A: Bi-symmetric arms

218B:雙對稱手臂 218B: Bisymmetrical arms

218:雙對稱手臂 218: Bisymmetrical arms

219A:第一關節式手臂 219A: First joint arm

219B:第二關節式手臂 219B: Second joint arm

219E:末端執行器 219E: End Executor

219:傳送手臂 219: Teleporting Arm

410:處理工具 410: Processing tools

412:入口/出口站 412: Entry/Exit Station

416:運輸室 416:Transportation Room

500:真空室壁 500: Vacuum chamber wall

510P:周邊 510P: Peripheral

510:安裝介面 510: Installation interface

511:安裝介面之一部分 511: Part of the installation interface

512:安裝介面之一部分 512: Part of the installation interface

570:編碼器 570: Encoder

571:編碼器 571: Encoder

572:編碼器 572: Encoder

599:真空室 599: Vacuum chamber

600:成像系統 600: Imaging system

601:成像感測器 601: Imaging sensor

602:成像感測器 602: Imaging sensor

603:成像感測器 603: Imaging sensor

605:窗口 605: Window

606:開口 606: Open mouth

610:感測器殼體 610:Sensor housing

615:窗口夾環 615:Window clip ring

700A:十字組態 700A: Cross configuration

700B:圓形組態 700B: Circular configuration

700C:雙十字準心組態 700C: Double crosshair configuration

700:指標 700:Indicator

701:指標 701:Indicator

702:指標 702:Indicator

901:影像 901: Image

902:基線影像 902: Baseline image

1100:補償演算法 1100: Compensation algorithm

1101:校正運動模型 1101: Correction of motion model

1102:運動控制演算法 1102: Motion control algorithm

1300:外部裝置 1300: External device

1301:區塊 1301: Block

1302:區塊 1302: Block

1303:區塊 1303: Block

1304:區塊 1304: Block

1305:區塊 1305: Block

1306:區塊 1306: Block

1601:區塊 1601: Block

1602:區塊 1602: Block

1603:區塊 1603: Block

1604:區塊 1604: Block

1605:區塊 1605: Block

2010:線性基板處理系統 2010: Linear substrate processing system

2012:介面區段 2012: Interface section

2050:介面 2050: Interface

2060:介面 2060: Interface

2070:介面 2070: Interface

2080:基板運輸 2080: Substrate transportation

2300A:手臂 2300A: Arm

2300:基板運輸設備 2300: Substrate transport equipment

3018A:運輸室模組 3018A: Transport room module

3018I:運輸室模組 3018I: Transport room module

3018J:運輸室模組 3018J:Transportation room module

3018:運輸室模組 3018:Transportation room module

11000:常壓前端 11000: Normal pressure front end

11005:負載端口模組 11005: Load port module

11010:真空負載鎖 11010: Vacuum load lock

11011:對準器 11011:Alignment device

11013:運輸設備 11013: Transportation equipment

11014:運輸設備 11014: Transportation equipment

11020:真空後端 11020: Vacuum rear end

11025:運輸室 11025:Transportation Room

11030:處理站 11030: Processing station

11040:負載端口 11040: Load port

11050:片匣 11050: Film box

11060:微環境 11060: Microenvironment

11090:工具站 11090: Tool Station

11091K:運動解算器 11091K: Motion solver

11091:控制器 11091:Controller

23000:運輸設備 23000: Transportation equipment

23201:上手臂 23201: Upper arm

23202:前臂 23202:Forearm

23203P:手腕板 23203P: Wrist plate

23203:末端執行器 23203: End executor

23204:驅動區段 23204: Drive section

23598:軸 23598:Axle

23610:第二傳動裝置 23610: Second transmission device

23612:驅動滑輪 23612:Drive pulley

23614:惰滑輪 23614: Idler pulley

23616:皮帶 23616:Belt

23620:第一傳動裝置 23620:First transmission device

23622:驅動滑輪 23622:Drive pulley

23624:惰滑輪 23624: Idler pulley

23626:皮帶 23626: Belt

23634H:殼體 23634H: Shell

23646:手肘 23646:Elbow

23652:肩部 23652:Shoulder

23660:共軸的軸組裝 23660: Coaxial shaft assembly

23662:馬達 23662: Motor

23663:套筒 23663:Sleeve

23664:馬達 23664: Motor

23666:馬達 23666: Motor

23668a:內軸 23668a:Inner shaft

23668b:中軸 23668b:Middle shaft

23668c:外軸 23668c:External shaft

23668d:驅動軸 23668d:Drive shaft

23672:內軸 23672:Inner shaft

23674:外軸 23674:External shaft

23675:共軸的軸組裝 23675: Coaxial shaft assembly

23678a:定子 23678a: Stator

23678b:定子 23678b: stator

23678c:定子 23678c: stator

23680a:轉子 23680a:Rotor

23680b:轉子 23680b:Rotor

23680c:轉子 23680c:Rotor

23698:軸 23698:Axle

23750:惰滑輪 23750: Idle pulley

23751:皮帶 23751:Belt

23752:第三傳動裝置 23752: Third transmission device

23753:驅動滑輪 23753:Drive pulley

23754:惰滑輪 23754: Idler pulley

23755:皮帶 23755:Belt

25115A:SCARA手臂 25115A:SCARA arm

25115B:SCARA手臂 25115B:SCARA arm

25115EA:末端執行器 25115EA: End effector

25155EB:末端執行器 25155EB: End effector

25155FA:前臂連桿 25155FA: Forearm connecting rod

25155FB:前臂連桿 25155FB: Forearm connecting rod

25155UA:上手臂連桿 25155UA: Upper arm connecting rod

25155UB:上手臂連桿 25155UB: Upper arm connecting rod

dL1:長度變化 dL1: length change

dL2:長度變化 dL2: length change

DP:向量 DP: vector

DP1:位置變化 DP1: Position change

DP2:位置變化 DP2: Position change

θ1:手臂角度 θ1: arm angle

θ2:手臂角度 θ2: arm angle

L:手臂長度 L: Arm length

L1:手臂長度 L1: Arm length

L2:手臂長度 L2: Arm length

LL:負載鎖 LL: Load lock

PM:處理模組 PM: Processing module

R:徑向方向 R: radial direction

R1:徑向方向 R1: radial direction

Rz:旋轉 Rz: Rotation

S1:基板 S1: Substrate

S2:基板 S2: Substrate

X:軸 X: axis

Y:軸 Y: axis

Z:軸 Z: axis

Z1:軸 Z1: axis

Z2:軸 Z2: axis

Z3:軸 Z3: axis

將於下文說明中結合所附圖式以描述本揭露實施例之前述態樣及其他特徵,該等圖式中:[圖1A-1D]為併入有本揭露態樣的基板處理設備之示意圖式; [圖2A-2E]為具有本揭露態樣的運輸手臂之示意圖式;[圖3]為根據本揭露態樣的一部分基板處理設備之示意圖式;[圖4]為根據本揭露態樣的一部分基板處理設備之示意圖式;[圖5]為根據本揭露態樣的一部分基板處理設備之示意圖式;[圖6]為根據本揭露態樣的一部分基板處理設備之示意圖式;[圖7]為根據本揭露態樣的基板處理設備的基板運輸之示意圖式;[圖8A]為根據本揭露態樣的基板處理設備的基板運輸之示意圖式;[圖8B]為根據本揭露態樣的基板運輸之例式性指標(target)之示意圖式;[圖8C]為根據本揭露態樣的基板處理設備的基板運輸之示意圖式;[圖9]為根據本揭露態樣的由於熱效應造成指標位置轉換之示意圖式;[圖10A]為根據本揭露態樣在基線條件之基板運輸手臂的運動模型之示意圖式;[圖10B]為根據本揭露態樣在非基線條件之基板運輸手臂的運動模型之示意圖式; [圖11]為根據本揭露態樣的用於運動模型校正之例式性示意控制器流程圖;[圖12]為根據本揭露態樣的基板運輸的手臂連桿的膨脹(expansion)之示意圖式;[圖13]為根據本揭露態樣的用於機器學習熱補償模型之訓練資料蒐集的例式性圖式;[圖14A與14B]為根據本揭露態樣用於取決於攝影機量測以導出連桿長度膨脹之例式性圖式;[圖15]為根據本揭露實施例之一或多態樣的基板運輸設備之操作方法的流程圖;以及[圖16]為根據本揭露實施例之一或多態樣的基板運輸設備之操作方法的流程圖。 The attached figures will be combined in the following description to describe the aforementioned aspects and other features of the disclosed embodiments, in which: [Figures 1A-1D] are schematic diagrams of substrate processing equipment incorporating the disclosed aspects; [Figures 2A-2E] are schematic diagrams of a transport arm having the disclosed aspects; [Figure 3] is a schematic diagram of a portion of a substrate processing equipment according to the disclosed aspects; [Figure 4] is a schematic diagram of a portion of a substrate processing equipment according to the disclosed aspects; [Figure 5] is a schematic diagram of a portion of a substrate processing equipment according to the disclosed aspects [FIG. 6] is a schematic diagram of a portion of a substrate processing device according to the disclosed aspect; [FIG. 7] is a schematic diagram of substrate transportation of a substrate processing device according to the disclosed aspect; [FIG. 8A] is a schematic diagram of substrate transportation of a substrate processing device according to the disclosed aspect; [FIG. 8B] is a schematic diagram of an exemplary target for substrate transportation according to the disclosed aspect; [FIG. 8C] is a schematic diagram of substrate transportation of a substrate processing device according to the disclosed aspect; [FIG. 9 ] is a schematic diagram of the pointer position conversion caused by thermal effect according to the disclosed embodiment; [FIG. 10A] is a schematic diagram of the motion model of the substrate transport arm under baseline conditions according to the disclosed embodiment; [FIG. 10B] is a schematic diagram of the motion model of the substrate transport arm under non-baseline conditions according to the disclosed embodiment; [FIG. 11] is a schematic controller flow chart for motion model correction according to the disclosed embodiment; [FIG. 12] is an expansion (expa) of the arm linkage of the substrate transport according to the disclosed embodiment nsion); [FIG. 13] is an exemplary diagram of training data collection for machine learning heat compensation model according to the disclosed aspects; [FIG. 14A and 14B] are exemplary diagrams for deriving link length expansion based on camera measurement according to the disclosed aspects; [FIG. 15] is a flow chart of the operating method of substrate transport equipment according to one or more aspects of the disclosed embodiments; and [FIG. 16] is a flow chart of the operating method of substrate transport equipment according to one or more aspects of the disclosed embodiments.

【發明內容】及【實施方式】 [Content of invention] and [implementation method]

圖1A-1D描繪根據本揭露態樣的基板處理設備之例式性示意圖式。儘管將參考圖式描述本揭露的各態樣,但是應當理解,本揭露的各態樣可以以多種形式體現。此外,可使用任何適當尺寸、形狀或類型之元件或材料。 Figures 1A-1D depict exemplary schematic diagrams of substrate processing equipment according to aspects of the present disclosure. Although the various aspects of the present disclosure will be described with reference to the figures, it should be understood that the various aspects of the present disclosure may be embodied in a variety of forms. In addition, any suitable size, shape or type of components or materials may be used.

高精確性基板處理之概念源自於無視環境改變而能夠在最小位置變化下將基板置於期望位置之想法。如將在下文更加清楚描述者,本揭露之態樣提供一種設備與方法,可以在封閉或密封環境(諸如在圖1A-1D之基板處理設備中可找到的封閉或密封環境或任何其他適當基板處 理設備/室)內改善基板運輸放置精確性。本揭露之態樣提供一種採用基於視覺(及/或其他非接觸式)的感測系統的獨立解決方案,而無需對基板處理設備及其室進行修改。本揭露之態樣提供一種基板運輸設備在空間中位置(例如,機械臂或末端執行器之位置)的高精確性回饋與熱補償,其無須對基板處理設備之結構進行修改,無對真空環境新增電子設備,以及具有最小或沒有對基板運輸設備手臂及/或機械臂機械設計之衝擊。 The concept of high precision substrate processing originates from the idea of being able to place a substrate in a desired position with minimal positional variation regardless of environmental changes. As will be more clearly described below, aspects of the present disclosure provide an apparatus and method for improving substrate transport placement accuracy in a closed or sealed environment (such as that found in the substrate processing apparatus of FIGS. 1A-1D or any other suitable substrate processing apparatus/chamber). Aspects of the present disclosure provide a stand-alone solution that employs a vision-based (and/or other non-contact) sensing system without requiring modifications to the substrate processing apparatus and its chamber. The disclosed aspects provide a highly accurate feedback and thermal compensation of the position of a substrate transport device in space (e.g., the position of a robot arm or an end effector), which does not require modification of the structure of the substrate processing equipment, does not require the addition of electronic equipment to the vacuum environment, and has minimal or no impact on the mechanical design of the substrate transport device arm and/or robot arm.

根據本揭露之態樣,提供一種基板運輸設備基於視覺感測器系統/定位在處理系統之常壓側(例如,在其中基板運輸設備之手臂進行操作的真空室/環境之外部),以使用手臂之連桿來量測在空間中一或多手臂連桿位置中的基板運輸設備之手臂上至少一點或指標之位置。一手臂連桿之例式性位置是機器人頂部中央姿勢(或手臂完整的縮回位置/定向)或任何其他適當的手臂之預定姿勢。機器人頂部中央姿勢(或其他預定手臂姿勢)在製造手臂時被設定或校準(例如,在馬達編碼器的原始位置或歸零位置)。 According to aspects of the present disclosure, a substrate transport apparatus is provided based on a vision sensor system/positioned on the atmospheric side of a processing system (e.g., outside of a vacuum chamber/environment in which an arm of the substrate transport apparatus operates) to measure the position of at least one point or index on the arm of the substrate transport apparatus in one or more arm linkage positions in space using an arm linkage. An exemplary position of an arm linkage is a robot top center posture (or a fully retracted position/orientation of the arm) or any other appropriate predetermined posture of the arm. The robot top center posture (or other predetermined arm posture) is set or calibrated when the arm is manufactured (e.g., at the original position or zero position of the motor encoder).

基於視覺感測器系統在傳送室的基線溫度對手臂上的至少一個點或指標進行成像,以建立參考位置和溫度(例如,基線量測值)。當手臂操作並且運輸室內的溫度相對於基線測量值改變時,可以用新的測量值來更新手臂運動,以便為在基板傳輸設備的控制器內運行的機器人運動模型提供準確的調整。本揭露提供之基於視覺感測器 系統不會干涉基板運輸設備之手臂或干涉客戶之半導體製程。 A vision-based sensor system images at least one point or index on the arm at a baseline temperature of the transport chamber to establish a reference position and temperature (e.g., a baseline measurement). As the arm operates and the temperature within the transport chamber changes relative to the baseline measurement, the arm motion can be updated with the new measurement to provide accurate adjustments to the robot motion model running within the controller of the substrate transport apparatus. The vision-based sensor system provided by the present disclosure does not interfere with the arm of the substrate transport apparatus or interfere with the customer's semiconductor process.

參照圖1A與1B,根據揭露實施例之態樣示出處理設備(諸如半導體工具站11090)。雖然半導體工具11090在圖式中被描繪,但本文所述揭露實施例之態樣可以被應用到任何工具站或採用機械臂之應用。在本實例中,工具11090被示出是集群工具,然而揭露實施例之態樣可應用到任何適當的工具站,諸如圖1C與1D所示以及在美國專利公告案號8,398,355(2013年3月19日公告,標題“Linearly Distributed Semiconductor Workpiece Processing Tool”)中所述之線性工具站,透過對其揭露引用而將其全文併入本文中。工具站11090一般包括常壓前端11000、真空負載鎖11010與真空後端11020。在其他態樣中,工具站可具有任意適當的組態。前端11000、負載鎖11010與後端11020中各者之組件可以連接到控制器11091,其可以任何適當控制架構(例如集群結構之控制)之部分。該控制系統可以是具有主控制器、集群控制器和自動化遠端控制器的閉合迴路控制器,例如在2011年3月8日發布的題為“Scalable Motion Control System”的美國專利公告案號7,904,182中揭露者,透過對其揭露引用而將其全文併入本文中。在其他態樣中,可以運用任何適當的控制器及/或控制系統。控制器11091包括任何適當的記憶體與處理器,其包括非暫態性程式碼,用於運行本文所述處理設備,以實現自動化基板置中及/或基板處理設備之基板固 持站之自動位置,並教示基板運輸設備有關如本文所述基板固持站之位置。舉例而言,在一態樣中,控制器11091包括內嵌基板定位指令(例如,用於判定基板與基板運輸設備的末端執行器之間的偏心率)。在一態樣中,基板定位指令可以是內嵌拾取/放置指令,用於移動基板,以及在固持基板上之末端執行器通過或超過一或多自動基板置中感測器。控制器經組態以判定基板之中心與末端執行器之參考位置,以及判定基板相對於末端執行器的參考位置之偏心率。在一態樣中,控制器經組態以接收對應於末端執行器及/或基板運輸設備/機器人之運輸手臂的一或多特徵之檢測訊號,並判定由於例如處理模組內溫度所造成之基板運輸設備或者基板運輸設備之組件的熱膨脹或收縮。 Referring to FIGS. 1A and 1B , a processing apparatus (e.g., a semiconductor tool station 11090) is shown according to aspects of the disclosed embodiments. Although a semiconductor tool 11090 is depicted in the drawings, aspects of the disclosed embodiments described herein may be applied to any tool station or application employing a robotic arm. In this example, tool 11090 is shown as a cluster tool, however aspects of the disclosed embodiments may be applied to any suitable tool station, such as a linear tool station as shown in FIGS. 1C and 1D and described in U.S. Patent Publication No. 8,398,355 (issued on March 19, 2013, entitled “Linearly Distributed Semiconductor Workpiece Processing Tool”), which is incorporated herein by reference for its disclosure. The tool station 11090 generally includes an atmospheric front end 11000, a vacuum load lock 11010, and a vacuum back end 11020. In other aspects, the tool station can have any suitable configuration. Components of each of the front end 11000, the load lock 11010, and the back end 11020 can be connected to a controller 11091, which can be part of any suitable control architecture (such as control of a cluster structure). The control system can be a closed loop controller having a master controller, a cluster controller, and an automated remote controller, such as disclosed in U.S. Patent Publication No. 7,904,182, entitled "Scalable Motion Control System," issued on March 8, 2011, which is incorporated herein by reference in its entirety. In other aspects, any suitable controller and/or control system can be used. The controller 11091 includes any suitable memory and processor including non-transitory program code for operating the processing apparatus described herein to implement automated substrate centering and/or automated position of a substrate holding station of the substrate processing apparatus and to teach the substrate transport apparatus about the position of the substrate holding station as described herein. For example, in one aspect, the controller 11091 includes embedded substrate positioning instructions (e.g., for determining the eccentricity between a substrate and an end effector of the substrate transport apparatus). In one aspect, the substrate positioning instructions can be embedded pick/place instructions for moving a substrate and an end effector holding a substrate through or over one or more automated substrate centering sensors. The controller is configured to determine the center of the substrate and a reference position of the end effector, and to determine the eccentricity of the substrate relative to the reference position of the end effector. In one aspect, the controller is configured to receive detection signals corresponding to one or more characteristics of the end effector and/or the transport arm of the substrate transport device/robot and determine thermal expansion or contraction of the substrate transport device or a component of the substrate transport device due to, for example, temperature within the processing module.

如可實現且將在本文中描述的,在一態樣中,基板站位於其內具有真空壓力環境之處理模組內部且本文所述自動導正(auto-teaching)是在該處理模組內發生。在一態樣中,真空壓力是諸如10-5托或以下之高真空。在一態樣中,本文所述自動置中及/或導正發生在位於例如處於處理安全狀態(例如用於處理基板)的處理模組內的基板站特徵中。用於處理基板之處理安全狀態是處理模組之一種狀態,其中處理模組被密封在清潔狀態下,用於準備將處理真空或大氣引入到處理模組中,或在準備將生產晶圓引入處理模組中的一種狀態。 As can be implemented and will be described herein, in one aspect, the substrate station is located within a processing module having a vacuum pressure environment therein and the auto-teaching described herein occurs within the processing module. In one aspect, the vacuum pressure is a high vacuum such as 10-5 Torr or less. In one aspect, the auto-centering and/or teaching described herein occurs in a substrate station feature located within a processing module that is, for example, in a process safe state (e.g., for processing substrates). A process safe state for processing substrates is a state of a processing module in which the processing module is sealed in a clean state in preparation for introducing a process vacuum or atmosphere into the processing module, or in preparation for introducing a production wafer into the processing module.

在一態樣中,前端11000一般包括負載端口模組11005以及微環境11060(諸如例如設備前端模組 (EFEM))。負載端口模組11005可以是符合SEMI標準E15.1,E47.1,E62,E19.5或E1.9的300mm裝載端口、前開口或底開口盒/匣與片匣之盒開啟器/裝載器到工具標準(BOLTS)介面。在其他態樣中,負載端口模組可經組態成200mm晶圓或450mm晶圓介面,或任何其他適當基板介面(諸如較大或較小的晶圓、或用於平面板顯示器之平面板)。雖然在圖1A中示出兩個負載端口模組11005,但在其他態樣中,任何適當數量之負載端口模組可以被結合到前端11000中。負載端口模組11005可經組態成從高架運輸系統、自動引導車輛、人員引導車輛、軌道引導車輛或任何其他合適的運輸方法,承接基板載體或片匣11050。負載端口模組11005可通過負載端口11040來與微環境11060介接。在一態樣中,負載端口11040允許基板在基板片匣11050與微環境11060之間通過。 In one embodiment, the front end 11000 generally includes a load port module 11005 and a microenvironment 11060 (such as, for example, an equipment front end module (EFEM)). The load port module 11005 can be a 300 mm load port, a box opener/loader to tool standard (BOLTS) interface for front opening or bottom opening boxes/cassettes and cassettes that complies with SEMI standards E15.1, E47.1, E62, E19.5 or E1.9. In other embodiments, the load port module can be configured for a 200 mm wafer or 450 mm wafer interface, or any other suitable substrate interface (such as a larger or smaller wafer, or a flat panel for a flat panel display). Although two load port modules 11005 are shown in FIG. 1A , in other aspects, any suitable number of load port modules may be incorporated into the front end 11000 . The load port modules 11005 may be configured to receive substrate carriers or cassettes 11050 from an overhead transport system, an automated guided vehicle, a personnel guided vehicle, a rail guided vehicle, or any other suitable transport method. The load port modules 11005 may interface with the microenvironment 11060 via the load port 11040 . In one aspect, the load port 11040 allows a substrate to pass between the substrate cassette 11050 and the microenvironment 11060 .

在一態樣中,微環境11060通常包括任何適當的傳送機器人11013,其結合有本文所述揭露實施例之一或多態樣。在一態樣中,機器人11013可以是例如在美國專利公告案號6,002,840中描述之履帶式機器人,其全部公開內容或其他態樣透過引用而併入本文中,任何其他合適的運輸機器人具有任何事當的組態。微環境11060可為多負載端口模組之間的基板傳送提供一受控、乾淨區。 In one aspect, the microenvironment 11060 generally includes any suitable transport robot 11013 incorporating one or more aspects of the disclosed embodiments described herein. In one aspect, the robot 11013 may be, for example, a tracked robot as described in U.S. Patent Publication No. 6,002,840, the entire disclosure or other aspects of which are incorporated herein by reference, or any other suitable transport robot having any appropriate configuration. The microenvironment 11060 may provide a controlled, clean zone for substrate transport between multiple load port modules.

真空負載鎖11010可連接到並定位在微環境11060與後端11020之間。再次註明,本文所用之術語真空可指稱高真空(諸如10-5托或以下),基板在其中被進行處 理。負載鎖11010通常包括常壓與真空槽閥。槽閥可提供環境隔離,該環境隔離用於在從常壓前端加載基板之後排空負載鎖,並在用惰性氣體(例如氮氣)排氣時,在運輸室中保持真空。在一態樣中,負載鎖11010包括對準器11011,用於將基板之基標對準到期望位置,以用於進行處理。在其他態樣中,真空負載鎖可定位在處理設備之任何適當位置中,並具有任何適當的組態及/或計量設備。 A vacuum load lock 11010 may be connected to and positioned between the microenvironment 11060 and the back end 11020. Again, the term vacuum as used herein may refer to a high vacuum (e.g., 10 -5 Torr or less) in which the substrate is processed. The load lock 11010 typically includes an atmospheric pressure and a vacuum slot valve. The slot valve may provide environmental isolation for evacuating the load lock after loading the substrate from the atmospheric pressure front end and maintaining a vacuum in the transport chamber while evacuating with an inert gas (e.g., nitrogen). In one embodiment, the load lock 11010 includes an aligner 11011 for aligning a base mark of the substrate to a desired position for processing. In other aspects, the vacuum load lock may be positioned in any suitable location in the processing equipment and have any suitable configuration and/or metering equipment.

真空後端11020一般包括運輸室11025、一或多處理站或模組11030、以及任何適當的傳送機器人或設備11014。將在下文中描述傳送機器人11014,並且該機器人可定位在運輸室11025內,以在負載鎖11010與各處理站11030之間運輸基板。處理站11030可透過各種沉積、蝕刻、或其他類型之處理來對基板進行操作,以在基板上形成電性電路或其他期望結構。典型處理包括但不限於使用真空之薄膜處理,諸如電漿蝕刻或其他蝕刻處理,化學氣相沉積(CVD),電漿氣相沉積(PVD),注入(例如離子注入),計量學,快速熱處理(RTP),乾式剝離原子層沉積(ALD),氧化/擴散,氮化物形成,真空光刻,磊晶(EPI),引線鍵合和蒸發或其他使用真空壓力的薄膜處理。處理站11030可連接到運輸室11025,以允許基板可以從運輸室11025傳遞到處理站11030,且反之亦然。在一態樣中,負載端口模組11005與負載端口11040實質上直接耦合到真空後端11020,以使得安裝在負載端口上之片匣11050實質上(例如,在一態樣中,至少省略了微環境 11060,而在其他態樣中,也省略了真空負載鎖11010,以便以類似於真空負載鎖11010之方式將片匣11050抽真空至真空狀態)與處理站11030之處理真空及/或傳送室11025之真空環境(例如,處理真空及/或真空環境在其間延伸並且在處理站11030與片匣11050之間是共同的)直接介接。 The vacuum back end 11020 generally includes a transport chamber 11025, one or more processing stations or modules 11030, and any suitable transfer robots or equipment 11014. The transfer robot 11014 will be described below and can be positioned within the transport chamber 11025 to transport substrates between the load lock 11010 and each processing station 11030. The processing station 11030 can operate on the substrate through various deposition, etching, or other types of processes to form electrical circuits or other desired structures on the substrate. Typical processes include, but are not limited to, thin film processes using vacuum, such as plasma etching or other etching processes, chemical vapor deposition (CVD), plasma vapor deposition (PVD), implantation (e.g., ion implantation), metrology, rapid thermal processing (RTP), dry strip atomic layer deposition (ALD), oxidation/diffusion, nitride formation, vacuum lithography, epitaxy (EPI), wire bonding and evaporation or other thin film processes using vacuum pressure. The processing station 11030 can be connected to the transport chamber 11025 to allow substrates to be transferred from the transport chamber 11025 to the processing station 11030, and vice versa. In one embodiment, the load port module 11005 and the load port 11040 are substantially directly coupled to the vacuum back end 11020 so that the cassette 11050 mounted on the load port is substantially (e.g., in one embodiment, at least the microenvironment 11060 is omitted, and in other embodiments, the vacuum load lock 11010 is also omitted so that the cassette 11050 is evacuated to a vacuum state in a manner similar to the vacuum load lock 11010) directly interfaced with the processing vacuum of the processing station 11030 and/or the vacuum environment of the transfer chamber 11025 (e.g., the processing vacuum and/or vacuum environment extends therebetween and is common between the processing station 11030 and the cassette 11050).

現在參照圖1C,示出線性基板處理系統2010之示意平面圖,其中工具介面區段2012被安裝到運輸室模組3018,使得介面區段2012一般面對朝著(例如向內)但自運輸室3018之縱向X軸偏位。運輸室模組3018可以在任何適當方向中延伸,其延伸方式是透過將其他運輸室模組3018A、3018I、3018J附接到介面2050、2060、2070,如美國專利公告案號8,398,355中所述者,先前已透過對其引用而併入本文中。各運輸室模組3018、3019A、3018I、3018J包括任何適當的基板運輸2080,其可包括本文所述之揭露實施例之一或多態樣,用於將基板運輸通過處理系統2010並進入與離開例如處理模組PM(其在一態樣中是與上述處理站11030實質上相似)。如可思及,各室模組可能夠固持隔離或控制大氣(例如,N2,乾淨空器,真空)。 1C , a schematic plan view of a linear substrate processing system 2010 is shown in which a tool interface section 2012 is mounted to a transport chamber module 3018 such that the interface section 2012 faces generally toward (e.g., inwardly) but offset from a longitudinal X-axis of the transport chamber 3018. The transport chamber module 3018 may be extended in any suitable direction by attaching other transport chamber modules 3018A, 3018I, 3018J to the interfaces 2050, 2060, 2070 as described in U.S. Patent Publication No. 8,398,355, previously incorporated herein by reference. Each transport chamber module 3018, 3019A, 3018I, 3018J includes any suitable substrate transport 2080, which may include one or more aspects of the disclosed embodiments described herein, for transporting substrates through the processing system 2010 and into and out of, for example, a processing module PM (which in one aspect is substantially similar to the processing station 11030 described above). As can be appreciated, each chamber module may be capable of maintaining an isolated or controlled atmosphere (e.g., N2, clean air, vacuum).

參照圖1D,示出例示性處理工具410之示意立面圖,諸如其可以是沿著線性運輸室416之縱向X軸所擷取。在圖1D所示之揭露實施例之態樣中,工具介面區段12可代表性的連接到運輸室416。在本態樣中,介面區段12可界定工具運輸室416之一端部。如圖1D所示,運輸室416可例如具有另一工件入口/出口站412在介面站12之對立端 部。在其他態樣中,可提供其他的入口/出口站,用於插入/移除工件到/自運輸室。在一態樣中,介面區段12與入口/出口站412可允許從工具加載與卸載工件。在其他態樣中,工件可以從一端部加載到工具中並從另一端部移除。在一態樣中,運輸室416可具有一或多傳送室模組18B、18i。各室模組可能夠固持隔離或控制大氣(例如,N2,乾淨空器,真空)。如前述,如圖1D中所示形成運輸室416之運輸室模組18B、18i、負載鎖模組56A、56與工件站的組態/配置僅是例示性,並且在其他態樣中,運輸室可具有更多或更少設置在任意期望模組配置中的模組。在所示態樣中,站412可以是負載鎖。在其他態樣中,負載鎖模組可定位在端部入口/出口站(與站412相似)之間或接合運輸室模組(與模組18i相似)可經組態以如負載鎖般操作。 Referring to FIG. 1D , a schematic elevation view of an exemplary processing tool 410 is shown, such as it may be captured along the longitudinal X-axis of a linear transport chamber 416. In the aspect of the disclosed embodiment shown in FIG. 1D , the tool interface section 12 may be representatively connected to the transport chamber 416. In this aspect, the interface section 12 may define one end of the tool transport chamber 416. As shown in FIG. 1D , the transport chamber 416 may, for example, have another workpiece entry/exit station 412 at the opposite end of the interface station 12. In other aspects, other entry/exit stations may be provided for inserting/removing workpieces into/from the transport chamber. In one aspect, the interface section 12 and the entry/exit station 412 may allow workpieces to be loaded and unloaded from the tool. In other aspects, workpieces may be loaded into the tool from one end and removed from the other end. In one embodiment, the transport chamber 416 may have one or more transfer chamber modules 18B, 18i. Each chamber module may be capable of holding an isolated or controlled atmosphere (e.g., N2, clean air, vacuum). As previously described, the configuration/arrangement of the transport chamber modules 18B, 18i, load lock modules 56A, 56, and workpiece stations forming the transport chamber 416 as shown in FIG. 1D is merely exemplary, and in other embodiments, the transport chamber may have more or fewer modules arranged in any desired module configuration. In the embodiment shown, station 412 may be a load lock. In other embodiments, the load lock module may be positioned between end entry/exit stations (similar to station 412) or a joint transport chamber module (similar to module 18i) may be configured to operate as a load lock.

亦如先前所述,運輸室模組18B、18i在其內具有一或多對應的運輸設備26B、26i,其可包括本文所述揭露實施例之一或多態樣。各別運輸室模組18B、18i之運輸設備26B、26i可協作以在運輸室中提供該線性分佈的工件運輸系統。在本態樣中,運輸設備26B(其可實質上與圖1A與1B中所描繪的集群工具之運輸設備11013、11014相似)可具有一般SCARA手臂組態(儘管在其他態樣中,運輸手臂可以具有任何其他期望的配置,例如,如圖2B所示的線性滑動臂214或具有任何適當的手臂連桿機構的其他適當的手臂)。手臂連桿機構的合適實例可以在例如2009年8月25日授權的美國專利公告案號7,578,649、1998年8月18 日授權的5,794,487、2011年5月24日授權的7,946,800、2002年11月26日授權的6,485,250,於2011年2月22日授權的7,891,935、於2013年4月16日授權的美國專利8,419,341和分別於2011年11月10日提交的題為“Dual Arm Robot(雙手臂機器人)”的美國專利申請案號13/293,717和於2013年9月5日提交的題為“Linear Vacuum Robot with Z Motion and Articulated Arm(帶有Z軸運動和關節式手臂的線性真空機器人)”的美國專利申請案號13/861,693中找到,其全部公開內容通過引用整體併入本文中。在揭露實施例之態樣中,至少一傳送手臂可以源自常規的SCARA(選擇性順應的關節式機器手臂)型設計,其包括上手臂,帶驅動的前臂和帶約束的末端執行器,或伸縮臂或任何其他合適的手臂設計。傳送手臂的合適例子可以在例如2008年5月8日提交的題為“Substrate Transport Apparatus with Multiple Movable Arms Utilizing a Mechanical Switch Mechanism(利用機械式開關機構的具有多個可動手臂的基板運輸設備)”的美國專利申請案號第12/117,415號和於2010年1月19日發布的美國專利案號第7,648,327號中找到,其全部內容通過引用整體併入本文中。傳送手臂之操作可彼此獨立(例如,各手臂之延伸/縮回是與其他手臂獨立),可透過空轉運動開關來操作傳送手臂,或者可以以任何合適的方式可操作地連接手臂,使得該手臂共享至少一個共用驅動軸。仍在其他態樣中,運輸手臂可具有其他期望配置,諸如蛙腿臂216(圖2A)組態、跳蛙臂217(圖2D) 組態、雙對稱手臂218(圖2C)組態等。在另一態樣中,參照圖2E,傳送手臂219包括至少第一與第二關節式手臂219A、219B,其中各手臂219A、219B包括末端執行器219E,其經組態以在共用傳送平面上邊對邊並排固持至少兩個基板(S1、S2)(末端執行器219E的每個基板固持位置共享一個公共驅動器,用於拾取和放置基板S1,S2),其中基板S1,S2之間的間隔DX對應於並排的基板固持位置之間的固定間隔。運輸手臂的適當實例可以在2001年5月15日授權的美國專利公告案號6,231,297、1993年1月19日授權的5,180,276、2002年10月15日授權的6,464,448、2001年5月1日授權的6,224,319、1995年9月5日授權的5,447,409、2009年8月25日授權的7,578,649、1998年8月18日授權的5,794,487、2011年5月24日授權的7,946,800、2002年11月26日授權的6,485,250、2011年2月22日授權的7,891,935和於2011年11月10日提交的提交的題為“Dual Arm Robot(雙手臂機器人)”的美國專利申請案號第13/293,717號與於2011年10月11日提交的題為“Coaxial Drive Vacuum Robot(共軸驅動真空機器人)”的美國專利申請案號13/270,844中找到,其全部公開內容通過引用整體併入本文中。在一個態樣中,所揭露之實施例的各態樣被結合到諸如在例如美國專利公告號8,293,066和7,988,398中描述的那些線性運輸梭的運輸手臂之中,其公開內容通過引用整體併入本文中。 As previously described, the transport room modules 18B, 18i have one or more corresponding transport devices 26B, 26i therein, which may include one or more aspects of the disclosed embodiments described herein. The transport devices 26B, 26i of the respective transport room modules 18B, 18i may cooperate to provide the linearly distributed workpiece transport system in the transport room. In this aspect, the transport device 26B (which may be substantially similar to the transport devices 11013, 11014 of the cluster tool depicted in Figures 1A and 1B) may have a general SCARA arm configuration (although in other aspects, the transport arm may have any other desired configuration, for example, a linear sliding arm 214 as shown in Figure 2B or other suitable arms with any suitable arm linkage mechanism). Suitable examples of arm linkage mechanisms can be found, for example, in U.S. Patent Publication Nos. 7,578,649, issued on August 25, 2009, 5,794,487, issued on August 18, 1998, 7,946,800, issued on May 24, 2011, 6,485,250, issued on November 26, 2002, 7,891,935, issued on February 22, 2011, 8,419,341, issued on April 16, 2013, and U.S. Patent Application Nos. 13/293,717, filed on November 10, 2011, entitled “Dual Arm Robot” and 13/293,717, filed on September 5, 2013, entitled “Linear Vacuum Robot with Z Motion Control”. and Articulated Arm" can be found in U.S. Patent Application No. 13/861,693, the entire disclosure of which is incorporated herein by reference in its entirety. In the disclosed embodiments, at least one transfer arm can be derived from a conventional SCARA (selectively compliant articulated robot arm) type design, which includes an upper arm, a driven forearm and a constrained end effector, or a telescopic arm or any other suitable arm design. Suitable examples of transport arms can be found, for example, in U.S. Patent Application No. 12/117,415, filed May 8, 2008, entitled "Substrate Transport Apparatus with Multiple Movable Arms Utilizing a Mechanical Switch Mechanism," and U.S. Patent No. 7,648,327, issued January 19, 2010, the entire contents of which are incorporated herein by reference in their entirety. The transport arms may be operated independently of one another (e.g., each arm may be extended/retracted independently of the other arms), the transport arms may be operated via a lost motion switch, or the arms may be operably connected in any suitable manner such that the arms share at least one common drive axis. In still other embodiments, the transport arm may have other desired configurations, such as a frog-leg arm 216 (FIG. 2A) configuration, a leaping frog arm 217 (FIG. 2D) configuration, a dual symmetric arm 218 (FIG. 2C) configuration, etc. In another embodiment, referring to FIG. 2E, the transport arm 219 includes at least first and second articulated arms 219A, 219B, wherein each arm 219A, 219B includes an end effector 219E configured to hold at least two substrates (S1, S2) side by side on a common transport plane (each substrate holding position of the end effector 219E shares a common drive for picking up and placing substrates S1, S2), wherein the spacing DX between the substrates S1, S2 corresponds to a fixed spacing between the side-by-side substrate holding positions. Suitable examples of transport arms may be found in U.S. Patent Nos. 6,231,297 issued May 15, 2001, 5,180,276 issued January 19, 1993, 6,464,448 issued October 15, 2002, 6,224,319 issued May 1, 2001, 5,447,409 issued September 5, 1995, 200 7,578,649 granted on August 25, 1999, 5,794,487 granted on August 18, 1998, 7,946,800 granted on May 24, 2011, 6,485,250 granted on November 26, 2002, 7,891,935 granted on February 22, 2011, and a filing entitled “Dual The present invention is found in U.S. Patent Application No. 13/293,717 entitled "Arm Robot" and U.S. Patent Application No. 13/270,844 filed on October 11, 2011 and entitled "Coaxial Drive Vacuum Robot", the entire disclosures of which are incorporated herein by reference in their entirety. In one aspect, various aspects of the disclosed embodiments are incorporated into the transport arm of a linear transport shuttle such as those described in, for example, U.S. Patent Publication Nos. 8,293,066 and 7,988,398, the disclosures of which are incorporated herein by reference in their entirety.

在圖1D揭露實施例之態樣中,運輸設備26B 之手臂可以被配置以提供可以被稱做「快速交換(fast swap)」之配置,其允許從拾起/放置位置處快速交換晶圓(例如,從基板固持位置拾起晶圓並接著快速放置另一晶圓在該相同基板固持位置上)之運輸。運輸手臂26B可具有任何適當的驅動區段(例如,共軸排列的驅動軸,並排驅動軸,水平相鄰的馬達,垂直堆疊的馬達等),用於對各手臂提供任何適當量之自由度(例如,繞肩部和手肘關節之Z軸運動的獨立旋轉)。如參見圖1D,在本態樣中,模組56A、56、30i可定位在傳送室模組18B、18i之間隙中,並且可以界定合適的處理模組、負載鎖LL、緩衝站、計量站或任何其他期望的站。舉例而言,間隙模組(諸如,負載鎖56A、56與工件站30i)各可具有靜態工件支撐/軸56S1、56S2、30S1、30S2,其可與運輸手臂協作以透過沿著運輸室之線性X軸的運輸室長度來實現工件之傳輸。透過實例,工件可以透過介面區段12被加載到運輸室416中。使用介面區段之運輸手臂15,工件可被設置在負載鎖模組56A之支撐件上。負載鎖模組56A中的工件可透過模組18B中的運輸手臂26B在負載鎖模組56A與負載鎖模組56之間移動,以及以相似與連續之方式使用手臂26i(在模組18i中)在負載鎖56與工件站30i之間移動,以及使用模組18i中的手臂26i在站30i與站412之間移動。此處理可整個或部分反轉,以將工件移動於相反方向中。因此,在一態樣中,可沿著X軸在任意方向中移動工件,以及工件可移動到沿著運輸室之任何位置,且工件可以從與運輸室連通之任何 期望模組(處理或其他者)卸載或加載到任何期望模組。在其他態樣中,具靜態工件支撐件或架之間隙運輸室模組可能不會被設置在運輸室模組18B與18i之間。在此種態樣中,接合運輸室模組之運輸手臂可直接從末端執行器或一個運輸手臂接過工件後帶到另一運輸手臂之末端執行器,以使工件移動通過運輸室。處理站模組可透過各種沉積、蝕刻、或其他類型之處理來對基板進行操作,以在基板上形成電性電路或其他期望結構。處理站模組可連接到運輸室模組,以允許基板可以從運輸室傳遞到處理站,且反之亦然。在美國專利公告案號第8,398,355號中描述了具有與圖1D中所示的處理設備類似的一般特徵之處理工具的合適實例,該美國專利先前已在此全文引入作為參考。 In the embodiment disclosed in FIG. 1D , the arms of the transport device 26B can be configured to provide what may be referred to as a "fast swap" configuration, which allows for rapid exchange of wafers from a pick/place position (e.g., picking up a wafer from a substrate holding position and then rapidly placing another wafer on the same substrate holding position). The transport arm 26B can have any suitable drive sections (e.g., coaxially arranged drive axes, side-by-side drive axes, horizontally adjacent motors, vertically stacked motors, etc.) for providing any suitable amount of degrees of freedom to each arm (e.g., independent rotation of Z-axis motion around the shoulder and elbow joints). As shown in FIG. 1D , in this aspect, modules 56A, 56, 30i may be positioned in the gap between transfer chamber modules 18B, 18i and may define appropriate processing modules, load locks LL, buffer stations, metrology stations, or any other desired stations. For example, the gap modules (e.g., load locks 56A, 56 and workpiece stations 30i) may each have a static workpiece support/axis 56S1, 56S2, 30S1, 30S2 that may cooperate with the transport arm to enable transfer of the workpiece through the length of the transport chamber along the linear X-axis of the transport chamber. By way of example, the workpiece may be loaded into the transport chamber 416 through the interface section 12. Using the transport arm 15 of the interface section, the workpiece can be placed on the support of the load lock module 56A. The workpiece in the load lock module 56A can be moved between the load lock module 56A and the load lock module 56 by the transport arm 26B in the module 18B, and in a similar and continuous manner between the load lock 56 and the workpiece station 30i using the arm 26i (in the module 18i), and between the station 30i and the station 412 using the arm 26i in the module 18i. This process can be reversed in whole or in part to move the workpiece in the opposite direction. Thus, in one embodiment, the workpiece may be moved in any direction along the X-axis and to any position along the transport chamber and may be unloaded from or loaded to any desired module (processing or otherwise) in communication with the transport chamber. In other embodiments, an interstitial transport chamber module with a static workpiece support or rack may not be disposed between the transport chamber modules 18B and 18i. In such embodiments, a transport arm engaging the transport chamber module may directly take the workpiece from the end effector or one transport arm and bring it to the end effector of another transport arm to move the workpiece through the transport chamber. The processing station modules may operate on the substrates through various deposition, etching, or other types of processes to form electrical circuits or other desired structures on the substrates. The processing station module may be connected to the transport chamber module to allow substrates to be transferred from the transport chamber to the processing station and vice versa. A suitable example of a processing tool having general features similar to the processing apparatus shown in FIG. 1D is described in U.S. Patent Publication No. 8,398,355, which has been previously incorporated herein by reference in its entirety.

參照圖3,將描述基板運輸設備2300(諸如上述者)成具有至少一多連桿或SCARA手臂2300A,然而,本揭露之此態樣也同樣可應用到任何適當的運輸手臂,諸如上述者且包括但不限於跳蛙臂組態、雙對稱手臂組態與關節式手腕組態。一般而言,運輸設備2300包括SCARA手臂2300A(一般稱作手臂2300A),其具有上手臂23201、前臂23202、基板固持器或末端執行器23203(其上具有基板固持站)、以及驅動區段23204。控制器11091可以連接到運輸設備2300,以視需求移動SCARA手臂2300A之手臂區段。在其他態樣中,手臂總成可具有任何其他期望之一般SCARA組態。舉例而言,總成可具有多前臂及/或多基板固持器。 Referring to FIG. 3 , the substrate transport apparatus 2300 (as described above) will be described as having at least one multi-link or SCARA arm 2300A, however, this aspect of the present disclosure is also equally applicable to any suitable transport arm, as described above and including but not limited to a leapfrog arm configuration, a dual symmetric arm configuration, and an articulated wrist configuration. Generally speaking, the transport apparatus 2300 includes a SCARA arm 2300A (generally referred to as arm 2300A) having an upper arm 23201, a forearm 23202, a substrate holder or end effector 23203 (having a substrate holding station thereon), and a drive section 23204. A controller 11091 can be connected to the transport apparatus 2300 to move the arm sections of the SCARA arm 2300A as needed. In other embodiments, the arm assembly may have any other desired general SCARA configuration. For example, the assembly may have multiple forearms and/or multiple substrate holders.

基板固持器23203透過軸總成23754在運輸設備2300之手腕23755處可旋轉連接到前臂23202。基板固持器23203可以是透過支撐軸23698旋轉連接到前臂23202。在一態樣中,基板固持器23203可以是分叉末端執行器。基板固持器23203可具有主動機械或被動邊緣夾具。在其他態樣中,基板固持器23202可以是具有真空吸盤之槳式末端執行器。前臂23202透過共軸的軸(coaxial shaft)總成23675在運輸設備2300之手肘23646處可旋轉連接到上手臂23201。基板固持器23203具有預定中心,其中末端執行器經組態以固持基板,以使得基板中心與末端執行器預定中心重合,用於如本文所述般在基板處理設備內運輸基板。上手臂23201在肩部23652可旋轉連接到驅動區段23204。在本態樣中,上手臂23201與前臂23202具有相等長度,但在其他態樣中,上手臂23201例如可能長度短於前臂23202,或反之亦然。 The substrate holder 23203 is rotatably connected to the forearm 23202 at the wrist 23755 of the transport device 2300 through a shaft assembly 23754. The substrate holder 23203 can be rotatably connected to the forearm 23202 through a support shaft 23698. In one embodiment, the substrate holder 23203 can be a forked end effector. The substrate holder 23203 can have an active mechanical or passive edge clamp. In other embodiments, the substrate holder 23202 can be a paddle-type end effector with a vacuum suction cup. The forearm 23202 is rotatably connected to the upper arm 23201 at the elbow 23646 of the transport device 2300 through a coaxial shaft assembly 23675. The substrate holder 23203 has a predetermined center, wherein the end effector is configured to hold the substrate so that the center of the substrate coincides with the predetermined center of the end effector for transporting the substrate within the substrate processing apparatus as described herein. The upper arm 23201 is rotatably connected to the drive section 23204 at the shoulder 23652. In this embodiment, the upper arm 23201 and the forearm 23202 have equal lengths, but in other embodiments, the upper arm 23201 may be shorter than the forearm 23202, or vice versa, for example.

在所示出態樣中,驅動區段23204可具有外部殼體23634H,該殼體容納共軸的軸組裝23660以及三個馬達23662、23664、23666或驅動軸,各具有各別編碼器570、571、572,以例如用於判斷各別定子23678a-23678c之旋轉位置(以及各別與定子耦合之驅動軸23668a-23668c)。在其他態樣中,驅動區段可具有多於或少於三個的馬達。驅動軸總成23660具有三個驅動軸23668a、23668b與23668c。在其他態樣中,可設置多於或少於三個的驅動軸。第一馬達23662包含定子23678a以及與內軸23668a連接 的轉子23680a。第二馬達23662包含定子23678b以及與中軸23668b連接的轉子23680b。第三馬達23666包含定子23678c以及與外軸23668c連接的轉子23680c。三個定子23678a、23678b、23678c是在沿殼體之不同垂直高度或位置靜態附接到殼體23634H。在本態樣中,第一定子23678a是底部定子、第二定子23678b是中間定子、以及第三定子23678c是頂部定子。各定子一般包含電磁線圈。三個軸23668a、23668b與23668c被配置成共軸的軸。三個轉子23680a、23680b、23680c較佳包含永久磁鐵,但可替代地包含不具有永久磁鐵之磁感應轉子。套筒23663位於轉子23680與定子23678之間,以允許運輸設備2300可以在真空環境中使用,其中驅動軸總成23660位於真空環境中,而定子23678位於真空環境外部。然而,若運輸設備2300僅目的在於用於常壓環境下,則不需要設置套筒23663。 In the illustrated embodiment, the drive section 23204 may have an outer housing 23634H that houses a coaxial shaft assembly 23660 and three motors 23662, 23664, 23666 or drive shafts, each with a respective encoder 570, 571, 572, for example, to determine the rotational position of a respective stator 23678a-23678c (and a respective drive shaft 23668a-23668c coupled to the stator). In other embodiments, the drive section may have more or less than three motors. The drive shaft assembly 23660 has three drive shafts 23668a, 23668b and 23668c. In other embodiments, more or less than three drive shafts may be provided. The first motor 23662 includes a stator 23678a and a rotor 23680a connected to the inner shaft 23668a. The second motor 23662 includes a stator 23678b and a rotor 23680b connected to the middle shaft 23668b. The third motor 23666 includes a stator 23678c and a rotor 23680c connected to the outer shaft 23668c. The three stators 23678a, 23678b, 23678c are statically attached to the housing 23634H at different vertical heights or positions along the housing. In this embodiment, the first stator 23678a is the bottom stator, the second stator 23678b is the middle stator, and the third stator 23678c is the top stator. Each stator generally includes an electromagnetic coil. The three shafts 23668a, 23668b and 23668c are configured as coaxial shafts. The three rotors 23680a, 23680b, 23680c preferably include permanent magnets, but may alternatively include magnetic induction rotors without permanent magnets. The sleeve 23663 is located between the rotor 23680 and the stator 23678 to allow the transport device 2300 to be used in a vacuum environment, wherein the drive shaft assembly 23660 is located in the vacuum environment and the stator 23678 is located outside the vacuum environment. However, if the transport device 2300 is intended only for use in a normal pressure environment, the sleeve 23663 is not required.

第一軸(shaft)23668a是內軸並從底部定子23678a延伸。內軸具有與底部定子23678a對準之第一轉子23680a。中軸23668b從中間定子23678b向上延伸。中軸具有與第二定子23678b對準之第二轉子23680b。外軸23668c從頂部定子23678c向上延伸。外軸具有與上定子(upper stator)23678c對準之第三轉子23680c。各種軸承被設置繞著軸23668以及殼體23634H,以允許各軸可相對於彼此以及相對於殼體23634H獨立旋轉。各軸23668可設置具有適當位置感測器(例如,諸如各別編碼器570-572),以向控制器11091發訊有關軸23668相對於彼此及/或相對於殼體 23634H之旋轉位置。可使用任何適當的感測器,諸如光學或電感感測器。 The first shaft 23668a is an inner shaft and extends from the bottom stator 23678a. The inner shaft has a first rotor 23680a aligned with the bottom stator 23678a. The middle shaft 23668b extends upward from the middle stator 23678b. The middle shaft has a second rotor 23680b aligned with the second stator 23678b. The outer shaft 23668c extends upward from the top stator 23678c. The outer shaft has a third rotor 23680c aligned with the upper stator 23678c. Various bearings are provided around the shaft 23668 and the housing 23634H to allow the shafts to rotate independently relative to each other and relative to the housing 23634H. Each shaft 23668 may be provided with a suitable position sensor (e.g., such as a respective encoder 570-572) to signal the controller 11091 regarding the rotational position of the shafts 23668 relative to each other and/or relative to the housing 23634H. Any suitable sensor may be used, such as an optical or inductive sensor.

外軸23668c經固定連接到上手臂23201,以使得軸23668c與上手臂23201如一單元繞Z1軸一起旋轉。中軸23668b連接到上手臂23201中的第一傳動裝置23620,以及內軸23668a連接到上手臂23201中的第二傳動裝置23610,如圖23所示。第一傳動裝置23620較佳包含驅動滑輪23622、惰滑輪23624以及驅動纜線或皮帶23626。驅動滑輪23622是固定安裝到中軸23668b頂部並透過驅動皮帶23626連接到惰滑輪23624。惰滑輪23624是固定安裝到共軸的軸總成23675的內軸23672底部,該軸總成將前臂23202連接到上手臂23201。在上手臂23201中的第二傳動裝置23610較佳包含驅動滑輪23612、惰滑輪23614以及驅動纜線或皮帶23616。驅動滑輪23612是固定安裝到驅動區段23204裡共軸的軸總成23660之內軸23668a頂部。惰滑輪23614是固定安裝到共軸的軸總成的外軸23674底部,該軸總成將前臂23202連接到上手臂23201。驅動皮帶23616將驅動滑輪23612連接到惰滑輪23614。第一傳動裝置23626之惰與驅動滑輪23624、23622之間的直徑比率(例如,滑輪比率),以及第二傳動裝置23610之惰與驅動滑輪23614、23612之間的直徑比率可以為任何適當的驅動比率,如本文所述者。驅動皮帶23616、23626經組態使各別惰滑輪23614、23624以與對應驅動滑輪23612、23622相同的方向(例如,驅動滑輪23612、23622之順時針旋轉將導 致惰滑輪23614、23624順時針旋轉)旋轉。 The outer shaft 23668c is fixedly connected to the upper arm 23201 so that the shaft 23668c rotates with the upper arm 23201 as a unit around the Z1 axis. The middle shaft 23668b is connected to the first transmission device 23620 in the upper arm 23201, and the inner shaft 23668a is connected to the second transmission device 23610 in the upper arm 23201, as shown in Figure 23. The first transmission device 23620 preferably includes a drive pulley 23622, an idler pulley 23624 and a drive cable or belt 23626. The drive pulley 23622 is fixedly mounted to the top of the middle shaft 23668b and connected to the idler pulley 23624 through the drive belt 23626. The idler pulley 23624 is fixedly mounted to the bottom of the inner shaft 23672 of the coaxial shaft assembly 23675 that connects the forearm 23202 to the upper arm 23201. The second transmission 23610 in the upper arm 23201 preferably includes a drive pulley 23612, an idler pulley 23614, and a drive cable or belt 23616. The drive pulley 23612 is fixedly mounted to the top of the inner shaft 23668a of the coaxial shaft assembly 23660 in the drive section 23204. The idler pulley 23614 is fixedly mounted to the bottom of the outer shaft 23674 of the coaxial shaft assembly that connects the forearm 23202 to the upper arm 23201. The drive belt 23616 connects the drive pulley 23612 to the idler pulley 23614. The diameter ratio (e.g., pulley ratio) between the idler and drive pulleys 23624, 23622 of the first transmission 23626 and the diameter ratio between the idler and drive pulleys 23614, 23612 of the second transmission 23610 can be any suitable drive ratio, as described herein. The drive belts 23616, 23626 are configured to rotate the respective idler pulleys 23614, 23624 in the same direction as the corresponding drive pulleys 23612, 23622 (e.g., clockwise rotation of the drive pulleys 23612, 23622 will cause the idler pulleys 23614, 23624 to rotate clockwise).

將前臂23202連接到上手臂23201之共軸的軸總成23675是從上手臂23201透過適當軸承來可旋轉的支撐,該軸承允許軸總成之外軸與內軸23674、23672可以繞Z2軸相對於彼此與相對於上手臂23201旋轉。共軸的軸總成23675之外軸23674是固定安裝到前臂23202,以使得軸23674與前臂23202可以如一單元繞Z2軸一起旋轉。當上手臂23201中的第二傳動裝置23610之惰滑輪23614透過驅動區段23204之內軸23668a進行旋轉時,前臂23202是繞Z2軸旋轉。因此,驅動區段23204之內軸23668a是用於使前臂23202相對於上手臂23201獨立旋轉。 The coaxial shaft assembly 23675 connecting the forearm 23202 to the upper arm 23201 is rotatably supported from the upper arm 23201 by suitable bearings which allow the outer and inner shafts 23674, 23672 of the shaft assembly to rotate about the Z2 axis relative to each other and to the upper arm 23201. The outer shaft 23674 of the coaxial shaft assembly 23675 is fixedly mounted to the forearm 23202 so that the shaft 23674 and forearm 23202 can rotate together about the Z2 axis as a unit. When the idler pulley 23614 of the second transmission device 23610 in the upper arm 23201 rotates through the inner shaft 23668a of the drive section 23204, the forearm 23202 rotates around the Z2 axis. Therefore, the inner shaft 23668a of the drive section 23204 is used to make the forearm 23202 rotate independently relative to the upper arm 23201.

共軸的軸總成之內軸23672是固定附接到前臂23202中第三傳動裝置23752之驅動滑輪23753。在前臂23202中的第三傳動裝置23752較佳包含驅動滑輪23753、惰滑輪23750以及驅動纜線或皮帶23751。惰滑輪23750經固定安裝到軸23698。驅動皮帶23751將驅動滑輪23753連接到惰滑輪23750。軸23698由合適的軸承從前臂23202可旋轉地支撐,該軸承允許軸23698繞Z3軸相對於前臂23202旋轉。在此方面,第三傳動裝置23752之惰與驅動滑輪23750、23753之間的直徑比率可以為任何適當的驅動比率,如本文所述者。驅動皮帶23751經組態使惰滑輪23750以與驅動滑輪23753相同的方向(例如,驅動滑輪23753之順時針旋轉將導致惰滑輪23750順時針旋轉)旋轉。 The inner shaft 23672 of the coaxial shaft assembly is fixedly attached to the drive pulley 23753 of the third transmission device 23752 in the forearm 23202. The third transmission device 23752 in the forearm 23202 preferably includes a drive pulley 23753, an idler pulley 23750, and a drive cable or belt 23751. The idler pulley 23750 is fixedly mounted to the shaft 23698. The drive belt 23751 connects the drive pulley 23753 to the idler pulley 23750. The shaft 23698 is rotatably supported from the forearm 23202 by suitable bearings that allow the shaft 23698 to rotate relative to the forearm 23202 about the Z3 axis. In this regard, the diameter ratio between the idler and drive pulleys 23750, 23753 of the third transmission device 23752 can be any suitable drive ratio, as described herein. The drive belt 23751 is configured to rotate the idler pulley 23750 in the same direction as the drive pulley 23753 (e.g., clockwise rotation of the drive pulley 23753 will cause the idler pulley 23750 to rotate clockwise).

軸23698經固定安裝到基板固持器23203。因 此,軸23698與基板固持器23203繞Z3軸如一單元一起旋轉。當第三傳動裝置23752之惰滑輪23750是透過驅動滑輪23753旋轉時,基板固持器23203是繞Z3軸旋轉。驅動滑輪23753進而由共軸的軸總成23675之內軸23672旋轉。當上手臂23201中的第一傳動裝置23626之惰滑輪23624透過驅動區段23204之中軸23268b進行旋轉時,內軸23672旋轉。因此,基板固持器23203可以相對於前臂23202與上手臂23201繞著Z3軸獨立旋轉。 The shaft 23698 is fixedly mounted to the substrate holder 23203. Therefore, the shaft 23698 rotates together with the substrate holder 23203 as a unit around the Z3 axis. When the idler pulley 23750 of the third transmission device 23752 rotates through the drive pulley 23753, the substrate holder 23203 rotates around the Z3 axis. The drive pulley 23753 is in turn rotated by the inner shaft 23672 of the coaxial shaft assembly 23675. When the idler pulley 23624 of the first transmission device 23626 in the upper arm 23201 rotates through the middle shaft 23268b of the drive section 23204, the inner shaft 23672 rotates. Therefore, the substrate holder 23203 can rotate independently around the Z3 axis relative to the forearm 23202 and the upper arm 23201.

參照圖4,在一態樣中,運輸設備2300可包括兩個SCARA手臂25155A、25155B,其與手臂2300A實質相似。舉例而言,各SCARA手臂25155A、25155B包括上手臂連桿25155UA、25155UB,前臂連桿25155FA、25155FB,以及末端執行器25155EA、25155EB。在本態樣中,末端執行器25155EA、25155EB從動於上手臂,但在其他態樣中,末端執行器可以被獨立驅動。手臂25155A、25155B(示出為三個連桿SCARA手臂)可共軸耦合到驅動區段23204,並且可垂直堆疊在彼此頂部上以允許獨立的theta運動(使用例如四個軸驅動-請見驅動軸23668d)或耦合的theta運動(使用例如三個軸驅動),其中耦合的theta運動是機器手臂繞著肩軸Z1如一單元般之旋轉且無延伸或縮回。各手臂25155A、25155B是由一對馬達驅動且可具有任何適當的驅動滑輪配置。在一態樣中,針對各手臂之肩部滑輪、手肘滑輪、與手腕滑輪之間的直徑比率可以(作為非限定性目的)是1:1:2比率或2:1:2比率。為延伸各例如 使用1:1:2比率之手臂,該對馬達中各馬達以實質相等且相反的方向旋轉。為延伸各例如使用2:1:2比率之手臂,肩部滑輪固持成實質上固定的(例如,實質上不旋轉),且與上手臂耦合之馬達被旋轉以延伸該手臂。theta運動是透過在相同方向上以實質相同速度來旋轉馬達來控制。其中在末端執行器是在相同平面上之情況下,各手臂相對於彼此之theta運動是受限制,然而若手臂一起移動則手臂可在theta方面無限的移動。如可實現,當各手臂相較於其他手臂獨立驅動時諸如當使用四個軸驅動時,在末端執行器不在相同平面上之情況下,各手臂可在theta方面無限移動。 Referring to FIG. 4 , in one embodiment, the transport device 2300 may include two SCARA arms 25155A, 25155B, which are substantially similar to the arm 2300A. For example, each SCARA arm 25155A, 25155B includes an upper arm link 25155UA, 25155UB, a forearm link 25155FA, 25155FB, and an end effector 25155EA, 25155EB. In this embodiment, the end effectors 25155EA, 25155EB are driven by the upper arm, but in other embodiments, the end effectors can be driven independently. The arms 25155A, 25155B (shown as three link SCARA arms) can be coaxially coupled to the drive section 23204 and can be stacked vertically on top of each other to allow independent theta motion (using, for example, four axis drives - see drive axis 23668d) or coupled theta motion (using, for example, three axis drives), where the coupled theta motion is rotation of the machine arm as a unit about the shoulder axis Z1 with no extension or retraction. Each arm 25155A, 25155B is driven by a pair of motors and can have any suitable drive pulley configuration. In one aspect, the diameter ratio between the shoulder pulley, elbow pulley, and wrist pulley for each arm can be (for non-limiting purposes) a 1:1:2 ratio or a 2:1:2 ratio. To extend each arm, for example, using a 1:1:2 ratio, each motor in the pair of motors rotates in substantially equal and opposite directions. To extend each arm, for example, using a 2:1:2 ratio, the shoulder pulley is held substantially stationary (e.g., substantially non-rotating) and the motor coupled to the upper arm is rotated to extend the arm. Theta motion is controlled by rotating the motors in the same direction at substantially the same speed. Where the end effectors are in the same plane, the theta motion of each arm relative to each other is restricted, however, if the arms move together, the arms can move infinitely in theta. If achievable, when each arm is driven independently of the other arms, such as when using a four-axis drive, each arm can move infinitely in theta without the end effectors being in the same plane.

參照圖5,驅動區段23204被示出是耦合到真空室599之真空室壁500(諸如本文所述基板處理設備之任何適當的運輸室)。應註明,雖然描述真空室,但室599可具有任何適當的處理環境在其中。在此,驅動區段23204包括與真空室壁500密封耦合之安裝介面510,以形成實質上將真空室599內真空環境隔離(或密封)自在真空室599外周圍之常壓環境的隔離障。舉例而言,該安裝介面510將該驅動區段23204安裝到該真空室599並形成周邊,其將在該周邊外部的該真空室599之內部分離自該周邊510P內部的該真空室599之外部。應註明,機器手臂2300A之延伸與縮回是與位於周邊510P內部之肩軸Z1相關。在此,至少一部分的驅動區段23204被設置在常壓環境內。安裝介面510經組態以使得一部分511之安裝介面510被暴露到真空環境,以及另一部分512之安裝介面510被設置在常壓環境 下。 5, the drive section 23204 is shown coupled to a vacuum chamber wall 500 of a vacuum chamber 599 (such as any suitable transport chamber of the substrate processing apparatus described herein). It should be noted that although a vacuum chamber is described, the chamber 599 may have any suitable processing environment therein. Here, the drive section 23204 includes a mounting interface 510 that is sealingly coupled to the vacuum chamber wall 500 to form an isolation barrier that substantially isolates (or seals) the vacuum environment within the vacuum chamber 599 from the atmospheric pressure environment surrounding the vacuum chamber 599. For example, the mounting interface 510 mounts the drive section 23204 to the vacuum chamber 599 and forms a perimeter, which separates the inside of the vacuum chamber 599 outside the perimeter from the outside of the vacuum chamber 599 inside the perimeter 510P. It should be noted that the extension and retraction of the robot arm 2300A are related to the shoulder axis Z1 located inside the perimeter 510P. Here, at least a portion of the drive section 23204 is set in a normal pressure environment. The mounting interface 510 is configured so that a portion 511 of the mounting interface 510 is exposed to the vacuum environment, and another portion 512 of the mounting interface 510 is set in a normal pressure environment .

參照圖6,運輸設備2300被描繪成具有安裝在真空室599內部的手臂2300A。如上述,手臂2300A包括在手臂2300A遠端端部處之末端執行器23203,經組態以在其上支撐基板。手臂2300A可操作連接到驅動區段23204,該驅動區段利用該至少一獨立驅動軸(上述),以至少產生沿徑向方向R的手臂運動(請見圖7),以使該手臂2300A延伸和縮回,並使該末端執行器23203從縮回位置(例如,在圖7中所描繪之機器人頂部中央姿勢)沿該徑向方向R移動到延伸位置。運輸設備2300包括成像系統600,其通過該安裝介面510在相對於該真空室599之預定位置中安裝有至少一成像感測器601,該成像感測器被設置以成像至少部分的手臂2300A。各成像感測器601相對於該延伸機器手臂2300A的機器手臂2300A末端執行器23203之遠端位置定位在該肩軸鄰近處。在一態樣中,成像感測器601被設置在感測器殼體610中或否則耦合到該感測器殼體,該感測器殼體包括窗口605,成像感測器601透過該窗口後其視野延伸到真空室599內部中。窗口605可以由玻璃建構或由其他適當透明材料建構,且可取決於要由控制器11091承接的基於視覺之資訊的類型來選定該窗口材料(例如,窗口提供的光學屬性可允許由成像感測器所檢測到的波長之傳輸,並在真空和常壓環境之間傳播)。在一態樣中,窗口605之透明度可形成透鏡(亦即,具有一體的鏡片形狀),該透鏡經組態為一或多聚焦(例如,在特定部分之真空室 599內及/或運輸機器人)在成像感測器601視野、放大成像感測器601視野、以及改變成像感測器601視野的方向以觀看到各種/不同部分之真空室599內,或至少部分界定成像感測器601之成像特性的視野。在其他態樣中,窗口605可經組態以承接透鏡(亦即,透鏡可耦合到窗口),其中該(耦合到窗口605之)透鏡是經組態以一或多聚焦在成像感測器601視野、放大成像感測器601視野、以及以與上述方式類似方法改變成像感測器601視野的方向(例如,透鏡可以是廣角透鏡,其相對於窗口605固定或具有可變/可調觀看特性,其中透鏡調整系統可以被設置在真空室外部之窗口605上)。窗口605經組態以形成跨真空室599內真空環境與真空室599外部常壓環境之間的壓力差動器之邊界。窗口605可以與安裝介面510之開口606對準,以及感測器殼體610及/或窗口605是在安裝介面510暴露於常壓環境下之部分512上相對於安裝介面510密封。在一態樣中,窗口605(以及開口606)之尺寸並不受限於成像感測器601之開口尺寸,以使得窗口可以比成像感測器601開口更大以提供成像感測器601往真空室599內之不受限視野。在其他態樣中,窗口605與窗口605之透明度相對於安裝介面510是尺寸過大,以及成像感測器601具有開口,以令窗口605設定真空室599內的成像感測器視野(例如,設成廣視野或具有任意適當尺寸之視野)。仍在其他態樣中,感測器殼體610可以省略,以使得其中窗口605透過窗口夾環615或由任何適當材料(例如,乙縮醛均聚物樹脂)所建構之其他適 當的緊固件而被固定抵著安裝介面510之安裝部512。成像感測器601可以是任何適當成像感測器,諸如CCD或CMOS感測器、紅外線感測器及/或紅外線攝影機,該感測器經安裝到安裝介面510或否則以任何適當方式設置,以使得成像感測器601視野延伸穿透窗口605與開口606並進入真空室599內部。 6, a transport device 2300 is depicted having an arm 2300A mounted within a vacuum chamber 599. As described above, the arm 2300A includes an end effector 23203 at a distal end of the arm 2300A configured to support a substrate thereon. The arm 2300A is operably connected to a drive section 23204 that utilizes the at least one independent drive axis (described above) to produce at least arm motion along a radial direction R (see FIG. 7) to extend and retract the arm 2300A and to move the end effector 23203 from a retracted position (e.g., the robot top center posture depicted in FIG. 7) along the radial direction R to an extended position. The transport device 2300 includes an imaging system 600 having at least one imaging sensor 601 mounted via the mounting interface 510 in a predetermined position relative to the vacuum chamber 599, the imaging sensor being configured to image at least a portion of the arm 2300A. Each imaging sensor 601 is positioned proximate the shoulder axis relative to a distal position of the robotic arm 2300A end effector 23203 of the extended robotic arm 2300A. In one aspect, the imaging sensor 601 is disposed in or otherwise coupled to a sensor housing 610 that includes a window 605 through which the imaging sensor 601 extends its field of view into the interior of the vacuum chamber 599. Window 605 may be constructed of glass or other suitable transparent material, and the window material may be selected depending on the type of vision-based information to be received by controller 11091 (e.g., the optical properties provided by the window may allow for the transmission of wavelengths detected by the imaging sensor and propagation between vacuum and atmospheric environments). In one aspect, the transparency of window 605 may form a lens (i.e., having a unitary lens shape) that is configured to focus (e.g., within a particular portion of vacuum chamber 599 and/or a transport robot) one or more of the imaging sensor 601 field of view, magnify the imaging sensor 601 field of view, and change the direction of the imaging sensor 601 field of view to view various/different portions of vacuum chamber 599, or at least partially define the imaging characteristics of the imaging sensor 601 field of view. In other aspects, the window 605 can be configured to receive a lens (i.e., a lens can be coupled to the window), wherein the lens (coupled to the window 605) is configured to focus on the imaging sensor 601 field of view, magnify the imaging sensor 601 field of view, and change the direction of the imaging sensor 601 field of view in a manner similar to that described above (e.g., the lens can be a wide angle lens that is fixed relative to the window 605 or has variable/adjustable viewing characteristics, wherein the lens adjustment system can be disposed on the window 605 outside the vacuum chamber). The window 605 is configured to form a boundary across a pressure differential between the vacuum environment within the vacuum chamber 599 and the atmospheric pressure environment outside the vacuum chamber 599. The window 605 can be aligned with the opening 606 of the mounting interface 510, and the sensor housing 610 and/or the window 605 are sealed relative to the mounting interface 510 on the portion 512 of the mounting interface 510 exposed to the atmospheric environment. In one aspect, the size of the window 605 (and the opening 606) is not limited by the size of the opening of the imaging sensor 601, so that the window can be larger than the imaging sensor 601 opening to provide the imaging sensor 601 with an unrestricted view into the vacuum chamber 599. In other aspects, the window 605 and the transparency of the window 605 are oversized relative to the mounting interface 510, and the imaging sensor 601 has an opening, so that the window 605 sets the imaging sensor's field of view within the vacuum chamber 599 (e.g., to a wide field of view or a field of view of any suitable size). In still other embodiments, the sensor housing 610 may be omitted so that the window 605 therein is secured against the mounting portion 512 of the mounting interface 510 by a window ring 615 or other suitable fastener constructed of any suitable material (e.g., acetal homopolymer resin). The imaging sensor 601 may be any suitable imaging sensor, such as a CCD or CMOS sensor, an infrared sensor, and/or an infrared camera, which is mounted to the mounting interface 510 or otherwise configured in any suitable manner so that the field of view of the imaging sensor 601 extends through the window 605 and the opening 606 and into the interior of the vacuum chamber 599.

控制器11091是通訊連接到成像系統600(例如,透過適當的有線及/或無線連接)並且經組態以使用成像感測器601成像至少部分的手臂2300A(或至少部分的手臂2300A上設置之一組一或多標記,如上述),該手臂移動到或在由至少一獨立驅動軸所界定之預定可重複位置/姿勢(例如,機器人頂部中央姿勢或其他預定姿勢)中移動,或者在其他態樣中,使用成像感測器601成像該至少部分的機器手臂2300A(或至少部分的手臂上設置之一組一或多標記,如上述),該手臂沿著由至少一獨立驅動軸界定路徑移動或在預定位置中。該控制器經組態以從該第一或接續影像與該至少部分的機器手臂2300A之校準影像,或至少部分的該多連桿機器手臂2300A上該組一或多標記701-702(如本文所述)之校準影像進行比較,來計算該至少部分的該機器手臂2300A之位置變異數,或該多連桿機器手臂2300A的該末端執行器23203的基板固持站之位置變異數,以及從該位置變異數判定將該機器手臂2300A之該延伸位置予以改變的運動補償因子,其中進行該第一或接續影像之捕獲的該各成像感測器601-603經設置在該安裝介 面510之該周邊內部。在該第一或接續影像中捕獲到的該至少部分的該組一或多標記701-702決定了該末端執行器23203的該基板固定站的該位置變異數。由該控制器從該第一或接續影像與該至少部分的該機器手臂2300A之校準影像進行比較,來計算出的位置變異數包括:該徑向方向上的位置變異數分量;以及在與該徑向方向呈非零交叉角的方向上的另一變異數分量;以及該運動補償因子改變在該徑向方向與該角度方向中至少一方向上的該機器手臂2300A之該延伸位置(請至少參見本文所述圖10A與10B)。該第一或接續影像中捕獲到的該至少部分的該機器手臂2300A包括其上有基板的該末端執行器23203,其中具有基板之該末端執行器23203被成像於該第一或接續影像中,以及該控制器11091判定相對於該末端執行器之預定基板固持位置的基板偏心率,該判定方式為類似於在2019年1月25日提交的題為“Automatic wafer centering method and apparatus(自動晶片定心方法和裝置)”的美國專利申請號16/257,595中描述的方式,其公開內容通過引用整體結合於此。 The controller 11091 is communicatively connected to the imaging system 600 (e.g., via an appropriate wired and/or wireless connection) and is configured to use the imaging sensor 601 to image at least a portion of the arm 2300A (or a set of one or more markers disposed on at least a portion of the arm 2300A, as described above) as the arm moves to or in a predetermined repeatable position/posture (e.g., a robot top center posture or other predetermined posture) defined by at least one independent drive axis, or in other aspects, to use the imaging sensor 601 to image at least a portion of the robot arm 2300A (or a set of one or more markers disposed on at least a portion of the arm, as described above) as the arm moves along a path defined by at least one independent drive axis or in a predetermined position. The controller is configured to calculate a positional variation of at least a portion of the robotic arm 2300A, or a positional variation of a substrate holding station of the end effector 23203 of the multi-link robotic arm 2300A, by comparing the first or subsequent image with a calibration image of at least a portion of the robotic arm 2300A, or a calibration image of the set of one or more markers 701-702 (as described herein) on at least a portion of the multi-link robotic arm 2300A, and to determine from the positional variation a motion compensation factor that changes the extended position of the robotic arm 2300A, wherein the imaging sensors 601-603 that capture the first or subsequent image are disposed within the perimeter of the mounting interface 510. The at least partial set of one or more markers 701-702 captured in the first or subsequent image determines the position variation of the substrate holding station of the end effector 23203. The position variation calculated by the controller from the first or subsequent image and the at least partial calibration image of the robot arm 2300A includes: a position variation component in the radial direction; and another variation component in a direction with a non-zero intersection angle with the radial direction; and the motion compensation factor changes the extended position of the robot arm 2300A in at least one of the radial direction and the angular direction (please refer to at least Figures 10A and 10B described herein). The at least portion of the robot arm 2300A captured in the first or subsequent image includes the end effector 23203 with a substrate thereon, wherein the end effector 23203 with the substrate is imaged in the first or subsequent image, and the controller 11091 determines the eccentricity of the substrate relative to a predetermined substrate holding position of the end effector in a manner similar to that described in U.S. Patent Application No. 16/257,595, entitled “Automatic wafer centering method and apparatus” filed on January 25, 2019, the disclosure of which is incorporated herein by reference in its entirety.

控制器,在該手臂2300A接近或在該預定可重複位置上或鄰近處或在預定位置上對準時,實現捕獲至少部分的手臂2300A之第一影像。手臂2300A的對準可以在將手臂2300A安裝到至少一個獨立驅動軸時發生,其中至少一個驅動軸處於預定定向中,從而使得該至少一驅動軸之編碼器570-572(也參見圖5)能夠在原始位置或歸零位 置(例如,原始位置或歸零位置是從中測量至少一個驅動軸的旋轉度(和手臂延伸)的位置)。如上述,該至少一驅動軸之此原始位置或歸零位置在一態樣中是對應於機器人頂部中央姿勢。如將在本文所述者,透過簡單參閱圖7,該機器手臂2300A之至少一連桿具有相對於預定平面描述位置之線性與旋轉特性的特徵,其中該控制器11091根據使用該成像系統捕獲的該特徵之影像來記錄該位置的線性與旋轉特性。在一態樣中,描述或否則特徵化手臂位置(或相對於徑向(延伸/縮回)方向的多連桿機器手臂2300A之至少一連桿)之線性與旋轉特性兩者之特徵,包含由成像感測器601所成像的手臂2300A上一組一或多指標或標記701-702,其用以判定對手臂2300A造成之熱與其他效應。在手臂2300A對準時(在預定註冊/校準溫度),成像感測器601成像手臂2300A與指標701-702,以校準手臂2300A並判定手臂2300A之基線量測值(例如,在被稱做校準影像中判定)。 A controller is provided to capture a first image of at least a portion of the arm 2300A when the arm 2300A is near or aligned at or near the predetermined repeatable position. Alignment of the arm 2300A may occur when the arm 2300A is mounted to at least one independent drive axis, wherein at least one drive axis is in a predetermined orientation so that the encoder 570-572 (see also FIG. 5 ) of the at least one drive axis is in a home position or zero position (e.g., the home position or zero position is the position from which the rotation of the at least one drive axis (and arm extension) is measured). As described above, this home position or zero position of the at least one drive axis corresponds to a robot top center pose in one aspect. As will be described herein, with brief reference to FIG7, at least one link of the robotic arm 2300A has features describing linear and rotational characteristics of position relative to a predetermined plane, wherein the controller 11091 records the linear and rotational characteristics of position based on images of the features captured using the imaging system. In one aspect, the features describing or otherwise characterizing both the linear and rotational characteristics of the arm position (or at least one link of the multi-link robotic arm 2300A relative to a radial (extension/retraction) direction) include a set of one or more indicia or markers 701-702 on the arm 2300A imaged by the imaging sensor 601 that are used to determine thermal and other effects caused to the arm 2300A. When arm 2300A is aligned (at a predetermined registration/calibration temperature), imaging sensor 601 images arm 2300A and markers 701-702 to calibrate arm 2300A and determine baseline measurements of arm 2300A (e.g., in what is referred to as a calibration image).

應註明,若手臂2300A被移除並替換成一不同手臂,則可以通過以下方式簡化對不同手臂的校準:透過在原始位置或歸零位置對至少一驅動軸成像不同手臂的(一或多)指標,並將該不同手臂的影像與校準影像進行比較。如此,成像感測器601可以被預校準到驅動區段23204/手臂2300A,並安裝成與驅動區段23204實質上為一單元模組。儘管本文針對例解決如由於熱效應對運輸手臂造成的影響而描述了本揭露的各態樣,但是本揭露的各態 樣也可以用於監測手臂連桿的溫度,例如透過包括如上所述的非接觸式熱感測器(例如,紅外線感測器,紅外線攝影機等)代替或結合成像感測器601,以本文所述的方式透過安裝介面/凸緣510來安裝,而無侵入真空室599的處理環境中。 It should be noted that if arm 2300A is removed and replaced with a different arm, calibration of the different arm can be simplified by imaging at least one drive axis to the different arm's index(es) in an original or zeroed position and comparing the image of the different arm to the calibration image. In this way, imaging sensor 601 can be pre-calibrated to drive section 23204/arm 2300A and mounted as a substantially unitary module with drive section 23204. Although various aspects of the present disclosure are described herein with respect to solving the example of the effects on the transport arm due to thermal effects, various aspects of the present disclosure may also be used to monitor the temperature of the arm linkage, for example by including a non-contact thermal sensor as described above (e.g., an infrared sensor, an infrared camera, etc.) instead of or in combination with the imaging sensor 601, mounted via the mounting interface/flange 510 in the manner described herein without intruding into the processing environment of the vacuum chamber 599.

參照圖7,描繪例示性成像感測器601(或其他適當感測器)位置。在圖7中,有三個以本文所述方式耦合到安裝介面510之成像感測器601-603。在示出的例示性位置處,三個成像感測器601-603可以被採用以量測可以使用任何適當指標來識別的手臂2300A上之點/位置。舉例而言,亦參照圖8A與8B,標的700-702可以位於沿著手臂2300A之任何適當位置處,諸如沿上手臂22301、前臂23202、以及末端執行器23203。舉例而言,指標700被設置在上手臂23201上。指標701可以被設置在前臂23202之手腕軸承位置處(例如,在手腕之旋轉軸處,該手臂將末端執行器23203耦合到前臂23202)。指標702可以被設置在末端執行器23203之手腕板23203P上。標的700-702被設置在手臂上並經組態以當由一或多成像感測器601-603進行成像時,提供沿著空間中預定平面的各別手臂連桿之各別位置與定向(例如,諸如基板運輸平面或其中手臂連桿操作之平面)。透過機器加工/蝕刻/雕刻處理,指標700-702被內嵌到手臂2300A之各別連桿中,或者以任何適當方式被耦合到手臂2300A。 Referring to FIG. 7 , exemplary imaging sensor 601 (or other suitable sensor) locations are depicted. In FIG. 7 , there are three imaging sensors 601-603 coupled to mounting interface 510 in the manner described herein. At the exemplary locations shown, the three imaging sensors 601-603 may be employed to measure points/locations on arm 2300A that may be identified using any suitable indicia. For example, also referring to FIGS. 8A and 8B , indicia 700-702 may be located at any suitable location along arm 2300A, such as along upper arm 22301, forearm 23202, and end effector 23203. For example, indicia 700 is disposed on upper arm 23201. The pointer 701 may be disposed at a wrist bearing location of the forearm 23202 (e.g., at the rotation axis of the wrist that couples the end effector 23203 to the forearm 23202). The pointer 702 may be disposed on the wrist plate 23203P of the end effector 23203. The targets 700-702 are disposed on the arm and configured to provide respective positions and orientations of respective arm linkages along predetermined planes in space (e.g., such as a substrate transport plane or a plane in which the arm linkages operate) when imaged by one or more imaging sensors 601-603. The pointers 700-702 are embedded into respective linkages of the arm 2300A through a machining/etching/engraving process, or are coupled to the arm 2300A in any suitable manner.

如圖8B所示,指標700-702可具有任何適當 組態,諸如十字組態700A、圓形組態700B、以及雙十字準心組態700C。標的700-702可以經組態以使得當各別手臂連桿延伸與收縮時,當例如與基線量測值進行比較,成像系統600可以檢測出指標700-702形狀中的可識別變化(例如,變長或變短)。舉例而言,亦參照圖16,手臂2300A移動到預定可重複位置中,例如到(完整的)縮回機器人頂部中央姿勢(或其他預定位置,請見圖7、8A與8C)(圖16,區塊1601)。當在預定可重複位置中時,成像感測器601成像且控制器11091捕獲手臂2300A上指標700-704之至少一接續成像(圖16,區塊1602與1603)。接續影像與校準影像進行比較(圖16,區塊1604)。位置變異數是根據該兩影像之比較而判斷的(圖16,區塊1605)。 As shown in FIG8B , the indicators 700-702 may have any suitable configuration, such as a crosshair configuration 700A, a circular configuration 700B, and a double crosshair configuration 700C. The indicators 700-702 may be configured so that when the respective arm links are extended and retracted, the imaging system 600 may detect a discernible change in the shape of the indicators 700-702 (e.g., lengthening or shortening) when compared, for example, to a baseline measurement. For example, also referring to FIG16 , the arm 2300A moves to a predetermined repeatable position, such as to a (completely) retracted robot top center pose (or other predetermined position, see FIGS. 7 , 8A and 8C ) ( FIG16 , block 1601). While in a predetermined repeatable position, the imaging sensor 601 images and the controller 11091 captures at least one successive image of the indexes 700-704 on the arm 2300A (FIG. 16, blocks 1602 and 1603). The successive image is compared to the calibration image (FIG. 16, block 1604). The position variance is determined based on the comparison of the two images (FIG. 16, block 1605).

在其他態樣中,在各別成像感測器601-603之視野中的指標700-704之位置可能相較於基線量測值會有所改變。控制器11091可識別出/檢測到指標700-702之此形狀或位置之變化,並判定手臂連桿之熱膨脹/收縮,用於修改手臂2300A用於拾起與放置基板之控制運動。可同時測量或在不同時刻測量一或多指標位置之量測值。舉例而言,參照圖8A與8C,由於手臂運動之緣故,可能無法同時獲得所有的指標量測值。然而,可以在不同手臂位置處測量量測值,以適應手臂2300A之機構及/或運動限制(相較於圖8A與8C,其中手臂2300A延伸以量測位於手腕軸承位置處之指標701)。對於其中此等量測值備用於總體末端執行器23203位置之熱膨脹補償之應用,可以在不同 時刻測量來自不同位置之量測值,其中從手臂2300A上的不同位置進行的量測之間的時間間隔被限制為手臂/晶圓熱系統的時間常數的一部分。 In other aspects, the position of the pointer 700-704 in the field of view of the respective imaging sensor 601-603 may change relative to the baseline measurement. The controller 11091 can recognize/detect this change in shape or position of the pointer 700-702 and determine the thermal expansion/contraction of the arm linkage to modify the control movement of the arm 2300A for picking up and placing the substrate. The measurement of one or more pointer positions can be measured simultaneously or at different times. For example, referring to Figures 8A and 8C, due to the movement of the arm, it may not be possible to obtain all pointer measurements at the same time. However, measurements may be taken at different arm positions to accommodate the mechanical and/or motion limitations of the arm 2300A (compare FIGS. 8A and 8C where the arm 2300A is extended to measure the index 701 at the wrist bearing location). For applications where such measurements are used to compensate for thermal expansion at the overall end effector 23203 location, measurements from different locations may be measured at different times, where the time interval between measurements taken from different locations on the arm 2300A is constrained to be a fraction of the time constant of the arm/wafer thermal system.

為了在空間(例如,在手臂處理環境中)中精確追蹤手臂位置,成像系統600可以被控制器11091使用以獲得資訊,以實現相對於參考或基線量測值之手臂2300A中的追蹤變化。在已知位置處(例如,由諸如編碼器570-572等適當位置回饋裝置回報)與條件下(例如,諸如環境溫度),參考或基線可界定成量測值(例如,影像及/或溫度)。例如,參照圖5,編碼器570-572可為旋轉(或其他適當)編碼器,其提供各手臂驅動軸23668a-23668c之絕對位置給控制器11091。僅為了例示性目的,在室溫,手臂可位於圖7所示之位置處。在圖7示出之手臂2300A位置中以及量測到環境溫度條件下,如圖所示,驅動軸編碼器位置與與上手臂23201和末端執行器23203重疊的成像感測器600-602所記錄的指標影像唯一相關。此等影像被控制器11091用作「參考或基線量測值」。由於手臂2300A被使用一段時間且執行高溫度晶圓處理操作,故手臂2300A與末端執行器23203之溫度會上升,且會導致連桿長度會相對於參考或基線條件而改變。控制器11091經組態(例如,程式化)以當驅動軸23668a-23668c位置到達預定參考位置時,啟動成像感測器601-603,以捕獲標的700-702之影像。由於手臂2300A之熱膨脹,在位置及/或定向方面,影像內指標700-702之位置及/或指標700-702之形狀會改變。 In order to accurately track the position of the arm in space (e.g., in the arm processing environment), the imaging system 600 can be used by the controller 11091 to obtain information to achieve tracking changes in the arm 2300A relative to a reference or baseline measurement. The reference or baseline can be defined as a measurement (e.g., image and/or temperature) at a known position (e.g., reported by an appropriate position feedback device such as encoders 570-572) and conditions (e.g., such as ambient temperature). For example, referring to Figure 5, the encoders 570-572 can be rotational (or other suitable) encoders that provide the absolute position of each arm drive axis 23668a-23668c to the controller 11091. For illustrative purposes only, at room temperature, the arm may be in the position shown in FIG7. In the arm 2300A position shown in FIG7 and measured at ambient temperature conditions, the drive axis encoder position is uniquely correlated to the index images recorded by the imaging sensors 600-602 superimposed with the upper arm 23201 and the end effector 23203 as shown. These images are used by the controller 11091 as "reference or baseline measurements." As the arm 2300A is used for a period of time and performs high temperature wafer processing operations, the temperature of the arm 2300A and the end effector 23203 will increase and cause the linkage length to change relative to the reference or baseline conditions. Controller 11091 is configured (e.g., programmed) to activate imaging sensors 601-603 to capture images of targets 700-702 when the drive shafts 23668a-23668c reach predetermined reference positions. Due to thermal expansion of arm 2300A, the positions of the indicators 700-702 within the image and/or the shapes of the indicators 700-702 may change in position and/or orientation.

再次參照圖9,控制器11091經組態以計算「新」或接續影像901中指標700-702相對於參考/基線影像902之平移、變形、及/或旋轉量,該變化量各由向量 DP 與旋轉 Rz 進行指示。可對各指標700-702執行平移、變形及/或旋轉量之計算,該計算是透過其各別成像感測器所進行。根據本揭露之態樣,可以由控制器11091(諸如由控制器11091之運動解算器11091K(請見圖1A))使用透過手臂2300A上/中的各指標「 i 」所回報的各量測變化之 DPi 與旋轉 Rzi 量,以計算出更精確之基板運輸設備2300之運動模型(與不考慮手臂2300A之熱效應運動模型相比)。亦參照圖10A、10B與11,描繪基於熱效應之運動模型的例示性修改。在本實例中,描繪二連桿手臂,但在其他態樣中,機器手臂可具有多於或少於兩個的連桿。在此,各手臂連桿(例如,諸如上手臂23201與前臂23202)受相對於參考環境溫度之熱膨脹影響。圖10A描繪由控制器11091在無熱膨脹情況下(例如,基線運動模型)使用之運動模型(例如,手臂長度L1、L2,手臂角度θ1、θ2等)。圖10B描繪受熱膨脹影響下之運動模型(其中,dL1與dL2代表相較於基線維度下各別手臂連桿之長度中的變化,以及DP1與DP2表示上手臂23201與前臂23202中指標700與704之位置的變化)。指標DP1、DP2之位置中的變化是使用控制器11091基於來自成像系統600之資訊所判定,該改變值被使用以估計連桿熱膨脹dL1與dL2,使得運動模型可以被校正以較佳判定空間中的手臂位置。舉例而言,參照圖11,控制器11091 經組態以具有補償演算法1100,其使用輸入(例如,L1、L2、θ1、θ2、DP1與DP2)以判定dL1與dL2,並產生校正運動模型1101以補償對手臂2300A之熱效應。控制器11091在運動控制演算法1102中使用校正的運動模型1101以產生用於拾起與放置基板在基板處理系統之基板固持位置處的手臂2300A運動(諸如本文所述者)。 9, controller 11091 is configured to calculate the translation, deformation, and/or rotation of the pointers 700-702 in the "new" or subsequent image 901 relative to the reference/baseline image 902, the amount of change being indicated by the vector DP and the rotation Rz . The calculation of the translation, deformation, and/or rotation may be performed for each pointer 700-702, the calculation being performed by its respective imaging sensor. According to aspects of the present disclosure, the DPi and rotation Rzi amounts of each measured change reported by each index " i " on/in the arm 2300A can be used by the controller 11091 (e.g., by the motion solver 11091K of the controller 11091 (see FIG . 1A)) to calculate a more accurate motion model of the substrate transport apparatus 2300 (compared to a motion model that does not consider the thermal effects of the arm 2300A). Also refer to FIGS. 10A, 10B, and 11, which depict exemplary modifications of the motion model based on thermal effects. In this example, a two-link arm is depicted, but in other aspects, the robot arm may have more or less than two links. Here, each arm link (e.g., such as the upper arm 23201 and the forearm 23202) is affected by thermal expansion relative to a reference ambient temperature. FIG. 10A depicts a motion model (e.g., arm lengths L1, L2, arm angles θ1, θ2, etc.) used by the controller 11091 without thermal expansion (e.g., a baseline motion model). FIG. 10B depicts a motion model affected by thermal expansion (where dL1 and dL2 represent changes in the length of the respective arm links relative to the baseline dimension, and DP1 and DP2 represent changes in the positions of the pointers 700 and 704 in the upper arm 23201 and the forearm 23202). The change in the position of the indicators DP1, DP2 is determined using the controller 11091 based on information from the imaging system 600, and the change value is used to estimate the link thermal expansion dL1 and dL2 so that the motion model can be corrected to better determine the position of the arm in space. For example, referring to Figure 11, the controller 11091 is configured with a compensation algorithm 1100 that uses inputs (e.g., L1, L2, θ1, θ2, DP1, and DP2) to determine dL1 and dL2 and generate a corrected motion model 1101 to compensate for the thermal effects on the arm 2300A. The controller 11091 uses the corrected motion model 1101 in a motion control algorithm 1102 to generate arm 2300A motions for picking up and placing substrates at substrate holding locations of a substrate processing system as described herein.

參照圖12,手臂2300A中由於熱膨脹緣故所造成之變化的例示性判定將在下文中更詳細描述。如在前文所述,指標700-704各具有一組態,例如當由成像系統600感測到至少一個指標700-704時,可判定由於各SCARA手臂連桿23201、23202、23203之溫度中的變化所造成之SCARA手臂連桿23201、23202、23203長度ΔLi中離散變異數與滑輪效應ΔVi。舉例而言,至少一指標700-704被設置在SCARA手臂2300A上,以使得成像系統600在預定位置檢測指標(例如,在一態樣中,隨著SCARA手臂2300之徑向運動即時偵測)。在此,指標700-704判定由於在各SCARA手臂連桿23201、23202、23203之不同的溫度變化ΔTi所造成在各不同的SCARA手臂連桿23201、23202、23203之不同離散變異數(例如,ΔLi)各者之間的區別,並因此區別性施加該各別不同的離散變異數以判定各別滑輪變異數ΔVi以及對SCARA手臂變異數之對應的非線性效應(貢獻)。離散變異數可以使用對應比例或膨脹因子(KS(i))來表示,其方式類似於2016年7月13日提交的題為“On the fly automatic wafer centering method and apparatus(即時自 動化晶圓置中方法和裝置)”的美國專利申請案號15/209,497中所述(其公開內容通過引用整體併入本文),將變異數與預定基準(datum)參考(例如,參考溫度TREF和參考溫度下的初始連桿長度L1)相關。 12, an exemplary determination of changes in arm 2300A due to thermal expansion will be described in more detail below. As described above, each of the indicators 700-704 has a configuration, such as when at least one indicator 700-704 is sensed by the imaging system 600, the discrete variation in the length ΔL i of the SCARA arm links 23201, 23202, 23203 and the pulley effect ΔV i caused by the change in the temperature of each SCARA arm link 23201, 23202, 23203 can be determined. For example, at least one marker 700-704 is disposed on the SCARA arm 2300A so that the imaging system 600 detects the marker at a predetermined position (e.g., in one embodiment, in real time as the SCARA arm 2300 moves radially). Here, indicators 700-704 determine the difference between different discrete variations (e.g., ΔL i ) in each of the different SCARA arm links 23201, 23202, 23203 due to different temperature changes ΔT i in each SCARA arm link 23201, 23202, 23203, and thus differentially apply the respective different discrete variations to determine the respective pulley variations ΔV i and the corresponding nonlinear effects (contributions) to the SCARA arm variations. The discrete variation can be expressed using a corresponding ratio or expansion factor (K S(i) ) in a manner similar to that described in U.S. Patent Application No. 15/209,497, filed on July 13, 2016, entitled “On the fly automatic wafer centering method and apparatus,” the disclosure of which is incorporated herein by reference in its entirety, relating the variation to a predetermined datum reference (e.g., a reference temperature T REF and an initial tie rod length L 1 at the reference temperature).

指標700-704的配置是確定性的(或根據以上確定性的區別,在每個不同離散變異數之間的確定性區分),以區分其具有上手臂連桿23201、前臂連桿23202和末端執行器23203之三連桿SCARA手臂2300A,但是在其他態樣,指標700-704可以具有用於確定性區分n連桿手臂(例如,具有任何合適數量的手臂連桿的手臂)的任何合適的組態。指標700-704之組態是有確定性的,以從感測指標700-704之位置來區分不同的離散變異數(ΔLi,ΔVi)或膨脹因子KS(i),將在下文中相關於等式[1]-[4]做更詳細說明。 The configuration of indicators 700-704 is deterministic (or deterministically distinguished between each different discrete variance based on the above deterministic distinction) to distinguish a three-link SCARA arm 2300A having an upper arm link 23201, a forearm link 23202, and an end effector 23203, but in other aspects, indicators 700-704 can have any suitable configuration for deterministically distinguishing an n-link arm (e.g., an arm having any suitable number of arm links). The configuration of the indicators 700-704 is deterministic in order to distinguish different discrete variations (ΔL i , ΔV i ) or expansion factors K S(i) from the positions of the sensing indicators 700-704, as will be described in more detail below with respect to equations [1]-[4].

在一態樣中,控制器11091(或控制器之運動解算器11091K)經組態以從至少指標700-704的檢測中判定與每個手臂連桿23201、23202、23203相對應的不同離散變異數ΔLi,並且在從肩軸Z1到末端執行器23203之參考位置EEC(即,晶圓/末端執行器中心位置)判定SCARA手臂變異數(例如,取決於所使用的座標系的ΔX,ΔY或R,θ)時在不同的離散變異數間做出區別。如先前所述,在將變異數表示為與各手臂連桿23201、23202、23203相對應的膨脹因子KS(i)的情況下,控制器11091被組態以根據指標的檢測來確定每個對應的手臂連桿23201、23202、23203之 不同膨脹因子KS(i)之間的離散關係、透過判定對末端執行器23203之參考位置EEC的變異數以在不同的對應手臂連桿23201、23202、23203之不同膨脹因子KS(i)之間進行區分。換言之,控制器包括運動效應解算器,其經組態以從透過成像系統600進行之至少一指標700-704之檢測,來判定判定比例因子KS(i)與相對於SCARA手臂2300A之各不同手臂連桿23201、23202、23203之各不同離散變異數ΔLi間的離散關係,以隨著SCARA手臂2300A之徑向運動快速判定SCARA手臂之變異數。從至少一指標700-704之檢測,控制器11091經組態以透過成像系統600之各別成像感測器601掃描一次SCARA手臂2300來判定SCARA手臂2300A之變異數ΔX、ΔY。此外,控制器11091(或運動解算器11091K)經組態以解算由於溫度變化ΔTi造成之各別滑輪(請見例如圖3之滑輪)之非線性運動效應ΔVi,其由於在手臂關節或滑輪軸Z1,Z2,Z3處的溫度不同,從而區分各個滑輪的不同的各個非線性運動效應ΔVi。對應於非線性運動效應ΔVi之滑輪變異數可以表示成在各個別手臂連桿23201、23202、23203對立端部處滑輪之滑輪驅動比率。 In one embodiment, the controller 11091 (or the motion solver 11091K of the controller) is configured to determine different discrete variations ΔLi corresponding to each arm linkage 23201, 23202, 23203 from detection of at least the indicators 700-704, and to distinguish between the different discrete variations when determining the SCARA arm variation (e.g., ΔX, ΔY or R, θ depending on the coordinate system used) from the shoulder axis Z1 to the reference position EEC of the end effector 23203 (i.e., the wafer/end effector center position). As previously described, when the variation is expressed as an expansion factor K S(i) corresponding to each arm link 23201, 23202, 23203, the controller 11091 is configured to determine the discrete relationship between the different expansion factors K S(i) of each corresponding arm link 23201, 23202, 23203 based on the detection of the indicator, and to distinguish between the different expansion factors K S(i) of different corresponding arm links 23201, 23202, 23203 by determining the variation of the reference position EEC of the end effector 23203. In other words, the controller includes a motion effect solver configured to determine the discrete relationship between the scaling factor K S(i) and the different discrete variations ΔL i of the different arm links 23201, 23202, 23203 of the SCARA arm 2300A from the detection of at least one index 700-704 through the imaging system 600 to quickly determine the variation of the SCARA arm as the SCARA arm 2300A moves radially. From the detection of at least one index 700-704, the controller 11091 is configured to determine the variations ΔX, ΔY of the SCARA arm 2300A by scanning the SCARA arm 2300 once through the respective imaging sensors 601 of the imaging system 600. In addition, the controller 11091 (or motion solver 11091K) is configured to solve the nonlinear motion effects ΔVi of individual pulleys (see, for example, the pulleys of FIG. 3 ) due to temperature variations ΔTi , which differentiate the different nonlinear motion effects ΔVi of each pulley due to the different temperatures at the arm joints or pulley shafts Z1, Z2, Z3. The pulley variation corresponding to the nonlinear motion effects ΔVi can be expressed as the pulley drive ratio of the pulleys at the opposite ends of each individual arm link 23201, 23202, 23203.

參照圖12,為了例示性目的與方便性,運輸設備被描繪成具有單一SCARA手臂,其中在SCARA手臂2300A之上手臂與前臂連桿23201、23202被描繪成在參考溫度TREF具有相同的長度L,然而在其他態樣中,上手臂與前臂連桿可具有不相等的長度。在其他態樣中,本揭露之態樣可應用到任何適當的手臂。此外,為了例示性目的 與方便性,SCARA手臂連桿被建構成具有相似材料,以具有相似熱膨脹係數,但在其他態樣中,手臂連桿可由不同材料製成,以為了具有不同的熱膨脹係數。在一態樣中,僅為了例示性目的,上手臂連桿23201與前臂連桿23202是由各別馬達軸驅動,而末端執行器23203從動於上手臂連桿23201。在圖12中描繪,在相同馬達位置下,SCARA手臂2300A在熱膨脹之前與之後(該熱膨脹手臂是以虛線描繪)。SCARA手臂之一般運動可撰寫成:在參考溫度:Y(θ)=2L cos(θ)+L EE0 Referring to FIG. 12 , for illustrative purposes and convenience, a transport device is depicted as having a single SCARA arm, wherein the upper arm and forearm links 23201, 23202 of the SCARA arm 2300A are depicted as having the same length L at a reference temperature T REF , however in other embodiments, the upper arm and forearm links may have unequal lengths. In other embodiments, the aspects of the present disclosure may be applied to any suitable arm. In addition, for illustrative purposes and convenience, the SCARA arm links are constructed with similar materials to have similar thermal expansion coefficients, however in other embodiments, the arm links may be made of different materials to have different thermal expansion coefficients. In one embodiment, for illustrative purposes only, the upper arm link 23201 and the forearm link 23202 are driven by respective motor shafts, and the end effector 23203 is driven by the upper arm link 23201. In FIG. 12, the SCARA arm 2300A is depicted before and after thermal expansion at the same motor position (the thermal expansion arm is depicted in dashed lines). The general motion of the SCARA arm can be written as: At reference temperature: Y ( θ ) = 2 L cos ( θ ) + L EE 0

X(θ)=0 X ( θ )=0

溫度加熱與熱膨脹後:Y(θ)=L 1 cos(θ)+L 2 cos(θ 1)+(L EE0L EE )cos(θ 2) After temperature increase and thermal expansion: Y ( θ ) = L 1 cos ( θ ) + L 2 cos ( θ 1 ) + ( L EE 0 + Δ L EE ) cos ( θ 2 )

X(θ)=L 2 sin(θ 1)-L 1 sin(θ)+(L EE0L EE )sin(θ 2) X ( θ ) = L 2 sin ( θ 1 ) - L 1 sin ( θ ) + ( L EE 0 + Δ L EE ) sin ( θ 2 )

其中:θ 1=(G 1-1)θ Where: θ 1 =( G 1 -1) θ

Figure 109125812-A0305-02-0037-1
Figure 109125812-A0305-02-0037-1

以及G1與G2為針對上手臂對手肘以及手腕對手肘之滑輪齒輪比率。 And G1 and G2 are the pulley gear ratios for upper arm to elbow and wrist to elbow.

在校準溫度TREF,上手臂連桿23201與前臂連桿23202各具有長度L。在溫度改變之後,上手臂連桿23201之長度標記為L1,以及前臂連桿23202之長度標記為長度L2。 At the calibration temperature T REF , the upper arm link 23201 and the forearm link 23202 each have a length L. After the temperature change, the length of the upper arm link 23201 is marked as L1, and the length of the forearm link 23202 is marked as length L2.

在相同的馬達位置處,假設上手臂溫度改變 了ΔT1,前臂溫度改變了ΔT2,以及針對上手臂連桿23201之熱膨脹係數是α1以及針對前臂連桿23020之熱膨脹係數是α2,則上手臂長度L1與前臂長度L2在熱膨脹後為:L1=L+α1*ΔT1*L=(1+α1*ΔT1)*L=Ks1*L; [1] At the same motor position, assuming that the upper arm temperature changes by ΔT 1 , the forearm temperature changes by ΔT 2 , and the thermal expansion coefficient for the upper arm connecting rod 23201 is α 1 and the thermal expansion coefficient for the forearm connecting rod 23020 is α 2 , then the upper arm length L1 and the forearm length L2 after thermal expansion are: L 1 =L+α 1 *ΔT 1 *L=(1+α 1 *ΔT 1 )*L=K s1 *L; [1]

L2=L+α2*ΔT2*L=(1+α2*ΔT2)*L=Ks2*L; [2] L2 =L+ α2 * ΔT2 *L=(1+ α2 * ΔT2 )*L= Ks2 *L; [2]

其中,膨脹因子被界定成:Ks1=(1+α1*ΔT1); [3] The expansion factor is defined as: K s1 =(1+α 1 *ΔT 1 ); [3]

Ks2=(1+α2*ΔT2); [4] K s2 =(1+α 2 *ΔT 2 ); [4]

由於溫度是從末端執行器23203往SCARA手臂2300A之肩軸Z1分佈,因此特別在溫度提高到穩定狀態期間,分佈溫度改變了在SCARA手臂接合處(例如,Z1、Z2、Z3軸)之滑輪的滑輪比率,這是因為該等滑輪以不同速度熱膨脹。此滑輪之熱膨脹將改變所包括的角度與末端執行器定向。再次參照圖27,用以顯示滑輪驅動比率的變化對末端執行器中心EEC造成之衝擊的模擬結果之實例假定滑輪是處於不同的溫度但是連桿長度維持不變。 Since the temperature is distributed from the end effector 23203 to the shoulder axis Z1 of the SCARA arm 2300A, the distributed temperature changes the pulley ratio of the pulleys at the SCARA arm joints (e.g., Z1, Z2, Z3 axes) especially during the temperature rise to a stable state, because the pulleys thermally expand at different rates. This pulley thermal expansion will change the angles involved and the end effector orientation. Referring again to Figure 27, an example of simulation results showing the impact of changes in pulley drive ratios on the end effector center EEC assumes that the pulleys are at different temperatures but the link length remains unchanged.

以下表描繪針對SCARA手臂2300A之滑輪的例式性滑輪驅動比率,其中識別滑輪之位置且以通用測量單位表示直徑。 The following table depicts typical pulley drive ratios for pulleys on a SCARA arm 2300A, identifying the pulley location and expressing the diameter in common measurement units.

Figure 109125812-A0305-02-0038-2
Figure 109125812-A0305-02-0038-2

針對SCARA手臂2300A,肩軸Z1透過包括驅動比率為2:1之滑輪的傳動裝置來與手肘軸Z2連接,而手腕軸Z3透過包括驅動比率為2:1之滑輪的傳動裝置來與手肘軸Z2連接。 For SCARA arm 2300A, shoulder axis Z1 is connected to elbow axis Z2 via a transmission including a pulley with a drive ratio of 2:1, while wrist axis Z3 is connected to elbow axis Z2 via a transmission including a pulley with a drive ratio of 2:1.

假定在肩軸Z1處之溫度變化為ΔT1,且在手肘軸處之溫度變化為ΔT2,以及α是手臂連桿材料之熱係數,則肩軸Z1對手肘軸Z2之滑輪比率可表示成:G1=2*(1+α*ΔT1)/(1+α*ΔT2);使用等式[3]與[4]:G1=2*Ks1/Ks2; [5] Assuming that the temperature change at the shoulder axis Z1 is ΔT1 and the temperature change at the elbow axis is ΔT2, and α is the thermal coefficient of the arm link material, the pulley ratio of the shoulder axis Z1 to the elbow axis Z2 can be expressed as: G 1 =2*(1+α*ΔT1)/(1+α*ΔT2); using equations [3] and [4]: G 1 =2*K s1 /K s2 ; [5]

因此,在滑輪比率之變化後,角度為:θ1=(2*Ks1/Ks2-1)*θ; [6] Therefore, after the pulley ratio changes, the angle is: θ 1 =(2*K s1 /K s2 -1)*θ; [6]

假定在末端執行器上之溫度變化為ΔT3,則手腕軸Z3與前臂軸Z2之間的滑輪比率可表示成:G2=2*(1+α*ΔT3)/(1+α*ΔT2) Assuming the temperature change on the end effector is ΔT 3 , the pulley ratio between the wrist axis Z3 and the forearm axis Z2 can be expressed as: G 2 =2*(1+α*ΔT3)/(1+α*ΔT2)

並且,膨脹因子可被界定成:Ks3=(1+α*ΔT3);則:G2=2*Ks3/Ks2 Furthermore, the expansion factor can be defined as: K s3 =(1+α*ΔT3); then: G 2 =2*K s3 /K s2

其中,末端執行器23203之角度變化可以表示成:θ2=θ*(G1/G2-G1+1)=θ*(Ks1/Ks3-2Ks1/Ks2+1); [7] The angle change of the end effector 23203 can be expressed as: θ 2 =θ*(G 1 /G 2 -G 1 +1)=θ*(K s1 /K s3 -2K s1 /K s2 +1); [7]

諸如上述「補償演算法」與圖11描繪者,可 透過分析導出或機器學習方式來實現,其中透過外部量測值裝置之輔助可實作訓練格(training grid),外部測量裝置可精確的監控機器人末端執行器在空間中實際的位置。圖13示出相關於獲得訓練格資料以開發用於機器手臂誤差補償的基於機器學習模型之實例。給定環境條件與可用測量值後,針對各種輸入條件可使用外部裝置1300(例如,諸如攝影機或其他適當的感測器)來量測實際的末端執行器位置,以為了提供資料以適當訓練基於機器學習的模型。 The "compensation algorithm" described above and depicted in FIG11 can be implemented by analytical derivation or machine learning, wherein a training grid can be implemented with the assistance of an external measurement device that can accurately monitor the actual position of the robot's end effector in space. FIG13 shows an example of obtaining training grid data to develop a machine learning-based model for robot arm error compensation. Given environmental conditions and available measurements, external devices 1300 (e.g., cameras or other appropriate sensors) may be used to measure actual end effector positions for various input conditions in order to provide data to appropriately train the machine learning based model.

在另一態樣,圖14A與14B示出分析導出之實例,其有關如何根據成像感測器量測值DP1、DP2來計算上手臂230201與前臂23202之連桿熱膨脹。應註明,分析導出可採用計算出的連桿熱膨脹dL1與dL2,來校正機器人運動模型以精確地判定機器人末端執行器在空間中的位置。 In another aspect, FIGS. 14A and 14B show an example of analytical derivation, which is about how to calculate the thermal expansion of the connecting rods of the upper arm 230201 and the forearm 23202 based on the imaging sensor measurements DP1 and DP2. It should be noted that the analytical derivation can use the calculated thermal expansion of the connecting rods dL1 and dL2 to calibrate the robot motion model to accurately determine the position of the robot end effector in space.

現在參照圖15,將描述本揭露之態樣的例示性操作。在一態樣中,方法1300包括設置基板運輸設備(諸如上述者)之運輸室(諸如上述者)(圖15,區塊1301)。運輸室具有基板運輸開口125OP,其與基板站模組(諸如真空室或其他適當的基板固持位置)連通。該方法更包含設置驅動區段23204,其具有連接到該運輸室(圖15,區塊1302)之安裝凸緣或介面承載(interface mount)510,以及具有界定至少一獨立驅動軸之馬達(諸如上述者),該安裝凸緣510將該驅動區段23204安裝到該運輸室並形成周邊,其將在該周邊外部的該運輸室之內部分離自該周邊內部的該 運輸室之外部。方法1300更包括設置機器手臂2300A,其具有安裝在運輸室內部之末端執行器23203(圖15,區塊1303)。機器手臂2300A可操作連接到驅動區段23204,其利用該至少一獨立驅動軸,以至少產生沿徑向方向R的手臂運動,以使該機器手臂2300A延伸和縮回,並使該末端執行器23203從縮回位置沿該徑向方向R移動到延伸位置。當機器手臂2300A是在本文所述之預定可重複位置(由至少一獨立驅動軸來界定)中之一者處,成像系統600使用透過安裝凸緣510所安裝之一或多成像感測器601-603來成像至少部分的機器手臂2300A(圖15,區塊1304)。成像系統600在相對於運輸室的預定位置中被承載在安裝介面510上,以及對機器手臂2300A成像,使其移動到預定可重複位置(中)或者移動到預定位置(中)。控制器11091在該機器手臂2300A接近或在該預定可重複位置上或鄰近處或在預定位置上對準時,捕獲至少部分的機器手臂2300A之接續影像(圖15,區塊1305)。透過使用接續成像,從接續影像與校準影像之間的比較可以識別出位置變異數ΔPV(圖15,區塊1306),以判定運動補償因子,該因子改變機器手臂2300A之延伸位置,其中實現第一成像之捕獲的各成像感測器被設置在安裝凸緣的周邊內部,如上述。 Referring now to FIG. 15 , exemplary operations of aspects of the present disclosure will be described. In one aspect, method 1300 includes providing a transport chamber (such as described above) of a substrate transport apparatus (such as described above) ( FIG. 15 , block 1301). The transport chamber has a substrate transport opening 125OP that communicates with a substrate station module (such as a vacuum chamber or other suitable substrate holding location). The method further includes providing a drive section 23204 having a mounting flange or interface mount 510 connected to the transport chamber (FIG. 15, block 1302), and having a motor (as described above) defining at least one independent drive shaft, the mounting flange 510 mounting the drive section 23204 to the transport chamber and forming a perimeter that separates the interior of the transport chamber outside the perimeter from the exterior of the transport chamber inside the perimeter. The method 1300 further includes providing a robot arm 2300A having an end effector 23203 mounted inside the transport chamber (FIG. 15, block 1303). The robotic arm 2300A is operably connected to a drive section 23204 that utilizes the at least one independent drive axis to generate at least arm motion along a radial direction R to extend and retract the robotic arm 2300A and to move the end effector 23203 from a retracted position to an extended position along the radial direction R. When the robotic arm 2300A is at one of the predetermined repeatable positions described herein (defined by the at least one independent drive axis), the imaging system 600 uses one or more imaging sensors 601-603 mounted via the mounting flange 510 to image at least a portion of the robotic arm 2300A ( FIG. 15 , block 1304). The imaging system 600 is carried on the mounting interface 510 in a predetermined position relative to the transport room and images the robot arm 2300A moving to or within the predetermined repeatable position. The controller 11091 captures successive images of at least a portion of the robot arm 2300A as the robot arm 2300A approaches or is aligned at or near the predetermined repeatable position or at the predetermined position ( FIG. 15 , block 1305). By using subsequent imaging, a position variation Δ PV ( FIG. 15 , block 1306 ) can be identified from a comparison between the subsequent image and the calibration image to determine a motion compensation factor that changes the extended position of the robot arm 2300A, wherein each imaging sensor that achieves capture of the first imaging is disposed within the periphery of the mounting flange, as described above.

應註明,雖然本揭露之態樣是相關於縮回或在縮回位置中的手臂2300A來描述,但本揭露之態樣也可用於手臂2300A之延伸的情況。舉例而言,手臂2300A可具有可重複延伸位置,該可重複延伸位置是在手臂2300A 校準期間所選定。該可重複延伸位置可以是例如在處理模組中的基板固持位置處,該基板固持位置具有相較於驅動軸編碼器基準已知的預定旋轉位置(驅動軸之θ旋轉)。當編碼器到達已知預定的旋轉位置時,控制器11091從編碼器接收訊號,以指示手臂2300A是在可重複延伸位置中。一旦在可重複延伸位置中,與以上相對於在縮回位置中的手臂2300A(亦即,捕獲影像並與預程式化之校準影像進行比較)實質相似方式判定運動補償。 It should be noted that although aspects of the present disclosure are described with respect to the arm 2300A being retracted or in a retracted position, aspects of the present disclosure may also be applied to situations where the arm 2300A is extended. For example, the arm 2300A may have a repeatable extended position that is selected during calibration of the arm 2300A. The repeatable extended position may be, for example, at a substrate holding position in a processing module that has a known predetermined rotational position (θ rotation of the drive axis) relative to a drive axis encoder reference. When the encoder reaches the known predetermined rotational position, the controller 11091 receives a signal from the encoder to indicate that the arm 2300A is in a repeatable extended position. Once in the repeatable extended position, motion compensation is determined in a substantially similar manner as described above with respect to the arm 2300A in the retracted position (i.e., images are captured and compared to pre-programmed calibration images).

根據本揭露一或多態樣,基板運輸設備包含:運輸室,其具有配置以與基板站模組連通之基板運輸開口;驅動區段,其具有連接到該運輸室之安裝介面,以及具有界定至少一獨立驅動軸之馬達,該安裝介面將該驅動區段安裝到該運輸室並形成周邊,其將在該周邊外部的該運輸室之內部分離自該周邊內部的該運輸室之外部;機器手臂,其安裝在該運輸室內部,並在該機器手臂遠端端部具有末端執行器,該機器手臂經組態以支撐基板於其上並可操作以連接到該驅動區段,該驅動區段利用該至少一獨立驅動軸,以至少產生沿徑向方向的手臂運動,以使該機器手臂延伸和縮回,並使該末端執行器從縮回位置沿該徑向方向移動到延伸位置;成像系統,其通過該安裝介面在相對於該運 輸室之預定位置中安裝有攝影機,該攝影機被設置以成像至少部分的該機器手臂;以及控制器,其與該成像系統可通訊連接並組態以使用該攝影機成像該至少部分的該機器手臂,該機器手臂沿著由該至少一獨立驅動軸界定之路徑移動或者在該預定位置中,該控制器在該至少部分的該機器手臂接近或在該預定位置上對準時,進行捕獲該至少部分的該機器手臂的第一影像,其中,該控制器經組態以從該第一影像與該至少部分的該機器手臂之校準影像進行比較,來計算該至少部分的該機器手臂之位置變異數,以及從該位置變異數判定將該機器手臂之該延伸位置予以改變的運動補償因子,其中進行該第一影像之捕獲的該各攝影機經設置在該安裝介面之該周邊內部。 According to one or more aspects of the present disclosure, a substrate transport apparatus includes: a transport chamber having a substrate transport opening configured to communicate with a substrate station module; a drive section having a mounting interface connected to the transport chamber and having a motor defining at least one independent drive axis, the mounting interface mounting the drive section to the transport chamber and forming a perimeter that separates the interior of the transport chamber outside the perimeter from the exterior of the transport chamber inside the perimeter; a robot arm having The robot arm is mounted inside the transport chamber and has an end effector at the distal end of the robot arm, the robot arm is configured to support the substrate thereon and is operably connected to the drive section, the drive section uses the at least one independent drive axis to generate at least arm movement in a radial direction to extend and retract the robot arm and move the end effector from a retracted position along the radial direction to an extended position; an imaging system, which is relative to the mounting interface through the mounting interface A camera is mounted in a predetermined position in the transport room, the camera being configured to image at least a portion of the robot arm; and a controller is communicatively connected to the imaging system and configured to use the camera to image at least a portion of the robot arm, the robot arm moving along a path defined by the at least one independent drive axis or in the predetermined position, the controller performing an operation when at least a portion of the robot arm approaches or is aligned at the predetermined position. Capturing a first image of at least a portion of the robot arm, wherein the controller is configured to compare the first image with a calibration image of at least a portion of the robot arm to calculate a positional variance of the at least a portion of the robot arm, and to determine a motion compensation factor for changing the extended position of the robot arm from the positional variance, wherein the cameras for capturing the first image are disposed within the periphery of the mounting interface.

根據本揭露一或多態樣,由該控制器從該第一影像與該至少部分的該機器手臂之校準影像進行比較,來計算出的位置變異數包括:該徑向方向上的位置變異數分量;以及在與該徑向方向呈非零交叉角的方向上的另一變異數分量;以及該運動補償因子改變在該徑向方向與該角度方向中至少一方向上的該機器手臂之該延伸位置。 According to one or more aspects of the present disclosure, the position variation calculated by the controller from the first image and the calibration image of at least part of the robot arm includes: a position variation component in the radial direction; and another variation component in a direction with a non-zero intersection angle with the radial direction; and the motion compensation factor changes the extended position of the robot arm in at least one of the radial direction and the angular direction.

根據本揭露一或多態樣,該第一影像中捕獲到的該至少部分的該機器手臂包括其上有基板的該末端執行器,其中具有基板之該末端執行器被成像於該第一影像中,以及該控制器判定相對於該末端執行器之預定基板固 持位置的基板偏心率。 According to one or more aspects of the present disclosure, the at least portion of the robot arm captured in the first image includes the end effector with a substrate thereon, wherein the end effector with the substrate is imaged in the first image, and the controller determines an eccentricity of the substrate relative to a predetermined substrate holding position of the end effector.

根據本揭露一或多態樣,該機器手臂之至少一連桿具有相對於預定平面描述位置之線性與旋轉特性的特徵,其中該控制器根據使用該成像系統捕獲的該特徵之影像來記錄該位置的線性與旋轉特性。 According to one or more aspects of the present disclosure, at least one link of the robot arm has a feature that describes linear and rotational characteristics of a position relative to a predetermined plane, wherein the controller records the linear and rotational characteristics of the position based on an image of the feature captured using the imaging system.

根據本揭露一或多態樣,該機器手臂相對於該機器手臂之肩軸延伸與縮回,該肩軸位於該周邊內部。 According to one or more aspects of the present disclosure, the robot arm extends and retracts relative to a shoulder axis of the robot arm, and the shoulder axis is located inside the periphery.

根據本揭露一或多態樣,該各攝影機相對於該延伸機器手臂的機器手臂末端執行器之遠端位置定位在該肩軸鄰近處。 According to one or more aspects of the present disclosure, each camera is positioned proximate to the shoulder axis relative to the distal end of the robot arm end effector of the extended robot arm.

根據本揭露一或多態樣,方法包含:設置基板運輸設備之運輸室,該運輸室具有配置以與基板站模組連通之基板運輸開口;設置驅動區段,其具有連接到該運輸室之安裝凸緣,以及具有界定至少一獨立驅動軸之馬達,該安裝凸緣將該驅動區段安裝到該運輸室並形成周邊,其將在該周邊外部的該運輸室之內部分離自該周邊內部的該運輸室之外部;設置機器手臂,其安裝在該運輸室內部,並在該機器手臂遠端端部具有末端執行器,該機器手臂經組態以支撐基板於其上並可操作以連接到該驅動區段;利用該至少一獨立驅動軸,以至少產生沿徑向方向的機器手臂運動,以使該機器手臂延伸和縮回,並使該末端執行器從縮回位置沿該徑向方向移動到延伸位 置;使用通過該安裝凸緣在相對於該運輸室之預定位置中安裝的成像系統之攝影機,來將沿著由該至少一獨立驅動軸所界定之路徑移動到達或在由該至少一獨立驅動軸所界定之該預定位置中的至少部分的該機器手臂成像;使用與該成像系統可通訊連接之控制器,以在該至少部分的該機器手臂接近或在該預定位置上對準時,捕獲該至少部分的該機器手臂的第一影像;以及使用該控制器,以從該第一影像與該至少部分的該機器手臂之校準影像進行比較,來計算該至少部分的該機器手臂之位置變異數,以及從該位置變異數判定將該機器手臂之該延伸位置予以改變的運動補償因子,其中進行該第一影像之捕獲的該各攝影機經設置在該安裝凸緣之該周邊內部。 According to one or more aspects of the present disclosure, a method includes: providing a transport chamber of a substrate transport apparatus, the transport chamber having a substrate transport opening configured to communicate with a substrate station module; providing a drive section having a mounting flange connected to the transport chamber, and having a motor defining at least one independent drive shaft, the mounting flange mounting the drive section to the transport chamber and forming a perimeter that separates an interior portion of the transport chamber outside the perimeter from an interior portion of the perimeter. The transport chamber is outside; a robot arm is arranged inside the transport chamber and has an end effector at a distal end of the robot arm, the robot arm is configured to support a substrate thereon and is operable to be connected to the drive section; the at least one independent drive axis is used to generate at least a radial movement of the robot arm so as to extend and retract the robot arm and move the end effector from a retracted position to an extended position along the radial direction. ; using a camera of an imaging system mounted by the mounting flange in a predetermined position relative to the transport chamber to image at least a portion of the robot arm moving along a path defined by the at least one independent drive axis to or in the predetermined position defined by the at least one independent drive axis; using a controller communicatively connected to the imaging system to capture the at least a portion of the robot arm when it approaches or is aligned at the predetermined position A first image of at least a portion of the robot arm; and using the controller to compare the first image with a calibration image of at least a portion of the robot arm to calculate a positional variance of the at least portion of the robot arm, and to determine a motion compensation factor for changing the extended position of the robot arm from the positional variance, wherein the cameras for capturing the first image are disposed within the periphery of the mounting flange.

根據本揭露一或多態樣,該方法進一步包含:使用該控制器從該第一影像與該至少部分的該機器手臂之校準影像進行比較,來計算出的位置變異數包括:比較該徑向方向上的位置變異數分量以及在與該徑向方向呈非零交叉角的方向上的另一變異數分量;以及該運動補償因子改變在該徑向方向與該角度方向中至少一方向上的該機器手臂之該延伸位置。 According to one or more aspects of the present disclosure, the method further comprises: using the controller to compare the first image with the calibration image of at least a portion of the robot arm to calculate the position variation including: comparing the position variation component in the radial direction and another variation component in the direction with a non-zero intersection angle with the radial direction; and the motion compensation factor changes the extended position of the robot arm in at least one of the radial direction and the angular direction.

根據本揭露一或多態樣,該第一影像中捕獲到的該至少部分的該機器手臂包括其上有基板的該末端執 行器,其中具有基板之該末端執行器被成像於該第一影像中,該方法進一步包含使用該控制器來判定相對於該末端執行器之預定基板固持位置的基板偏心率。 According to one or more aspects of the present disclosure, the at least portion of the robot arm captured in the first image includes the end effector with a substrate thereon, wherein the end effector with the substrate is imaged in the first image, and the method further includes using the controller to determine the eccentricity of the substrate relative to a predetermined substrate holding position of the end effector.

根據本揭露一或多態樣,該機器手臂之至少一連桿具有相對於預定平面描述位置之線性與旋轉特性的特徵,該方法進一步包含使用該控制器以根據使用該成像系統捕獲的該特徵之影像來記錄該位置的線性與旋轉特性。 According to one or more aspects of the present disclosure, at least one link of the robot arm has a feature that describes linear and rotational characteristics of a position relative to a predetermined plane, and the method further includes using the controller to record the linear and rotational characteristics of the position based on an image of the feature captured using the imaging system.

根據本揭露一或多態樣,該機器手臂相對於該機器手臂之肩軸延伸與縮回,該肩軸位於該周邊內部。 According to one or more aspects of the present disclosure, the robot arm extends and retracts relative to a shoulder axis of the robot arm, and the shoulder axis is located inside the periphery.

根據本揭露一或多態樣,該各攝影機相對於該延伸機器手臂的機器手臂末端執行器之遠端位置定位在該肩軸鄰近處。 According to one or more aspects of the present disclosure, each camera is positioned proximate to the shoulder axis relative to the distal end of the robot arm end effector of the extended robot arm.

根據本揭露一或多態樣,基板運輸設備包含:運輸室,其具有配置以與基板站模組連通之基板運輸開口;驅動區段,其具有連接到該運輸室之安裝介面,以及具有界定至少一獨立驅動軸之馬達;多連桿機器手臂,其安裝在該運輸室內部,並在該多連桿機器手臂遠端端部具有末端執行器,該多連桿機器手臂經組態以支撐基板於其上並可操作以連接到該驅動區段,該驅動區段利用該至少一獨立驅動軸,以至少產生沿徑向方向的手臂運動,以使該多連桿機器手臂延伸 和縮回,並使該末端執行器從縮回位置沿該徑向方向移動到延伸位置;在該多連桿機器手臂上之一組一或多標記,其將該多連桿機器手臂的至少一連桿相對於該徑向方向之線性與旋轉特性兩者特徵化;成像系統,其通過該安裝介面在相對於該運輸室之預定位置中安裝有至少一成像感測器,該成像感測器被設置以成像至少部分的該多連桿機器手臂上該組一或多標記;以及控制器,其與該成像系統可通訊連接並組態以使用該至少一成像感測器成像該至少部分的該多連桿機器手臂上該組一或多標記,該多連桿機器手臂沿著由該至少一獨立驅動軸界定之路徑移動或者在該預定位置中,該控制器在該至少部分的該多連桿機器手臂接近或在該預定位置上對準時,進行捕獲該至少部分的該多連桿機器手臂上該組一或多標記的第一影像,其中,該控制器經組態以從該第一影像與該至少部分的該多連桿機器手臂上該組一或多標記之校準影像進行比較,來計算該多連桿機器手臂的該末端執行器的基板固持站之位置變異數,以及從該位置變異數判定將該多連桿機器手臂之該延伸位置予以改變的運動補償因子,其中進行該第一影像之捕獲的該至少一成像感測器各經設置在該安裝介面之該周邊內部。 According to one or more aspects of the present disclosure, a substrate transport apparatus comprises: a transport chamber having a substrate transport opening configured to communicate with a substrate station module; a drive section having a mounting interface connected to the transport chamber and having a motor defining at least one independent drive axis; a multi-link robot arm mounted inside the transport chamber and having an end effector at a distal end of the multi-link robot arm, the multi-link robot arm being configured to support a substrate thereon and being operably connected to the drive section, the drive section utilizing the at least one independent drive axis to move the substrate therefrom. A plurality of vertical drive axes are provided to generate arm motion in at least a radial direction to extend and retract the multi-link robot arm and to move the end effector from a retracted position to an extended position along the radial direction; a set of one or more markers on the multi-link robot arm that characterize both linear and rotational characteristics of at least one link of the multi-link robot arm relative to the radial direction; an imaging system having at least one imaging sensor mounted in a predetermined position relative to the transport chamber through the mounting interface, the imaging sensor being configured to imaging the group of one or more markers on at least a portion of the multi-link robot arm; and a controller, which is communicatively connected to the imaging system and configured to use the at least one imaging sensor to image the group of one or more markers on at least a portion of the multi-link robot arm, the multi-link robot arm moves along a path defined by the at least one independent drive axis or is in the predetermined position, and the controller captures the at least a portion of the multi-link robot arm when the at least a portion of the multi-link robot arm approaches or is aligned at the predetermined position. A first image of the set of one or more markers, wherein the controller is configured to compare the first image with a calibration image of the set of one or more markers on at least a portion of the multi-link robotic arm to calculate a position variation of a substrate holding station of the end effector of the multi-link robotic arm, and determine a motion compensation factor for changing the extended position of the multi-link robotic arm from the position variation, wherein the at least one imaging sensor that captures the first image is each disposed within the periphery of the mounting interface.

根據本揭露一或多態樣,該安裝介面將該驅 動區段安裝到該運輸室並形成周邊,其將在該周邊外部的該運輸室之內部分離自該周邊內部的該運輸室之外部。 According to one or more aspects of the present disclosure, the mounting interface mounts the drive section to the transport chamber and forms a perimeter that separates the interior of the transport chamber outside the perimeter from the exterior of the transport chamber inside the perimeter.

根據本揭露一或多態樣,在該第一影像中捕獲到的該至少部分的該組一或多標記決定了該末端執行器的該基板固定站的該位置變異數。 According to one or more aspects of the present disclosure, at least a portion of the set of one or more markings captured in the first image determines the position variation of the substrate holding station of the end effector.

根據本揭露一或多態樣,由該控制器從該第一影像與該至少部分的該多連桿機器手臂上該組一或多標記之校準影像進行比較,來計算出的位置變異數包括:該徑向方向上的位置變異數分量;以及在與該徑向方向呈非零交叉角的方向上的另一變異數分量;以及該運動補償因子改變在該徑向方向與該角度方向中至少一方向上的該多連桿機器手臂之該延伸位置。 According to one or more aspects of the present disclosure, the position variation calculated by the controller from the first image and the calibration image of the group of one or more markers on at least a portion of the multi-link robot arm includes: a position variation component in the radial direction; and another variation component in a direction with a non-zero intersection angle with the radial direction; and the motion compensation factor changes the extended position of the multi-link robot arm in at least one of the radial direction and the angular direction.

根據本揭露一或多態樣,該第一影像中捕獲到的該至少部分的該多連桿機器手臂上該組一或多標記包括其上有基板的該末端執行器,其中具有基板之該末端執行器被成像於該第一影像中,以及該控制器判定相對於該末端執行器之預定基板固持位置的基板偏心率。 According to one or more aspects of the present disclosure, the set of one or more markings on the at least a portion of the multi-link robot arm captured in the first image includes the end effector with a substrate thereon, wherein the end effector with the substrate is imaged in the first image, and the controller determines the eccentricity of the substrate relative to a predetermined substrate holding position of the end effector.

根據本揭露一或多態樣,該多連桿機器手臂上該組一或多標記相對於預定平面描述位置之線性與旋轉特性,其中該控制器根據使用該成像系統捕獲的該組一或多標記之影像來記錄該位置的線性與旋轉特性。 According to one or more aspects of the present disclosure, the set of one or more markers on the multi-link robot arm describes the linear and rotational characteristics of the position relative to a predetermined plane, wherein the controller records the linear and rotational characteristics of the position based on the image of the set of one or more markers captured using the imaging system.

根據本揭露一或多態樣,該多連桿機器手臂相對於該多連桿機器手臂之肩軸延伸與縮回,該肩軸位於該周邊內部。 According to one or more aspects of the present disclosure, the multi-link robot arm extends and retracts relative to a shoulder axis of the multi-link robot arm, and the shoulder axis is located inside the periphery.

根據本揭露一或多態樣,該至少一成像感測器各相對於該延伸多連桿機器手臂的機器手臂末端執行器之遠端位置定位在該肩軸鄰近處。 According to one or more aspects of the present disclosure, the at least one imaging sensor is positioned proximate to the shoulder axis relative to the distal end of the robot arm end effector of the extended multi-link robot arm.

根據本揭露一或多態樣,方法包含:設置基板運輸設備之運輸室,該運輸室具有配置以與基板站模組連通之基板運輸開口;設置驅動區段,其具有連接到該運輸室之安裝凸緣,以及具有界定至少一獨立驅動軸之馬達;設置多連桿機器手臂,其安裝在該運輸室內部,並在該多連桿機器手臂遠端端部具有末端執行器,該多連桿機器手臂經組態以支撐基板於其上並可操作以連接到該驅動區段;利用該至少一獨立驅動軸,以至少產生沿徑向方向的多連桿機器手臂運動,以使該多連桿機器手臂延伸和縮回,並使該末端執行器從縮回位置沿該徑向方向移動到延伸位置;在該多連桿機器手臂上設置一組一或多標記,其將該多連桿機器手臂的至少一連桿相對於該徑向方向之線性與旋轉特性兩者特徵化;使用通過該安裝凸緣在相對於該運輸室之預定位置中安裝的成像系統之至少一成像系統,來將沿著由該至少一獨立驅動軸所界定之路徑移動到達或在該預定位置中的至少部分的該多連桿機器手臂上該組一或多標記成像; 使用與該成像系統可通訊連接之控制器,以在該至少部分的該多連桿機器手臂接近或在該預定位置上對準時,捕獲該至少部分的該多連桿機器手臂上該組一或多標記的第一影像;以及使用該控制器,從該第一影像與該至少部分的該多連桿機器手臂上該組一或多標記之校準影像進行比較,來計算該至少部分的該多連桿機器手臂之位置變異數,以及從該位置變異數判定將該多連桿機器手臂之該延伸位置予以改變的運動補償因子,其中進行該第一影像之捕獲的該至少一成像感測器各經設置在該安裝凸緣之該周邊內部。 According to one or more aspects of the present disclosure, a method includes: providing a transport chamber of a substrate transport apparatus, the transport chamber having a substrate transport opening configured to communicate with a substrate station module; providing a drive section having a mounting flange connected to the transport chamber and having a motor defining at least one independent drive axis; providing a multi-link robot arm mounted inside the transport chamber and having an end effector at a distal end of the multi-link robot arm, the multi-link robot arm being configured to support a substrate thereon and operable to be connected to the drive section; utilizing the at least one independent drive shaft to generate at least a multi-link machine arm movement in a radial direction so as to extend and retract the multi-link machine arm and move the end effector from a retracted position to an extended position in the radial direction; providing a set of one or more marks on the multi-link machine arm that characterizes both the linear and rotational characteristics of at least one link of the multi-link machine arm relative to the radial direction; using the mounting flange to At least one imaging system of the imaging system mounted in the predetermined position relative to the transport chamber is used to image the set of one or more markers on at least a portion of the multi-link robot arm moving along a path defined by the at least one independent drive axis to or in the predetermined position; Using a controller communicatively connected to the imaging system to capture the set of one or more markers on at least a portion of the multi-link robot arm when the at least portion of the multi-link robot arm approaches or aligns at the predetermined position A first image of a marker; and using the controller, comparing the first image with a calibration image of the set of one or more markers on at least a portion of the multi-link robot arm to calculate the position variation of at least a portion of the multi-link robot arm, and determining a motion compensation factor for changing the extended position of the multi-link robot arm from the position variation, wherein the at least one imaging sensor that captures the first image is each disposed within the periphery of the mounting flange.

根據本揭露一或多態樣,該安裝凸緣將該驅動區段安裝到該運輸室並形成周邊,其將在該周邊外部的該運輸室之內部分離自該周邊內部的該運輸室之外部。 According to one or more aspects of the present disclosure, the mounting flange mounts the drive section to the transport chamber and forms a perimeter that separates the interior of the transport chamber outside the perimeter from the exterior of the transport chamber inside the perimeter.

根據本揭露一或多態樣,在該第一影像中捕獲到的該至少部分的該組一或多標記決定了該末端執行器的該基板固定站的該位置變異數。 According to one or more aspects of the present disclosure, at least a portion of the set of one or more markings captured in the first image determines the position variation of the substrate holding station of the end effector.

根據本揭露一或多態樣,該方法進一步包含:使用該控制器從該第一影像與該至少部分的該多連桿機器手臂上該組一或多標記之校準影像進行比較,來計算出的位置變異數包括:比較該徑向方向上的位置變異數分量以及在與該徑向方向呈非零交叉角的方向上的另一變異數分量;以及該運動補償因子改變在該徑向方向與該角度方向中至少一方向上的該多連桿機器手臂之該延伸位置。 According to one or more aspects of the present disclosure, the method further comprises: using the controller to compare the first image with the calibration image of the group of one or more markers on at least a portion of the multi-link robot arm to calculate the position variation including: comparing the position variation component in the radial direction and another variation component in the direction with a non-zero intersection angle with the radial direction; and the motion compensation factor changes the extended position of the multi-link robot arm in at least one of the radial direction and the angular direction.

根據本揭露一或多態樣,該第一影像中捕獲到的該至少部分的該多連桿機器手臂上該組一或多標記包括其上有基板的該末端執行器,其中具有基板之該末端執行器被成像於該第一影像中,該方法進一步包含使用該控制器來判定相對於該末端執行器之預定基板固持位置的基板偏心率。 According to one or more aspects of the present disclosure, the set of one or more markings on the at least a portion of the multi-link robot arm captured in the first image includes the end effector with a substrate thereon, wherein the end effector with the substrate is imaged in the first image, and the method further includes using the controller to determine the eccentricity of the substrate relative to a predetermined substrate holding position of the end effector.

根據本揭露一或多態樣,該多連桿機器手臂上該組一或多標記相對於預定平面描述位置之線性與旋轉特性,該方法更包含使用該控制器根據使用該成像系統捕獲的該組一或多標記之影像來記錄該位置的線性與旋轉特性。 According to one or more aspects of the present disclosure, the set of one or more markers on the multi-link robot arm describes the linear and rotational characteristics of the position relative to a predetermined plane, and the method further includes using the controller to record the linear and rotational characteristics of the position based on the image of the set of one or more markers captured using the imaging system.

根據本揭露一或多態樣,該多連桿機器手臂相對於該多連桿機器手臂之肩軸延伸與縮回,該肩軸位於該周邊內部。 According to one or more aspects of the present disclosure, the multi-link robot arm extends and retracts relative to a shoulder axis of the multi-link robot arm, and the shoulder axis is located inside the periphery.

根據本揭露一或多態樣,該至少一成像感測器各相對於該延伸多連桿機器手臂的機器手臂末端執行器之遠端位置定位在該肩軸鄰近處。 According to one or more aspects of the present disclosure, the at least one imaging sensor is positioned proximate to the shoulder axis relative to the distal end of the robot arm end effector of the extended multi-link robot arm.

應瞭解,前述說明僅是例示化本揭露態樣。可由在該技術領域中具有通常知識者思及各種替代方案與修改而未背離本揭露之態樣。據此,本揭露之態樣目的在於涵蓋所有此等落在本文隨附申請專利範圍之範疇內的替代方案、修改與變體。此外,在相互不同的附屬項或獨立項中記載不同特徵之純粹事實並不表示不能有利地使用該等特徵的組合,此種組合仍維持在本揭露之態樣的範疇 內。 It should be understood that the foregoing description is merely illustrative of the aspects of the present disclosure. Various alternatives and modifications may be conceived by those of ordinary skill in the art without departing from the aspects of the present disclosure. Accordingly, the aspects of the present disclosure are intended to cover all such alternatives, modifications and variations that fall within the scope of the patent applications attached hereto. Furthermore, the mere fact that different features are described in mutually different dependent or independent items does not mean that a combination of such features cannot be used advantageously, and such a combination remains within the scope of the aspects of the present disclosure.

500:真空室壁 500: Vacuum chamber wall

510:安裝介面 510: Installation interface

511:安裝介面之一部分 511: Part of the installation interface

512:安裝介面之一部分 512: Part of the installation interface

570:編碼器 570: Encoder

571:編碼器 571: Encoder

572:編碼器 572: Encoder

599:真空室 599: Vacuum chamber

600:成像系統 600: Imaging system

601:成像感測器 601: Imaging sensor

605:窗口 605: Window

606:開口 606: Open mouth

610:感測器殼體 610:Sensor housing

615:窗口夾環 615:Window clip ring

2300A:手臂 2300A: Arm

11091:控制器 11091:Controller

23000:運輸設備 23000: Transportation equipment

23201:上手臂 23201: Upper arm

23202:前臂 23202:Forearm

23203:末端執行器 23203: End executor

23204:驅動區段 23204: Drive section

Claims (28)

一種基板運輸設備,其包含:運輸室,其具有配置以與基板站模組連通之基板運輸開口;驅動區段,其具有連接到該運輸室之安裝介面,以及具有界定至少一獨立驅動軸之馬達,該安裝介面將該驅動區段安裝到該運輸室並形成周邊,其將在該周邊外部的該運輸室之內部分離自該周邊內部的該運輸室之外部;機器手臂,其安裝在該運輸室內部,並在該機器手臂之遠端端部具有末端執行器,該機器手臂經組態以支撐基板於其上並可操作以連接到該驅動區段,利用該至少一獨立驅動軸,以產生至少沿徑向方向的手臂運動,以使該機器手臂延伸和縮回,並使該末端執行器從縮回位置沿該徑向方向移動到延伸位置;成像系統,其通過該安裝介面在相對於該運輸室之預定位置中安裝有攝影機,該攝影機被設置以成像至少部分的該機器手臂;以及控制器,其與該成像系統可通訊連接並組態以使用該攝影機成像該至少部分的該機器手臂,該機器手臂沿著由該至少一獨立驅動軸界定之路徑移動或者在該預定位置中,該控制器在該至少部分的該機器手臂接近或在該預定位置上對準時,進行捕獲該至少部分的該機器手臂的第一影像,其中,該控制器經組態以從該第一影像與該至少部分 的該機器手臂之校準影像進行比較,來計算該至少部分的該機器手臂之位置變異數,以及從該位置變異數判定將該機器手臂之該延伸位置予以改變的運動補償因子,其中進行該第一影像之捕獲的各該攝影機經設置在該安裝介面之該周邊內部。 A substrate transport apparatus comprises: a transport chamber having a substrate transport opening configured to communicate with a substrate station module; a drive section having a mounting interface connected to the transport chamber and having a motor defining at least one independent drive axis, the mounting interface mounting the drive section to the transport chamber and forming a perimeter that separates the interior of the transport chamber outside the perimeter from the exterior of the transport chamber inside the perimeter; a robot arm mounted on the perimeter. The transport chamber is provided with an end effector at a distal end of the robot arm, the robot arm being configured to support a substrate thereon and being operably connected to the drive section, utilizing the at least one independent drive axis to generate arm motion at least in a radial direction so as to extend and retract the robot arm and move the end effector from a retracted position along the radial direction to an extended position; an imaging system, which is mounted via the mounting interface at a position relative to the transport chamber. A camera is mounted in a predetermined position, the camera being configured to image at least a portion of the robot arm; and a controller communicatively coupled to the imaging system and configured to use the camera to image at least a portion of the robot arm, the robot arm moving along a path defined by the at least one independent drive axis or in the predetermined position, the controller capturing the at least a portion of the robot arm when the at least a portion of the robot arm approaches or is aligned at the predetermined position. A first image of a portion of the robot arm is captured, wherein the controller is configured to compare the first image with a calibration image of at least a portion of the robot arm to calculate a positional variance of the at least portion of the robot arm, and to determine a motion compensation factor for changing the extended position of the robot arm from the positional variance, wherein each of the cameras for capturing the first image is disposed within the periphery of the mounting interface. 如請求項1所述之基板運輸設備,其中由該控制器從該第一影像與該至少部分的該機器手臂之校準影像進行比較,來計算出的該位置變異數包括:該徑向方向上的位置變異數分量;以及在與該徑向方向呈非零交叉角的角度方向上的另一變異數分量;以及該運動補償因子改變在該徑向方向與該角度方向中至少一方向上的該機器手臂之該延伸位置。 The substrate transport device as described in claim 1, wherein the position variation calculated by the controller from the first image and the calibration image of at least part of the robot arm includes: a position variation component in the radial direction; and another variation component in the angular direction with a non-zero intersection angle with the radial direction; and the motion compensation factor changes the extended position of the robot arm in at least one of the radial direction and the angular direction. 如請求項1所述之基板運輸設備,其中該第一影像中捕獲到的該至少部分的該機器手臂包括其上有基板的該末端執行器,其中具有基板之該末端執行器被成像於該第一影像中,以及該控制器判定相對於該末端執行器之預定基板固持位置的基板偏心率。 The substrate transport apparatus of claim 1, wherein the at least portion of the robot arm captured in the first image includes the end effector with the substrate thereon, wherein the end effector with the substrate is imaged in the first image, and the controller determines the eccentricity of the substrate relative to a predetermined substrate holding position of the end effector. 如請求項1所述之基板運輸設備,其中該機器手臂之至少一連桿具有相對於預定平面來描述位置之線性與旋轉特性的特徵,其中該控制器根據使用該成像系統捕獲的該特徵之影像來記錄該位置的線性與旋轉特性。 The substrate transport apparatus of claim 1, wherein at least one link of the robot arm has a feature that describes the linear and rotational characteristics of the position relative to a predetermined plane, wherein the controller records the linear and rotational characteristics of the position based on an image of the feature captured using the imaging system. 如請求項1所述之基板運輸設備,其中該機器手臂相對於該機器手臂之肩軸延伸與縮回,該肩軸位於該周邊內部。 The substrate transport apparatus as described in claim 1, wherein the robot arm extends and retracts relative to a shoulder axis of the robot arm, and the shoulder axis is located inside the periphery. 如請求項5所述之基板運輸設備,其中該各攝影機相對於該延伸機器手臂的機器手臂末端執行器之遠端位置定位在該肩軸鄰近處。 The substrate transport device as described in claim 5, wherein each camera is positioned proximate to the shoulder axis relative to the distal end of the robot arm end actuator of the extended robot arm. 一種操作基板運輸設備的方法,其包含:提供基板運輸設備之運輸室,該運輸室具有配置以與基板站模組連通之基板運輸開口;提供驅動區段,其具有連接到該運輸室之安裝凸緣,以及具有界定至少一獨立驅動軸之馬達,該安裝凸緣將該驅動區段安裝到該運輸室並形成周邊,其將在該周邊外部的該運輸室之內部分離自該周邊內部的該運輸室之外部;提供機器手臂,其安裝在該運輸室內部,並在該機器手臂之遠端端部具有末端執行器,該機器手臂經組態以支撐基板於其上並可操作以連接到該驅動區段;利用該至少一獨立驅動軸,以產生至少沿徑向方向的機器手臂運動,以使該機器手臂延伸和縮回,並使該末端執行器從縮回位置沿該徑向方向移動到延伸位置;使用通過該安裝凸緣在相對於該運輸室之預定位置中安裝的成像系統之攝影機,來將沿著由該至少一獨立驅動軸所界定之路徑移動到達或在該預定位置中的至少部分的該機器手臂成像;使用與該成像系統可通訊連接之控制器,以在該至少部分的該機器手臂接近或在該預定位置上對準時,捕獲該至少部分的該機器手臂的第一影像;以及 使用該控制器,以從該第一影像與該至少部分的該機器手臂之校準影像進行比較,來計算該至少部分的該機器手臂之位置變異數,以及從該位置變異數判定將該機器手臂之該延伸位置予以改變的運動補償因子,其中進行該第一影像之捕獲的各該攝影機經設置在該安裝凸緣之該周邊內部。 A method of operating a substrate transport apparatus comprises: providing a transport chamber of the substrate transport apparatus, the transport chamber having a substrate transport opening configured to communicate with a substrate station module; providing a drive section having a mounting flange connected to the transport chamber, and having a motor defining at least one independent drive shaft, the mounting flange mounting the drive section to the transport chamber and forming a perimeter that separates the interior of the transport chamber outside the perimeter from the substrate station module; providing a robot arm mounted inside the transport chamber and having an end effector at a distal end of the robot arm, the robot arm being configured to support a substrate thereon and being operably connected to the drive section; utilizing the at least one independent drive axis to generate at least radial movement of the robot arm so as to extend and retract the robot arm and to cause the end effector to move along the radial direction from a retracted position; The invention further comprises: a camera of an imaging system mounted by the mounting flange in a predetermined position relative to the transport chamber to image at least a portion of the robot arm moving along a path defined by the at least one independent drive axis to or in the predetermined position; and a controller communicatively connected to the imaging system to capture at least a portion of the robot arm when the at least portion of the robot arm approaches or is aligned at the predetermined position. Using the controller to compare the first image with a calibration image of at least a portion of the robot arm to calculate a positional variance of at least a portion of the robot arm, and to determine a motion compensation factor for changing the extended position of the robot arm from the positional variance, wherein each of the cameras that capture the first image is disposed within the periphery of the mounting flange. 如請求項7所述之方法,進一步包含:使用該控制器從該第一影像與該至少部分的該機器手臂之校準影像進行比較,來計算出的該位置變異數包括:比較該徑向方向上的位置變異數分量以及在與該徑向方向呈非零交叉角的角度方向上的另一變異數分量;以及該運動補償因子改變在該徑向方向與該角度方向中至少一方向上的該機器手臂之該延伸位置。 The method as described in claim 7 further comprises: using the controller to compare the first image with the calibration image of at least part of the robot arm to calculate the position variation including: comparing the position variation component in the radial direction and another variation component in the angular direction with a non-zero intersection angle with the radial direction; and the motion compensation factor changes the extended position of the robot arm in at least one of the radial direction and the angular direction. 如請求項7所述之方法,其中該第一影像中捕獲到的該至少部分的該機器手臂包括其上有基板的該末端執行器,其中具有基板之該末端執行器被成像於該第一影像中,該方法進一步包含使用該控制器來判定相對於該末端執行器之預定基板固持位置的基板偏心率。 The method of claim 7, wherein the at least a portion of the robot arm captured in the first image includes the end effector with a substrate thereon, wherein the end effector with the substrate is imaged in the first image, and the method further comprises using the controller to determine the eccentricity of the substrate relative to a predetermined substrate holding position of the end effector. 如請求項7所述之方法,其中該機器手臂之至少一連桿具有相對於預定平面來描述位置之線性與旋轉特性的特徵,該方法進一步包含使用該控制器以根據使用該成像系統捕獲的該特徵之影像來記錄該位置的線性與旋轉特性。 The method of claim 7, wherein at least one link of the robot arm has a feature that describes linear and rotational characteristics of position relative to a predetermined plane, the method further comprising using the controller to record the linear and rotational characteristics of the position based on an image of the feature captured using the imaging system. 如請求項7所述之方法,其中該機器手 臂相對於該機器手臂之肩軸延伸與縮回,該肩軸位於該周邊內部。 A method as described in claim 7, wherein the robot arm extends and retracts relative to a shoulder axis of the robot arm, the shoulder axis being located within the periphery. 如請求項11所述之方法,其中該各攝影機相對於該延伸機器手臂的機器手臂末端執行器之遠端位置定位在該肩軸鄰近處。 The method of claim 11, wherein each camera is positioned proximate to the shoulder axis relative to the distal end of the robot arm end effector of the extended robot arm. 一種基板運輸設備,其包含:運輸室,其具有配置以與基板站模組連通之基板運輸開口;驅動區段,其具有連接到該運輸室之安裝介面,以及具有界定至少一獨立驅動軸之馬達;多連桿機器手臂,其安裝在該運輸室內部,並在該多連桿機器手臂之遠端端部具有末端執行器,該多連桿機器手臂經組態以支撐基板於其上並可操作以連接到該驅動區段,利用該至少一獨立驅動軸,以產生至少沿徑向方向的手臂運動,以使該多連桿機器手臂延伸和縮回,並使該末端執行器從縮回位置沿該徑向方向移動到延伸位置;在該多連桿機器手臂上之一組一或多標記,其將該多連桿機器手臂的至少一連桿相對於該徑向方向之線性與旋轉特性兩者特徵化;成像系統,其通過該安裝介面在相對於該運輸室之預定位置中安裝有至少一成像感測器,該成像感測器被設置以成像至少部分的該多連桿機器手臂上該組一或多標記;以及控制器,其與該成像系統可通訊連接並組態以使用該 至少一成像感測器成像該至少部分的該多連桿機器手臂上該組一或多標記,該多連桿機器手臂沿著由該至少一獨立驅動軸界定之路徑移動或者在該預定位置中,該控制器在該至少部分的該多連桿機器手臂接近或在該預定位置上對準時,進行捕獲該至少部分的該多連桿機器手臂上該組一或多標記的第一影像,其中,該控制器經組態以從該第一影像與該至少部分的該多連桿機器手臂上該組一或多標記之校準影像進行比較,來計算該多連桿機器手臂的該末端執行器的基板固持站之位置變異數,以及從該位置變異數判定將該多連桿機器手臂之該延伸位置予以改變的運動補償因子,其中進行該第一影像之捕獲的該至少一成像感測器各經設置在該安裝介面之周邊內部。 A substrate transport apparatus comprises: a transport chamber having a substrate transport opening configured to communicate with a substrate station module; a drive section having a mounting interface connected to the transport chamber and having a motor defining at least one independent drive axis; a multi-link robot arm mounted inside the transport chamber and having an end effector at a distal end of the multi-link robot arm, the multi-link robot arm being configured to support a substrate thereon and being operable to connect to the drive section, utilizing the at least one independent drive axis to generate arm movement in at least a radial direction to extend and retract the multi-link robotic arm and to move the end effector from a retracted position along the radial direction to an extended position; a set of one or more markers on the multi-link robotic arm that characterize both linear and rotational properties of at least one link of the multi-link robotic arm with respect to the radial direction; an imaging system having at least one imaging sensor mounted via the mounting interface in a predetermined position relative to the transport chamber, the imaging sensor being configured to image at least a portion of The controller is communicatively connected to the imaging system and configured to use the at least one imaging sensor to image the at least part of the multi-link robot arm, the multi-link robot arm moves along a path defined by the at least one independent drive axis or is in the predetermined position, and the controller captures the at least part of the multi-link robot arm when the at least part of the multi-link robot arm approaches or is aligned at the predetermined position. A first image of one or more markers, wherein the controller is configured to compare the first image with a calibration image of the set of one or more markers on at least a portion of the multi-link robotic arm to calculate a position variation of a substrate holding station of the end effector of the multi-link robotic arm, and determine a motion compensation factor for changing the extended position of the multi-link robotic arm from the position variation, wherein the at least one imaging sensor that captures the first image is each disposed within the periphery of the mounting interface. 如請求項13所述之基板運輸設備,其中該安裝介面將該驅動區段安裝到該運輸室並形成周邊,其將在該周邊外部的該運輸室之內部分離自該周邊內部的該運輸室之外部。 The substrate transport apparatus as claimed in claim 13, wherein the mounting interface mounts the drive section to the transport chamber and forms a perimeter that separates the interior of the transport chamber outside the perimeter from the exterior of the transport chamber inside the perimeter. 如請求項13所述之基板運輸設備,其中在該第一影像中捕獲到的該至少部分的該組一或多標記決定了該末端執行器的該基板固持站的該位置變異數。 The substrate transport apparatus of claim 13, wherein at least a portion of the set of one or more markings captured in the first image determines the position variation of the substrate holding station of the end effector. 如請求項13所述之基板運輸設備,其中由該控制器從該第一影像與該至少部分的該多連桿機器手臂上該組一或多標記之該校準影像進行比較,來計算出的位置變異數包括:該徑向方向上的位置變異數分量;以及 在與該徑向方向呈非零交叉角的角度方向上的另一變異數分量;以及該運動補償因子改變在該徑向方向與該角度方向中至少一方向上的該多連桿機器手臂之該延伸位置。 The substrate transport device as described in claim 13, wherein the position variation calculated by the controller from the first image and the calibration image of the set of one or more marks on at least a portion of the multi-link robot arm includes: a position variation component in the radial direction; and another variation component in the angular direction with a non-zero intersection angle with the radial direction; and the motion compensation factor changes the extended position of the multi-link robot arm in at least one of the radial direction and the angular direction. 如請求項13所述之基板運輸設備,其中該第一影像中捕獲到的該至少部分的該多連桿機器手臂上該組一或多標記包括其上有基板的該末端執行器,其中具有基板之該末端執行器被成像於該第一影像中,以及該控制器判定相對於該末端執行器之預定基板固持位置的基板偏心率。 The substrate transport apparatus of claim 13, wherein the set of one or more markings on the at least a portion of the multi-link robot arm captured in the first image includes the end effector with a substrate thereon, wherein the end effector with the substrate is imaged in the first image, and the controller determines the eccentricity of the substrate relative to a predetermined substrate holding position of the end effector. 如請求項13所述之基板運輸設備,其中該多連桿機器手臂上該組一或多標記相對於預定平面來描述位置之線性與旋轉特性,其中該控制器根據使用該成像系統捕獲的該組一或多標記之影像來記錄該位置的線性與旋轉特性。 The substrate transport apparatus as claimed in claim 13, wherein the set of one or more marks on the multi-link robot arm describes the linear and rotational characteristics of the position relative to a predetermined plane, wherein the controller records the linear and rotational characteristics of the position based on an image of the set of one or more marks captured using the imaging system. 如請求項13所述之基板運輸設備,其中該多連桿機器手臂相對於該多連桿機器手臂之肩軸延伸與縮回,該肩軸位於該周邊內部。 The substrate transport apparatus as described in claim 13, wherein the multi-link robot arm extends and retracts relative to a shoulder axis of the multi-link robot arm, and the shoulder axis is located inside the periphery. 如請求項19所述之基板運輸設備,其中該至少一成像感測器各相對於該延伸多連桿機器手臂的機器手臂末端執行器之遠端位置定位在該肩軸鄰近處。 A substrate transport apparatus as described in claim 19, wherein the at least one imaging sensor is positioned proximate to the shoulder axis relative to the distal end of the robot arm end effector of the extended multi-link robot arm. 一種操作基板運輸設備的方法,其包含:提供基板運輸設備之運輸室,該運輸室具有配置以與基板站模組連通之基板運輸開口; 提供驅動區段,其具有連接到該運輸室之安裝凸緣,以及具有界定至少一獨立驅動軸之馬達;提供多連桿機器手臂,其安裝在該運輸室內部,並在該多連桿機器手臂之遠端端部具有末端執行器,該多連桿機器手臂經組態以支撐基板於其上並可操作以連接到該驅動區段;利用該至少一獨立驅動軸,以產生至少沿徑向方向的多連桿機器手臂運動,以使該多連桿機器手臂延伸和縮回,並使該末端執行器從縮回位置沿該徑向方向移動到延伸位置;在該多連桿機器手臂上設置一組一或多標記,其將該多連桿機器手臂的至少一連桿相對於該徑向方向之線性與旋轉特性兩者特徵化;使用通過該安裝凸緣在相對於該運輸室之預定位置中安裝的成像系統之至少一成像系統,來將沿著由該至少一獨立驅動軸所界定之路徑移動到達或在該預定位置中的至少部分的該多連桿機器手臂上該組一或多標記成像;使用與該成像系統可通訊連接之控制器,以在該至少部分的該多連桿機器手臂接近或在該預定位置上對準時,捕獲該至少部分的該多連桿機器手臂上該組一或多標記的第一影像;以及使用該控制器,從該第一影像與該至少部分的該多連桿機器手臂上該組一或多標記之校準影像進行比較,來計算該至少部分的該多連桿機器手臂之位置變異數,以及從 該位置變異數判定將該多連桿機器手臂之該延伸位置予以改變的運動補償因子,其中進行該第一影像之捕獲的該至少一成像感測器各經設置在該安裝凸緣之周邊內部。 A method for operating a substrate transport device, comprising: providing a transport chamber of the substrate transport device, the transport chamber having a substrate transport opening configured to communicate with a substrate station module; providing a drive section having a mounting flange connected to the transport chamber and a motor defining at least one independent drive axis; providing a multi-link robot arm mounted inside the transport chamber and having an end actuator at a distal end of the multi-link robot arm, the multi-link robot arm being configured to support a substrate on which and operatively connected to the drive section; utilizing the at least one independent drive shaft to generate at least radial direction multi-link machine arm motion to extend and retract the multi-link machine arm and move the end effector from a retracted position to an extended position along the radial direction; providing a set of one or more marks on the multi-link machine arm that characterizes both linear and rotational characteristics of at least one link of the multi-link machine arm relative to the radial direction; using the mounting At least one imaging system of an imaging system mounted at a predetermined position relative to the transport chamber with a flange to image the set of one or more markers on at least a portion of the multi-link robot arm moving along a path defined by the at least one independent drive axis to or in the predetermined position; using a controller communicatively connected to the imaging system to capture the set of one or more markers on at least a portion of the multi-link robot arm when the at least portion of the multi-link robot arm approaches or is aligned at the predetermined position. A first image of multiple markers; and using the controller, comparing the first image with a calibration image of the set of one or more markers on at least a portion of the multi-link robot arm to calculate the position variation of the at least portion of the multi-link robot arm, and determining a motion compensation factor for changing the extended position of the multi-link robot arm from the position variation, wherein the at least one imaging sensor for capturing the first image is each disposed within the periphery of the mounting flange. 如請求項21所述之方法,其中該安裝凸緣將該驅動區段安裝到該運輸室並形成周邊,其將在該周邊外部的該運輸室之內部分離自該周邊內部的該運輸室之外部。 The method of claim 21, wherein the mounting flange mounts the drive segment to the transport chamber and forms a perimeter that separates the interior of the transport chamber outside the perimeter from the exterior of the transport chamber inside the perimeter. 如請求項21所述之方法,其中在該第一影像中捕獲到的該至少部分的該組一或多標記決定了該末端執行器的基板固持站的該位置變異數。 The method of claim 21, wherein the at least a portion of the set of one or more markings captured in the first image determines the positional variation of the substrate holding station of the end effector. 如請求項21所述之方法,進一步包含:使用該控制器從該第一影像與該至少部分的該多連桿機器手臂上該組一或多標記之該校準影像進行比較,來計算出的位置變異數包括:比較該徑向方向上的位置變異數分量以及在與該徑向方向呈非零交叉角的角度方向上的另一變異數分量;以及該運動補償因子改變在該徑向方向與該角度方向中至少一方向上的該多連桿機器手臂之該延伸位置。 The method as described in claim 21 further comprises: using the controller to compare the first image with the calibration image of the set of one or more markers on at least a portion of the multi-link robot arm to calculate the position variation including: comparing the position variation component in the radial direction and another variation component in the angular direction with a non-zero intersection angle with the radial direction; and the motion compensation factor changes the extended position of the multi-link robot arm in at least one of the radial direction and the angular direction. 如請求項21所述之方法,其中該第一影像中捕獲到的該至少部分的該多連桿機器手臂上該組一或多標記包括其上有基板的該末端執行器,其中具有基板之該末端執行器被成像於該第一影像中,該方法進一步包含使用該控制器來判定相對於該末端執行器之預定基板固持位置的基板偏心率。 The method of claim 21, wherein the set of one or more markings on the at least a portion of the multi-link robot arm captured in the first image includes the end effector with a substrate thereon, wherein the end effector with the substrate is imaged in the first image, and the method further comprises using the controller to determine the eccentricity of the substrate relative to a predetermined substrate holding position of the end effector. 如請求項21所述之方法,其中該多連桿機器手臂上該組一或多標記相對於預定平面來描述位置之線性與旋轉特性,該方法更包含使用該控制器根據使用該成像系統捕獲的該組一或多標記之影像來記錄該位置的線性與旋轉特性。 The method of claim 21, wherein the set of one or more markers on the multi-link robot arm describes the linear and rotational characteristics of the position relative to a predetermined plane, and the method further includes using the controller to record the linear and rotational characteristics of the position based on the image of the set of one or more markers captured using the imaging system. 如請求項21所述之方法,其中該多連桿機器手臂相對於該多連桿機器手臂之肩軸延伸與縮回,該肩軸位於該周邊內部。 The method of claim 21, wherein the multi-link robot arm extends and retracts relative to a shoulder axis of the multi-link robot arm, the shoulder axis being located within the periphery. 如請求項27所述之方法,其中該至少一成像感測器各相對於該延伸多連桿機器手臂的機器手臂末端執行器之遠端位置定位在該肩軸鄰近處。 The method of claim 27, wherein the at least one imaging sensor is positioned proximate to the shoulder axis relative to the distal end of the robot arm end effector of the extended multi-link robot arm.
TW109125812A 2019-07-30 2020-07-30 Substrate transport apparatus and method of operating the same TWI846916B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201962880521P 2019-07-30 2019-07-30
US62/880,521 2019-07-30

Publications (2)

Publication Number Publication Date
TW202110602A TW202110602A (en) 2021-03-16
TWI846916B true TWI846916B (en) 2024-07-01

Family

ID=

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20190027389A1 (en) 2014-11-10 2019-01-24 Brooks Automation, Inc. Tool auto-teach method and apparatus

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20190027389A1 (en) 2014-11-10 2019-01-24 Brooks Automation, Inc. Tool auto-teach method and apparatus

Similar Documents

Publication Publication Date Title
US11776834B2 (en) On the fly automatic wafer centering method and apparatus
JP7486570B2 (en) Robot-embedded vision device
US11908721B2 (en) Tool auto-teach method and apparatus
US11764093B2 (en) Automatic wafer centering method and apparatus
TWI832130B (en) On the fly automatic wafer centering method and apparatus
TWI846916B (en) Substrate transport apparatus and method of operating the same
TW202110602A (en) Robot embedded vision apparatus