TWI609444B - 用於基板處理腔室之氣體供應系統及其方法 - Google Patents

用於基板處理腔室之氣體供應系統及其方法 Download PDF

Info

Publication number
TWI609444B
TWI609444B TW102149093A TW102149093A TWI609444B TW I609444 B TWI609444 B TW I609444B TW 102149093 A TW102149093 A TW 102149093A TW 102149093 A TW102149093 A TW 102149093A TW I609444 B TWI609444 B TW I609444B
Authority
TW
Taiwan
Prior art keywords
gas
mass flow
processing chamber
substrate processing
process gases
Prior art date
Application number
TW102149093A
Other languages
English (en)
Other versions
TW201440161A (zh
Inventor
艾克柏 沙瑞夫
馬克 塔斯卡
伊凡傑洛斯 史派洛普洛斯
Original Assignee
蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 蘭姆研究公司 filed Critical 蘭姆研究公司
Publication of TW201440161A publication Critical patent/TW201440161A/zh
Application granted granted Critical
Publication of TWI609444B publication Critical patent/TWI609444B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/513Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using plasma jets
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05DSYSTEMS FOR CONTROLLING OR REGULATING NON-ELECTRIC VARIABLES
    • G05D11/00Control of flow ratio
    • G05D11/02Controlling ratio of two or more flows of fluid or fluent material
    • G05D11/13Controlling ratio of two or more flows of fluid or fluent material characterised by the use of electric means
    • G05D11/131Controlling ratio of two or more flows of fluid or fluent material characterised by the use of electric means by measuring the values related to the quantity of the individual components
    • G05D11/132Controlling ratio of two or more flows of fluid or fluent material characterised by the use of electric means by measuring the values related to the quantity of the individual components by controlling the flow of the individual components
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05DSYSTEMS FOR CONTROLLING OR REGULATING NON-ELECTRIC VARIABLES
    • G05D7/00Control of flow
    • G05D7/06Control of flow characterised by the use of electric means
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05DSYSTEMS FOR CONTROLLING OR REGULATING NON-ELECTRIC VARIABLES
    • G05D7/00Control of flow
    • G05D7/06Control of flow characterised by the use of electric means
    • G05D7/0617Control of flow characterised by the use of electric means specially adapted for fluid materials
    • G05D7/0629Control of flow characterised by the use of electric means specially adapted for fluid materials characterised by the type of regulator means
    • G05D7/0635Control of flow characterised by the use of electric means specially adapted for fluid materials characterised by the type of regulator means by action on throttling means
    • G05D7/0641Control of flow characterised by the use of electric means specially adapted for fluid materials characterised by the type of regulator means by action on throttling means using a plurality of throttling means
    • G05D7/0658Control of flow characterised by the use of electric means specially adapted for fluid materials characterised by the type of regulator means by action on throttling means using a plurality of throttling means the plurality of throttling means being arranged for the control of a single flow from a plurality of converging flows
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/0318Processes
    • Y10T137/0324With control of flow by a condition or characteristic of a fluid
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/7722Line condition change responsive valves

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Automation & Control Theory (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Description

用於基板處理腔室之氣體供應系統及其方法
本發明係關於處理半導體基板(例如,晶圓、面板,等)之方法及裝置。具體而言,本發明係關於供應處理氣體至一設置用以處理此類基板的基板處理腔室之方法及裝置。
除了其他處理步驟外,基板處理涉及,從基板表面選擇性的沉積及移除物質。許多沉積及蝕刻程序使用處理來源氣體以執行上述的沉積及蝕刻。電漿強化蝕刻係一種在一或更多處理步驟中使用複數處理氣體之範例基板處理程序。
在以下揭露內容中,使用電漿強化蝕刻及電漿強化蝕刻腔室以說明各種概念及實施例。然而,應了解在此討論之概念與實施例可適用於任何在基板處理中使用一或更多處理氣體之處理系統或技術。
在一典型電漿處理腔室中,可使複數來源氣體為該腔室可得。該可得來源氣體被提供至一與該電漿處理腔室結合之氣體運送系統。根據配方需求,該可得氣體的一子組可在任何特定處理步驟期間透過氣體運送系統被提供至該腔室。
例如,一配方可能需要20標準立方公分(sccm,standard cubic centimeter)之N2、60sccm之CHF3、及30sccm之Ar在一特定配方步驟期間被運送至腔室。為了控制(即,開啟、關閉、及/或測量)該需求氣體之流動,每個從一氣體供應來源運送一特定氣體至該腔室的供應線,可裝配有一質量流量控制器(MFC,mass flow controller)。
因此一有16種可得的可能處理氣體之腔室,可被裝配一使用16 個MFC之氣體供應系統,用每個MFC關閉、開啟、及量測該16種可得氣體其中一者。在一特定處理步驟期間流動的個別的成分氣體(例如前述的N2、CHF3、及Ar)可在被運輸至該腔室前被混合在一混合歧管中。
圖1顯示一先前技藝氣體供應配置範例100,其中16個MFC(102A-102P)係連通至16個氣體供應線(分別為104A-104P)。每個MFC可以控制與其連通的處理氣體之流動。透過開啟、關閉MFC及/或使用該MFC以量測該流動,可將一處理氣體從一處理步驟排除,或以該處理配方指定之流量提供至該腔室。
雖然此配置已於過去被證明有用,但仍可以被改進。參照前面提到的範例,用以控制16種供應氣體的16個MFC之使用,要求該氣體供應系統及其殼體110具有一定程度的大小,以物理上容納至少該16個MFC。16個MFC的使用亦要求混合歧管112需充分地長以連通至該16個MFC的出口端。
再者,16個MFC及大的混合歧管不但增加了該氣體供應系統底面積也造成大的氣體供應殼體內部體積。因為環境整治規定往往需要清除任何存在於氣體供應系統殼體的內部體積內、或從中排出的氣體物質,較大的內部體積導致當較大體積之氣體物質需要被清除時成本的增加。
再者,較大的氣體供應系統殼體需要使該氣體供應系統被放置地離腔室更遠(例如,相對於一較小的氣體供應系統)。因此,通常需要一較長的連接混合歧管至腔室的腔室氣體供應線。
更再者,某些配方需要供應氣體之脈衝。例如,一配方可能需要氣體混合物1與氣體混合物2間交替的脈衝。在脈衝應用,較大的混合歧管及較長的腔室氣體供應線增加了氣體滯留時間,使從一氣體混合物到另一氣體混合物的快速切換不可行。
為了至少這些原因,需要用於基板處理腔室之改良的氣體供應配置及其方法。
在一實施例中,本發明係關於一種提供一組處理氣體至一基板處理腔室的氣體供應子系統,該組處理氣體係為該基板處理腔室可得的複 數處理氣體的一子組。包含提供複數處理氣體的複數處理氣體導管。在一實施例中,本發明亦包含有較該複數處理氣體中處理氣體的總數更少的質量流量控制器之複數質量流量控制器,其中該複數處理氣體的至少一第一處理氣體係處於藉由該複數質量流量控制器之至少二質量流量控制器的選擇性氣體流動控制下,且其中該至少二質量流量控制器的至少一第一質量流量控制器亦對該複數處理氣體的一第二處理氣體提供選擇性氣體流動控制。
在另一實施例中,本發明係關於一種提供一組處理氣體至一基板處理腔室的方法,該組處理氣體係為該基板處理腔室可得的複數處理氣體的一子組。該方法包含提供該複數處理氣體之一第一處理氣體至一質量流量控制器,藉此該質量流量控制器控制該第一處理氣體至該基板處理腔室的流動。該方法亦包含之後使一上游閥對真空裝置開啟,以從該質量流量控制器沖掉至少一部份的該第一氣體,其中該上游閥與該質量流量控制器的一入口端處於氣體連通。該方法亦包含之後提供該複數處理氣體之一第二處理氣體至該質量流量控制器,藉此該質量流量控制器控制該第二處理氣體至該基板處理腔室的流動。
100‧‧‧先前技藝氣體供應設置範例
102A~102P‧‧‧質量流量控制器
104A~104P‧‧‧氣體供應線
110‧‧‧殼體
112‧‧‧混合歧管
200‧‧‧電漿處理系統
202‧‧‧電漿處理腔室
204‧‧‧氣體供應系統
206A‧‧‧質量流量控制器
206B‧‧‧質量流量控制器
206C‧‧‧質量流量控制器
206D‧‧‧質量流量控制器
208‧‧‧混合歧管
210A~210H‧‧‧可得供應氣體
214A~214D‧‧‧氣體導管區段
230A~230D‧‧‧主要閥
238‧‧‧真空裝置
240‧‧‧入口歧管
242‧‧‧上游閥
250‧‧‧下游閥
260‧‧‧混合歧管到腔室的氣體供應線
304A‧‧‧主要閥
304B‧‧‧主要閥
308‧‧‧上游閥
310‧‧‧真空裝置
312‧‧‧入口導管
314‧‧‧質量流量控制器
316‧‧‧共同入口歧管
330‧‧‧下游閥
332‧‧‧混合歧管
340‧‧‧輸出導管
402‧‧‧步驟
404‧‧‧步驟
406‧‧‧步驟
408‧‧‧步驟
410‧‧‧步驟
X‧‧‧氣體供應
Y‧‧‧氣體供應
本發明在隨附圖式中係以舉例的方式說明,而非限制的方式,且其中相似的元件符號代表類似元件,及其中:圖1顯示一先前技藝氣體供應配置範例,其中16個MFC(102A-102P)係連通至16個氣體供應線(分別為104A-104P)。
圖2顯示,根據本發明的一實施例,一電漿處理系統的一部分之簡化圖,包含一電漿處理腔室及一氣體供應系統。
圖3顯示被連通以接收二可能氣體供應X及Y的一範例MFC,以幫助本發明的可選性泵淨化態樣之討論。
圖4顯示,依照本發明的一實施例,一用以執行該可選性泵淨化的步驟之簡化流程圖。
現在將參照一些較佳實施例與所附圖式詳細說明本發明的內容。為提供對本發明周密的了解,接下來的敘述中將提出許多特定的細節。然而,顯而易見的,對於熟悉本技藝者來說,本發明可被實行而無須其中部分或全部特定細節。在其他情況下,為了不對本發明造成不必要地混淆,眾所周知的程序步驟與/或結構則沒有被詳述。
下文下描述各種實施例,包含方法及技術。應謹記,本發明亦可涵蓋製品,製品包含一電腦可讀媒體,於其上儲存了用以實行本發明的技術的實施例之電腦可讀指令。該電腦可讀媒體可能包括,例如,半導體的、磁性的、光磁的、光學的、或用以儲存電腦可讀碼之其他型態的電腦可讀媒體。再者,本發明亦可涵蓋用以實行本發明的實施例之裝置。此類裝置可能包含電路,專用及/或可程式控制,用以執行附屬於本發明實施例的任務。此類裝置的範例包含,一通用電腦及/或一被適當地程式化的專用計算裝置、又可包含一電腦/計算裝置與適用在附屬於本發明實施例之各種任務的專用/可程式控制電路的一組合。
本發明之實施例係關於用以提供一組處理氣體至一用以處理基板的基板處理腔室之方法與裝置。本發明人在此認為,雖然可使許多處理氣體對一典型基板處理腔室而言可得,但是一處理配方(例如,一用以沉積或蝕刻的配方)會需要比一子組更多(且通常是一小的子組)的基板處理系統可得之處理氣體的情況即使有的話也是極度的稀少。這是因為一些處理氣體組合是不相容的或者根本不會一起被用於基板處理。
因此,吾人認為,若一控制(即開啟/關閉,及/或測量)氣體流量之質量流量控制器可以被連通,俾使該質量流量控制器(MFC,mass flow controller)可以執行多於一種處理氣體的選擇性氣體流動控制,即可以減少MFC的總數。當該名詞被使用於本文中,若一MFC,在任何特定時間,可以連通至複數處理氣體中的任一者且能控制(即開啟/關閉,及/或測量)其當下接收的處理氣體,則該MFC被稱為執行複數處理氣體的選擇性氣體流動控制。
在一或更多實施例中,一種創新的配置被提出,藉此,供應處理氣體至該腔室所需求的MFC數量小於該腔室可得的處理氣體之總數。當該名詞被使用於本文中,"可得處理氣體"代表可被供應至該腔室之所有可能 的處理氣體,儘管一特定的配方可能只需要可得處理氣體中的一子組。
為了減少MFC的數目,俾使MFC較可得處理氣體的總數更少,至少一、或一些、或全部的MFC可以被連接以各自接收並控制多於一者的處理氣體。在一或更多實施例中,一特定處理氣體可以被提供至多於一MFC,俾使任一被提供該氣體之MFC可以被用來控制該處理氣體的流量。
在一或更多實施例中,可得處理氣體或可得處理氣體的一子組可以在特定MFC的入口側上被多路傳輸,俾使雖然對特定MFC而言可能有多於一的可得處理氣體,在任何特定時間只有一處理氣體(或無一)被該MFC控制。
再者,本發明的實施例提出一套閉鎖組合,俾使某些處理氣體組合不在一特定MFC之入口側被多路傳輸。閉鎖能力對於預防MFC中不相容氣體的殘餘物間/中有害或傷害性的非意圖反應特別有用。
在一或更多實施例中,一泵淨化程序被提出用以縮短透過一特定MFC從一處理氣體切換到另一處理氣體所需的時間。在一實施例中,一上游閥被連通至該MFC之入口。該上游閥可連通至真空裝置,俾使任何在切換氣體之前流過該MFC的處理氣體可以被抽出。該真空使任何殘留的先前氣體減到最少。之後,該上游閥可以在第二處理氣體流至該MFC的入口側之前、同時、或不久後,對真空裝置關閉。在一或更多實施例中,一淨化氣體(例如一惰性氣體或一與先前氣體或後續處理氣體皆相對無反應性的處理相容氣體)可以在從先前處理氣體切換到後續處理氣體之前被用來淨化該MFC及/或與之連通的導管。
既然使用較少的MFC,連接至MFC之出口的混合歧管可以縮短。再者,既然需要的MFC較少,該氣體供應系統的底面積可以縮小。較小的氣體供應系統可以被設置的更靠近腔室,從而減少混合歧管到腔室的氣體供應線的長度。當混合歧管及混合歧管到腔室的氣體供應線其中之一或兩者被縮短,氣體滯留時間即被縮短而可能更快的切換氣體。在一實施例中,可使氣體切換快到足以使各種氣體混合物間的氣體脈衝成為可能,以配合需要這種氣體脈衝的處理配方。
雖然在MFC的入口處多路傳輸可得處理氣體(或其子組)需要額外的閥與導管,本發明人在此認為,因為在MFC的上游側上沒有氣體脈衝 或氣體切換,於上游側上的導管長度及底面積限制較不緊要。使用的MFC的數量減少、混合歧管的長度減少、及/或混合歧管到腔室的氣體供應線的長度減少,代表有益的取捨。
參照以下圖式與論述可以更加了解本發明的實施例之特徵及優點。
圖2顯示,根據本發明的一實施例,一電漿處理系統200的一部分之簡化圖,電漿處理系統200包含一電漿處理腔室202及一氣體供應系統204。氣體供應系統204包含四示例性MFC,206A、206B、206C、及206D,其之出口係連通至一混合歧管208。可得供應氣體210A-210H代表八示例性可得供應氣體,可使其各種組合為腔室202可得。雖然只有八可得供應氣體及四MFC被繪示,應理解一氣體供應系統可擁有任意數量之MFC並處理任何數量之可得供應氣體。
在圖2的範例中,假設已經確定(目的為了解釋本範例)腔室202中執行的配方在任何特定時間最多使用四處理氣體。因此,只提供四MFC以減少MFC的數量、及縮短混合歧管208的長度且縮短混合歧管到腔室的氣體供應線260(因為氣體供應系統204的底面積減少)。
如可見,MFC 206A係連通至氣體供應線210A、210B、210C、及210D(分別經由氣體導管區段214A、214B、214C、及214D),用以對經由氣體供應線210A、210B、210C、及210D提供之處理氣體執行選擇性氣體流動控制。主要閥230A係設置於氣體導管區段214A上,用以至少開啟/關閉經由氣體供應線210A及氣體導管區段214A提供至MFC 206A之氣體流動。類似的主要閥230B、230C、及230D可分別被設置於氣體導管區段214B、214C、及214D上。主要閥230A-230D不是關閉所有進入MFC 206A的氣體輸入,就是從氣體供應線210A、210B、210C、及210D多路傳輸最多一種氣體至MFC 206A之入口側。
如圖2中可見,主要閥230A、230B、230C、及230D的輸出端係連通至一共同MFC入口歧管240,其與MFC 206A之入口側處於氣體連通。在一或更多實施例中,為了更快地排空任何存在於MFC 206A及共同MFC入口歧管240中的氣體,從而促進透過MFC 206A更快的氣體切換,上游閥242能被可選性地配置以使MFC 206A的內部及共同MFC入口歧管 240對真空裝置(如圖2中顯示的238)開啟。如果想要,上游閥242也可以執行關閉閥的額外的功能。應了解若要實行泵淨化的話,上游閥及類似真空淨化能力可被提供給MFC 206B、206C、及206D。此可選性泵淨化的態樣於此後會被討論。
MFC 206B經由類似設置的氣體導管區段及主要閥連通至氣體供應線210E、210F、210G、及210H。MFC 206C經由類似設置的氣體導管區段及主要閥連通至氣體供應線210A、210C、及210E。MFC 206D經由類似設置的氣體導管區段及主要閥連通至氣體供應線210A、210B、210C、210D、210E、210F、210G、及210H。如圖2中可見,一特定MFC可被連通用以在其入口側以一種多路傳輸之方法接收任何可得供應氣體的子組或接收全部可得供應氣體。如前所述,可選性上游閥亦可被設置在連通至每個MFC之入口側的共同入口歧管上。
在一或更多實施例中,一可選性下游閥250亦可被設置於MFC 206A之出口側。可選性下游閥250可以以在上游閥242有關討論中相同的方式執行關閉閥及/或真空淨化閥的功能。如果想要,上游閥可被提供給MFC 206A、206B、206C、及206D中每一者。
如圖2中可見,雖然有八可得處理氣體,既然已經確定於腔室202中執行的配方最多使用四處理氣體,只需要4個MFC(206A、206B、206C、及206D)。既然需要的MFC較少,混合歧管208之長度可被減少。較短的混合歧管208有較小之體積,從而減少氣體滯留時間而使透過MFC 206A及透過氣體供應系統204更快的氣體切換成為可能。
再者,既然使用的MFC較少,氣體供應系統204之底面積可減少。較小的氣體供應系統204可被設置的更靠近腔室202,從而減少混合歧管至腔室氣體線260之長度。較短的混合歧管至腔室氣體線260有較小之體積,從而減少氣體滯留時間而使透過氣體供應系統204更快的氣體切換成為可能。
此外,既然一特定處理氣體(例如經由氣體線210E(供應的處理氣體)可被多於一之MFC控制(例如,在圖2範例中被MFC 206B及MFC 206C),若其中一MFC(舉例來說例如MFC 206B)為了保養需要被移除離線,因為經由氣體線210E供應的處理氣體可經由MFC 206C繼續被測量並供應 至處理腔室,處理可繼續進行。
如先前所提,選擇性泵淨化程序可被實施以增加透過MFC或透過氣體供應系統的氣體切換的速度。圖3顯示一示例性MFC 314,MFC 314被連通以分別透過主要閥304A及304B接收二可能氣體供應X及Y。上游閥308可被設置用以使MFC 314、MFC 314之入口導管312、及共同入口歧管316排氣至真空裝置310。或者,上游閥308可被開啟使來自共同入口歧管316的氣體流動至入口導管312,或關閉從共同入口歧管316流出至入口導管312或真空裝置310中任一者的氣體。
假設處理的一特定步驟需要氣體X的流動(透過開啟主要閥304A及關閉主要閥304B)通過MFC 314。在此例中,開啟上游閥308允許來自共同入口歧管316的氣體流動通過至入口導管312。在此設置下MFC 314從而對氣體X執行選擇性流動控制。
若後續處理步驟(或當前處理步驟之後續子步驟)需要氣體Y的選擇性控制(換而言之,從氣體X到氣體Y的脈衝),主要氣體閥304A可被關閉以首先關閉對MFC 314的氣體X之供應。隨後,上游閥308可排氣至真空裝置310,從而使在MFC 314、入口導管312、及/或共同入口歧管316中的氣體X殘留物可以被排出至真空裝置310。
如果需要,主要閥304B可被開啟,以使氣體Y可以沖洗共同入口歧管316。之後,上游閥308可被切換至流通模式以使共同入口歧管316與入口導管312處於氣體連通。若主要閥304B已經開啟,氣體Y會被提供至MFC 314。或者,主要閥304B可被保持關閉直到上游閥308被從真空淨化模式切換到流通模式之後。因為在MFC 314、入口導管312、及/或共同入口歧管316中的氣體X殘留物已經被排出至真空裝置310,從氣體X到氣體Y的氣體切換時間被縮短,且任何沒注意的或不想要的氣體X與氣體Y之混合被減到最少。
圖3亦顯示一選擇性的下游閥330,其連通於MFC 314的輸出端與混合歧管332之間。真空淨化可由下游閥330執行,以排空在連通至MFC 314輸出側的出口導管中或來自混合歧管332的氣體X殘留物。若上游閥308及下游閥330皆於從氣體X切換到氣體Y前排氣至真空裝置,本質上所有氣體X殘留物可以在氣體Y經由主要閥304B流動前,被從共同入 口歧管316、入口導管312、MFC 314、輸出導管340、及混合歧管332中排空。
圖4顯示,依照本發明的一實施例,一用以執行該可選性泵淨化的步驟之簡化流程圖。在此例中,執行從氣體X到氣體Y的氣體切換。在步驟402中,氣體X流過該MFC。在步驟404中,氣體X的流動被關閉(例如藉由控制氣體X流動到該MFC的主要閥)。在步驟406中,藉由向真空裝置開啟該上游閥執行真空淨化,以從該MFC及從該MFC入口側導管移除氣體X殘留物。
在步驟408中,藉由向真空裝置開啟該下游閥可選性地執行真空淨化,以從該MFC及從該MFC出口側導管及/或從該混合歧管中移除氣體X殘留物。
在步驟410,藉由開啟控制氣體Y流動到該MFC的主要閥,使氣體Y流到該MFC。該上游閥係處於流通模式以使氣體Y可以從共同入口歧管被供應到該MFC。該下游閥(如果有設置)係處於流通模式以使氣體Y可以離開該MFC進入混合歧管中。該控制氣體Y流動的主要閥可於稍微早於、同時於、或稍微晚於上游閥及/或下游閥切換到流通模式(從真空淨化模式)時開啟。
如從上述可以了解,本發明的實施例藉由使MFC的數量減少到較可得供應氣體之數量更少,大幅減少該氣體供應系統的底面積。由於氣體供應系統中有較少的MFC,混合歧管的長度可以減少,從而減少該混合歧管體積及其中的氣體滯留時間以改善氣體切換時間。
再者,更小的氣體供應系統底面積使該氣體供應系統可以被設置的更靠近電漿腔室,從而減少混合歧管到腔室的氣體供應線之長度(例如圖2的氣體線260)。更短的混合歧管到腔室的氣體供應線之長度也減少了其中的體積,從而減少其中的氣體滯留時間並改善氣體切換時間。像這樣更快的氣體切換時間使實行供應氣體可能在數秒或次秒範圍內被切換的氣體脈衝配方成為可能。
因為一特定處理氣體可處於藉由多於一者的MFC的選擇性氣體流動控制之下,系統可靠度被改善。若一特定MFC為了保養被移除離線,該處理氣體可經由另一MFC被控制並供應到腔室,從而使處理可以繼續。 這不像在先前技藝圖1中的狀況,其中處理氣體每一者被其自己的MFC控制,且一MFC的失效會使該處理氣體的流動控制變得無法為處理用途所取得。
雖然本發明已就數個較佳實施例加以描述,仍有屬於本發明的範圍內之修改、變化、及同等替代物。若術語"組"於此被使用,這樣的術語係意圖擁有其通常被了解的數學意義,用以包含零、一、或多於一的成員。本發明亦應被理解為包含這些修改、變化、及同等替代物。也應注意本發明之方法及裝置有許多替代的實行方式。雖然於此提供各種範例,這些與本發明有關的範例其意圖為示範性而非限制性。
200‧‧‧電漿處理系統
202‧‧‧電漿處理腔室
204‧‧‧氣體供應系統
206A~206D‧‧‧質量流量控制器
208‧‧‧混合歧管
210A~210H‧‧‧可得供應氣體
214A~214D‧‧‧氣體導管區段
230A~230D‧‧‧主要閥
238‧‧‧真空裝置
240‧‧‧進口歧管
242‧‧‧上游閥
250‧‧‧下游閥
260‧‧‧混合歧管到腔室的氣體供應線

Claims (20)

  1. 一種提供一組處理氣體至一基板處理腔室的氣體供應子系統,該組處理氣體係該基板處理腔室可得的複數處理氣體的一子組,包含:複數處理氣體導管,用以提供複數處理氣體;複數質量流量控制器,每一者的入口端與該複數處理氣體導管其中選擇性的一些處於氣體連通,該複數質量流量控制器具有較該複數處理氣體中處理氣體的總數更少的質量流量控制器;及佈置在該複數質量流量控制器的每一者上游之相對的複數閥,用以選擇性地提供該複數處理氣體之相對的一子組至該複數質量流量控制器,其中該複數閥的每一者係獨立地連接至該複數處理氣體其中一者之相對的一氣體導管,其中該複數處理氣體的至少一第一處理氣體係處於藉由該複數質量流量控制器之至少二質量流量控制器的選擇性氣體流動控制下,其中該至少二質量流量控制器的至少一第一質量流量控制器亦對該複數處理氣體的一第二處理氣體提供選擇性氣體流動控制,其中該等質量流量控制器的每一者係連接至該等閥其中複數者,且其中該複數閥的每一者係獨立地連接至該複數質量流量控制器的相對一者,使得該複數閥的每一者係連接至該複數質量流量控制器的僅一者。
  2. 如申請專利範圍第1項之提供一組處理氣體至一基板處理腔室的氣體供應子系統,其中該至少二質量流量控制器的一第二質量流量控制器亦對該複數處理氣體的該第二處理氣體提供選擇性氣體流動控制。
  3. 如申請專利範圍第1項之提供一組處理氣體至一基板處理腔室的氣體供應子系統,其中該至少二質量流量控制器之一第二質量流量控制器亦對該複數處理氣體的一第三處理氣體提供選擇性氣體流動控制。
  4. 如申請專利範圍第1項之提供一組處理氣體至一基板處理腔室的氣體供應子系統,更包含:一第一上游閥,連通至該第一質量流量控制器的一入口,該第一上游閥選擇性地使該入口連通至真空裝置或提供該第一處理氣體及該第二處理氣體其中至少一者的一導管。
  5. 如申請專利範圍第1項之提供一組處理氣體至一基板處理腔室的氣體 供應子系統,其中該第一處理氣體包含一蝕刻來源氣體。
  6. 如申請專利範圍第5項之提供一組處理氣體至一基板處理腔室的氣體供應子系統,其中該基板處理腔室包含一電漿處理腔室。
  7. 如申請專利範圍第1項之提供一組處理氣體至一基板處理腔室的氣體供應子系統,其中該第一處理氣體包含一沉積來源氣體。
  8. 一種提供一組處理氣體至一基板處理腔室的方法,該組處理氣體係該基板處理腔室可得的複數處理氣體的一子組,包含:提供該複數處理氣體的一第一處理氣體至一質量流量控制器,藉此該質量流量控制器控制該第一處理氣體至該基板處理腔室的一流動;之後使一上游閥對真空裝置開啟,以從該質量流量控制器沖掉至少一部份的該第一氣體,其中該上游閥與該質量流量控制器的一入口端處於氣體連通;及之後提供該複數處理氣體的一第二處理氣體至該質量流量控制器,藉此該質量流量控制器控制該第二處理氣體至該基板處理腔室的一流動。
  9. 如申請專利範圍第8項之提供一組處理氣體至一基板處理腔室的方法,更包含:在該提供該第二處理氣體至該質量流量控制器的一持續時間之至少一部分內,使該上游閥對該真空裝置保持開啟。
  10. 如申請專利範圍第9項之提供一組處理氣體至一基板處理腔室的方法,更包含:在該使該上游閥對該真空裝置開啟後但該提供該第二氣體前,用一第三氣體淨化該質量流量控制器。
  11. 如申請專利範圍第8項之提供一組處理氣體至一基板處理腔室的方法,其中該第一處理氣體包含一蝕刻來源氣體。
  12. 如申請專利範圍第11項之提供一組處理氣體至一基板處理腔室的方法,其中該基板處理腔室包含一電漿處理腔室。
  13. 如申請專利範圍第8項之提供一組處理氣體至一基板處理腔室的方法,其中該第一處理氣體包含一沉積來源氣體。
  14. 一種提供一組處理氣體至一基板處理腔室的氣體供應子系統,該組處理 氣體係該基板處理腔室可得的複數處理氣體的一子組,包含:複數質量流量控制器,具有較該複數處理氣體中處理氣體的總數更少的質量流量控制器;複數氣體輸入多路傳輸器,該複數氣體輸入多路傳輸器的每一者被連通至該複數質量流量控制器的相對的一者,該複數氣體輸入多路傳輸器的每一者接收該複數可得處理氣體的多者處理氣體,並將該多者處理氣體的一者提供至該複數質量流量控制器的該相對的一者;及佈置在該複數質量流量控制器的每一者上游之相對的複數閥,用以選擇性地提供該複數處理氣體之相對的一子組至該複數質量流量控制器,其中該複數閥的每一者係獨立地連接至該複數處理氣體其中一者之相對的一氣體導管,其中該複數處理氣體的至少一第一處理氣體係處於藉由該複數質量流量控制器之至少二質量流量控制器的選擇性氣體流動控制下,其中該至少二質量流量控制器的至少一第一質量流量控制器亦對該複數處理氣體的一第二處理氣體提供選擇性氣體流動控制,其中該等質量流量控制器的每一者係連接至該等閥其中複數者,且其中該複數閥的每一者係獨立地連接至該複數質量流量控制器的相對一者,使得該複數閥的每一者係連接至該複數質量流量控制器的僅一者。
  15. 如申請專利範圍第14項之提供一組處理氣體至一基板處理腔室的氣體供應子系統,其中該至少二質量流量控制器的一第二質量流量控制器亦對該複數處理氣體的該第二處理氣體提供選擇性氣體流動控制。
  16. 如申請專利範圍第14項之提供一組處理氣體至一基板處理腔室的氣體供應子系統,其中該至少二質量流量控制器的一第二質量流量控制器亦對該複數處理氣體的一第三處理氣體提供選擇性氣體流動控制。
  17. 如申請專利範圍第14項之提供一組處理氣體至一基板處理腔室的氣體供應子系統,更包含:一第一上游閥,連通至該第一質量流量控制器之一入口,該第一上游閥選擇性地使該入口連通至真空裝置或提供該第一處理氣體及該第二處理氣體其中至少一者的一導管。
  18. 如申請專利範圍第14項之提供一組處理氣體至一基板處理腔室的氣體 供應子系統,其中該第一處理氣體包含一蝕刻來源氣體。
  19. 如申請專利範圍第18項之提供一組處理氣體至一基板處理腔室的氣體供應子系統,其中該基板處理腔室包含一電漿處理腔室。
  20. 如申請專利範圍第14項之提供一組處理氣體至一基板處理腔室的氣體供應子系統,其中該第一處理氣體包含一沉積來源氣體。
TW102149093A 2012-12-31 2013-12-30 用於基板處理腔室之氣體供應系統及其方法 TWI609444B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US13/732,054 US9090972B2 (en) 2012-12-31 2012-12-31 Gas supply systems for substrate processing chambers and methods therefor

Publications (2)

Publication Number Publication Date
TW201440161A TW201440161A (zh) 2014-10-16
TWI609444B true TWI609444B (zh) 2017-12-21

Family

ID=51015769

Family Applications (1)

Application Number Title Priority Date Filing Date
TW102149093A TWI609444B (zh) 2012-12-31 2013-12-30 用於基板處理腔室之氣體供應系統及其方法

Country Status (4)

Country Link
US (2) US9090972B2 (zh)
KR (1) KR20140088040A (zh)
CN (1) CN103972010A (zh)
TW (1) TWI609444B (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11742214B2 (en) 2016-09-16 2023-08-29 Hitachi High-Tech Corporation Plasma processing method
TWI837594B (zh) * 2021-05-27 2024-04-01 日商日立全球先端科技股份有限公司 電漿處理裝置

Families Citing this family (269)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9090972B2 (en) * 2012-12-31 2015-07-28 Lam Research Corporation Gas supply systems for substrate processing chambers and methods therefor
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
TWI693638B (zh) 2014-04-07 2020-05-11 美商蘭姆研究公司 獨立於配置的氣體輸送系統
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10557197B2 (en) 2014-10-17 2020-02-11 Lam Research Corporation Monolithic gas distribution manifold and various construction techniques and use cases therefor
US10658222B2 (en) 2015-01-16 2020-05-19 Lam Research Corporation Moveable edge coupling ring for edge process control during semiconductor wafer processing
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10022689B2 (en) 2015-07-24 2018-07-17 Lam Research Corporation Fluid mixing hub for semiconductor processing tool
US10957561B2 (en) * 2015-07-30 2021-03-23 Lam Research Corporation Gas delivery system
US10118263B2 (en) 2015-09-02 2018-11-06 Lam Researech Corporation Monolithic manifold mask and substrate concepts
US10192751B2 (en) 2015-10-15 2019-01-29 Lam Research Corporation Systems and methods for ultrahigh selective nitride etch
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10825659B2 (en) 2016-01-07 2020-11-03 Lam Research Corporation Substrate processing chamber including multiple gas injection points and dual injector
US9879795B2 (en) 2016-01-15 2018-01-30 Lam Research Corporation Additively manufactured gas distribution manifold
US10215317B2 (en) 2016-01-15 2019-02-26 Lam Research Corporation Additively manufactured gas distribution manifold
US10147588B2 (en) 2016-02-12 2018-12-04 Lam Research Corporation System and method for increasing electron density levels in a plasma of a substrate processing system
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10438833B2 (en) 2016-02-16 2019-10-08 Lam Research Corporation Wafer lift ring system for wafer transfer
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10410832B2 (en) 2016-08-19 2019-09-10 Lam Research Corporation Control of on-wafer CD uniformity with movable edge ring and gas injection adjustment
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
CN107400879B (zh) * 2017-08-01 2019-04-05 成都天马微电子有限公司 一种显示面板成膜设备及方法
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) * 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TW202405221A (zh) 2018-06-27 2024-02-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112928008B (zh) * 2019-12-06 2023-03-24 中微半导体设备(上海)股份有限公司 气体供应系统及其气体输送方法、等离子体处理装置
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210089079A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 채널형 리프트 핀
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11899477B2 (en) * 2021-03-03 2024-02-13 Ichor Systems, Inc. Fluid flow control system comprising a manifold assembly
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200725685A (en) * 2005-08-25 2007-07-01 Tokyo Electron Ltd Semiconductor manufacturing apparatus, flow rate correction method for same, and program
US20120305188A1 (en) * 2011-05-31 2012-12-06 Tokyo Electron Limited Plasma processing apparatus and gas supply method therefor

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4369031A (en) * 1981-09-15 1983-01-18 Thermco Products Corporation Gas control system for chemical vapor deposition system
US6210482B1 (en) * 1999-04-22 2001-04-03 Fujikin Incorporated Apparatus for feeding gases for use in semiconductor manufacturing
EP1399789A1 (en) * 2001-05-24 2004-03-24 Unit Instruments, Inc. Method and apparatus for providing a determined ratio of process fluids
US7708859B2 (en) * 2004-04-30 2010-05-04 Lam Research Corporation Gas distribution system having fast gas switching capabilities
JP4550507B2 (ja) * 2004-07-26 2010-09-22 株式会社日立ハイテクノロジーズ プラズマ処理装置
KR100706243B1 (ko) * 2005-02-22 2007-04-11 삼성전자주식회사 질화 텅스텐 증착 장치 및 증착 방법
US7174263B2 (en) * 2005-03-25 2007-02-06 Mks Instruments, Inc. External volume insensitive flow verification
US8088248B2 (en) * 2006-01-11 2012-01-03 Lam Research Corporation Gas switching section including valves having different flow coefficients for gas distribution system
US20070221129A1 (en) * 2006-03-21 2007-09-27 Atto Co., Ltd Apparatus for depositing atomic layer using gas separation type showerhead
JP5855921B2 (ja) * 2010-12-17 2016-02-09 株式会社堀場エステック ガス濃度調整装置
WO2013002885A1 (en) * 2011-06-30 2013-01-03 Applied Materials, Inc. Method and apparatus for fast gas exchange, fast gas switching and programmable gas delivery
US9091397B2 (en) * 2012-03-27 2015-07-28 Lam Research Corporation Shared gas panels in plasma processing chambers employing multi-zone gas feeds
CN102832096B (zh) * 2012-09-20 2015-11-25 中微半导体设备(上海)有限公司 一种用于真空处理装置的气体供应装置及其气体供应及切换方法
US9090972B2 (en) * 2012-12-31 2015-07-28 Lam Research Corporation Gas supply systems for substrate processing chambers and methods therefor

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200725685A (en) * 2005-08-25 2007-07-01 Tokyo Electron Ltd Semiconductor manufacturing apparatus, flow rate correction method for same, and program
US20120305188A1 (en) * 2011-05-31 2012-12-06 Tokyo Electron Limited Plasma processing apparatus and gas supply method therefor

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11742214B2 (en) 2016-09-16 2023-08-29 Hitachi High-Tech Corporation Plasma processing method
TWI837594B (zh) * 2021-05-27 2024-04-01 日商日立全球先端科技股份有限公司 電漿處理裝置

Also Published As

Publication number Publication date
TW201440161A (zh) 2014-10-16
CN103972010A (zh) 2014-08-06
KR20140088040A (ko) 2014-07-09
US20150303035A1 (en) 2015-10-22
US9721763B2 (en) 2017-08-01
US9090972B2 (en) 2015-07-28
US20140182689A1 (en) 2014-07-03

Similar Documents

Publication Publication Date Title
TWI609444B (zh) 用於基板處理腔室之氣體供應系統及其方法
TWI460371B (zh) 短蝕刻配方用之氣體輸送延遲解決方案
CN101256937B (zh) 用于控制流到处理腔室的气流的方法和装置
JP6682649B2 (ja) ガス流量比制御のための方法及びアセンブリ
US20090061640A1 (en) Alternate gas delivery and evacuation system for plasma processing apparatuses
KR102311008B1 (ko) 가스 유동 비율 제어를 위한 방법들 및 어셈블리들
KR100875333B1 (ko) 반도체 제조 장치 및 반도체 제조 방법
TW201443972A (zh) 脈衝化遠程電漿方法和系統
KR20190086589A (ko) 고속 가스 교환, 고속 가스 전환 및 프로그램 가능한 가스 전달을 위한 방법 및 장치
CN101256936A (zh) 用于控制流到处理腔室的气流的方法和装置
KR20170044016A (ko) 원자층 증착을 위한 동적 전구체 도징
WO2022213961A1 (zh) 等离子体增强原子层沉积设备及方法
JP2022500561A (ja) ガス吸気システム、原子層堆積装置および方法
CN104160481A (zh) 分离式泵送方法、装置和系统
CN101959594B (zh) 表面处理装置
CN109750274A (zh) 半导体生产设备及半导体工艺方法
CN101457351B (zh) 气体分配系统和应用该气体分配系统的半导体处理设备
KR101245472B1 (ko) 가스 이송 장치 및 방법
KR100795677B1 (ko) 반도체 제조설비용 분사장치
TW202430675A (zh) 均勻的多層膜的高產率形成方法與裝置
KR20040104040A (ko) 화학기상증착 장치의 배기시스템
CN116855917A (zh) 一种化学物质输送系统及方法
CN111575675A (zh) 一种半导体设备
JP2005251867A (ja) 処理装置
JPH02202021A (ja) 処理方法