TWI597774B - 被處理體之處理方法 - Google Patents

被處理體之處理方法 Download PDF

Info

Publication number
TWI597774B
TWI597774B TW102102665A TW102102665A TWI597774B TW I597774 B TWI597774 B TW I597774B TW 102102665 A TW102102665 A TW 102102665A TW 102102665 A TW102102665 A TW 102102665A TW I597774 B TWI597774 B TW I597774B
Authority
TW
Taiwan
Prior art keywords
gas
processing
processed
layer
processing container
Prior art date
Application number
TW102102665A
Other languages
English (en)
Other versions
TW201349338A (zh
Inventor
Masaki Inoue
Toshihisa Ozu
Takehiro Tanikawa
Jun Yoshikawa
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of TW201349338A publication Critical patent/TW201349338A/zh
Application granted granted Critical
Publication of TWI597774B publication Critical patent/TWI597774B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • H01L21/30612Etching of AIIIBV compounds
    • H01L21/30621Vapour phase etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4916Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6653Unipolar field-effect transistors with an insulated gate, i.e. MISFET using the removal of at least part of spacer, e.g. disposable spacer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Ceramic Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Description

被處理體之處理方法
本發明的各種態樣,係有關於被處理體之處理方法。
下述專利文獻1揭示了一種被處理體之處理方法。專利文獻1所揭示之方法,係有關於MOS電晶體的製造方法。專利文獻1所揭示之方法中,係(a)將形成於半導體基板上之氧化矽膜及多晶矽膜加以圖案成形,以形成閘極電極;(b)將閘極電極作為遮罩使用來對半導體基板進行離子注入,以形成低濃度擴散區域;(c)於閘極電極的側壁依序形成第1側壁間隔層及第2側壁間隔層;(d)將該等側壁間隔層作為遮罩使用來對半導體基板注入離子,以形成高濃度擴散區域;(e)於閘極電極及高濃度擴散區域上形成矽化鎳層。
在MOS電晶體的製造方法中,第1側壁間隔層會由氧化矽所構成,第2側壁間隔層會由氮化矽所構成。又,會在矽化鎳層的形成後將第2側壁間隔層藉由乾蝕刻加以除去。第2側壁間隔層的乾蝕刻,一般而言,係使用含有含碳及氟之氣體(CF系氣體)及氧氣之混合氣體。
【習知技術文獻】 【專利文獻】
專利文獻1 日本特開2006-339327號公報
如同上述MOS電晶體的製造方法,於表面具有含矽化鎳的層及含氮化矽的層之被處理體中,欲將含氮化矽的層藉由乾蝕刻加以除去時,會有由矽化鎳層中所含的Ni而來之殘留物(Residue)沉積於被處理體上。
從而,在該技術領域中,在對含氮化矽的層應用乾蝕刻時,必須抑制由矽化鎳中所含的Ni而來之殘留物的產生。
本發明的一態樣的被處理體之處理方法包含:(a)被處理體準備步驟,在處理容器內準備一被處理體,於該被處理體之表面露出含矽化鎳的第1層與含氮化矽的第2層;及(b)第2層的蝕刻步驟,其包含:對該處理容器內供給含碳及氟而不含氧之第1處理氣體,使該處理容器內產生電漿。
在表面具有氮化矽層及矽化鎳層之被處理體中,將氮化矽層藉由乾蝕刻加以除去時,一般而言,係使用含有含碳及氟的氣體與氧氣之混合氣體。這是為了要將氮化矽層相對於矽化鎳層選擇性蝕刻。然而,在使用該混合氣體之乾蝕刻中,會在被處理體上產生含Ni之殘留物。該殘留物,推測出是因以下說明的機制所產生。亦即,矽化鎳受蝕刻,Ni與碳自由基及氧自由基結合之結果,使Ni(CO)4產生。而Ni(CO)4解離,與氧氣產生反應之結果,使〔Ni(CO)xn產生,使該〔Ni(CO)xn作為殘留物而沉積於被處理體上。另,x及n為1以上的整數。
另一方面,根據一態樣的被處理體之處理方法,由於對處理容器內供給之第1處理氣體中不含氧,故可抑制含Ni之上述殘留物的發生。
在一實施形態中,第1處理氣體亦可更含氫。氫,與第1處理氣體中的氟結合,可助於抑制矽的過度蝕刻。該氫,亦可以H2氣體進行供給。
在一實施形態中,被處理體之處理方法,亦可更包含:從在蝕刻步驟所蝕刻之被處理體上將殘留物除去之步驟,其包含:對處理容器內供給含氮及氫而不含氧之第2處理氣體,使該處理容器內產生電漿。
在使用上述第1處理氣體之蝕刻後,由第1處理氣體中所含的碳及氟而來之殘留物(含碳及氟之殘留物)有可能沉積於被處理體上。為了將含碳及氟之殘留物加以除去而對處理容器內供給之第2處理氣體中,亦不含氧,因此可抑制含Ni之殘留物的產生。
在一實施形態中,亦可在蝕刻步驟之後,在不將被處理體從處理容器中取出之狀態下,在同一處理容器內進行含碳及氟之殘留物除去之步驟。根據此實施形態,可抑制氧進入處理容器內。從而,可抑制含Ni之殘留物的產生。
在一實施形態中,第2處理氣體亦可含H2氣體及N2氣體。由H2氣體所產生之自由基,與含碳及氟之殘留物中的氟結合;由N2氣體所產生之自由基,與含碳及氟之殘留物中的碳結合。從而,根據此實施形態,可將含碳及氟之殘留物有效地除去。
本發明的另一態樣的被處理體之處理方法包含:(a)被處理體準備步驟,在處理容器內準備一被處理體,於該被處理體之表面露出含矽化鎳的第1層與含氮化矽的第2層;(b)氧化步驟;在處理容器內使第1層的表面氧化;及(c)第2層的蝕刻步驟,其包含:對處理容器內供給含碳及氟之第1處理氣體,使該處理容器內產生電漿。根據此另一態樣的被處理體之處理方法,在第2層的蝕刻之前,使第1層的表面氧化,故在第2層的蝕刻時,抑制了由第1層中所含的Ni而來之殘留物的產生。
如以上說明,根據本發明的各種態樣及各種實施形態,提供一種被處 理體之處理方法,其在對含氮化矽的層應用乾蝕刻時,可抑制由矽化鎳中所含的Ni而來之殘留物的產生。
10‧‧‧電漿處理裝置
12‧‧‧處理容器
12a‧‧‧側壁
12b‧‧‧底部
12h‧‧‧排氣孔
14‧‧‧平台
14a‧‧‧台部
14g‧‧‧冷媒室
15‧‧‧靜電吸盤
15d‧‧‧電極
15e‧‧‧絕緣膜
15f‧‧‧絕緣膜
16‧‧‧微波產生器
17‧‧‧對焦環
18‧‧‧天線
20‧‧‧介電窗
20h‧‧‧貫通孔
21‧‧‧O型環
22‧‧‧調諧器
24‧‧‧導波管
26‧‧‧模態轉換器
28‧‧‧同軸導波管
28a‧‧‧外側導體
28b‧‧‧內側導體
30‧‧‧槽孔板
32‧‧‧介電板
34‧‧‧冷卻護套
36‧‧‧導管
41‧‧‧注入器
42‧‧‧導管
42b‧‧‧氣體供給孔
48、50‧‧‧筒狀支持部
51‧‧‧排氣通路
52‧‧‧擋板
54‧‧‧排氣管
56‧‧‧排氣裝置
58‧‧‧高頻電源
60‧‧‧匹配單元
62‧‧‧供電棒
64‧‧‧直流電源
66‧‧‧開關
68‧‧‧被覆線
70、72‧‧‧配管
74‧‧‧氣體供給管
C10‧‧‧控制部
G1~G8‧‧‧氣體供給部
G1~G8a‧‧‧氣體源
G1~G8b‧‧‧閥體
G1~G8c‧‧‧流量控制器
S‧‧‧處理空間
W‧‧‧被處理體
X‧‧‧軸線
100‧‧‧基板
102‧‧‧絕緣膜
104‧‧‧閘極電極
108‧‧‧低濃度擴散區域
110‧‧‧第1側壁間隔層
112‧‧‧第2側壁間隔層(含氮化矽的層)
114‧‧‧高濃度擴散區域
116、118‧‧‧矽化鎳層
圖1係顯示一實施形態的被處理體之處理方法之流程圖。
圖2係顯示可應用圖1所示處理方法之被處理體的一例之圖。
圖3係顯示可用於圖1所示處理方法之電漿處理裝置的一例之圖。
圖4係顯示圖3所示電漿處理裝置可具備的控制部之圖。
圖5係顯示另一實施形態的被處理體之處理方法之流程圖。
以下,參照圖式針對各種實施形態詳細說明之。圖1係顯示一實施形態的被處理體之處理方法之流程圖。如圖1所示,在一實施形態的被處理體之處理方法中,首先,在步驟S1中,準備被處理體W。該被處理體W具有:含矽化鎳(NiSi)的層(第1層)及含氮化矽(SiN)的層(第2層)。含矽化鎳的層及含氮化矽的層,露出於被處理體W的表面。
圖2係顯示可應用圖1所示處理方法之被處理體的一例之圖。於圖2中,顯示了在MOS電晶體製造方法的中間步驟中所產生之被處理體的一例。以下,以圖2所示之被處理體為例,針對一實施形態的被處理體之處理方法進行說明。
圖2所示之被處理體W具備:基板100、絕緣膜102、閘極電極104、低濃度擴散區域108、第1側壁間隔層110、第2側壁間隔層112、高濃度擴散區域114、和矽化鎳層116及118。
基板100係例如第1導電型(p型及n型的一方)的Si基板。於基板100上設有絕緣膜102,於該絕緣膜102上設有閘極電極104。絕緣膜102係例如SiO2 膜。閘極電極104例如由多晶矽所構成。於該閘極電極104上設有矽化鎳層118。
於閘極電極104的一對側壁,分別依序設有第1側壁間隔層110及第2側壁間隔層112。亦即,第1側壁間隔層110以與閘極電極104的側壁相接之方式設置,並設於閘極電極104的側壁與第2側壁間隔層112之間。第1側壁間隔層110由SiO2所構成,第2側壁間隔層112由氮化矽(SiN)所構成。
於基板100,在第1側壁間隔層110及第2側壁間隔層112的下方設有低濃度擴散區域108。低濃度擴散區域108,以自基板100的表面至該基板100的內部的一定深度形成。低濃度擴散區域108具有第2導電型(p型及n型的另一方)。
高濃度擴散區域114,在基板100內設於低濃度擴散區域108的側方。高濃度擴散區域114具有第2導電型(p型及n型的另一方)。於高濃度擴散區域114,有第2導電型的雜質以高濃度(高於低濃度擴散區域108中的第2導電型的雜質的濃度)擴散著。於高濃度擴散區域114上設有矽化鎳層116。
圖2所示之被處理體W,可如以下說明所作成。首先,在步驟(a),於基板100上,將SiO2膜及多晶矽膜藉由例如CVD(Chemical Vapor Deposition)法加以形成。在接下來的步驟(b),將步驟(a)中所形成之SiO2膜及多晶矽膜藉由光微影及蝕刻加以圖案成形,以形成絕緣膜102及閘極電極104。
在接下來的步驟(c),將閘極電極104作為遮罩使用讓第2導電型的離子於基板100內擴散,藉以形成低濃度擴散區域108。在接下來的步驟(d),以覆蓋步驟(c)中所作成之生成物的表面之方式,藉由例如CVD法形成SiO2膜,並對該SiO2膜進行回蝕,藉以形成第1側壁間隔層110。
在接下來的步驟(e),以覆蓋步驟(d)中所作成之生成物的表面之方 式,藉由例如CVD法形成SiN膜,並對該SiN膜進行回蝕,藉以形成第2側壁間隔層112。
在接下來的步驟(f),將第2側壁間隔層112作為遮罩使用讓第2導電型的離子於基板100內擴散,藉以形成高濃度擴散區域114。在接下來的步驟(g),以覆蓋步驟(f)中所作成之生成物的表面之方式,藉由濺鍍法等形成Ni膜,並進行退火,藉以形成矽化鎳層116及118。並將未反應的Ni膜除去,藉此製得被處理體W。如此作成的被處理體W中,含氮化矽的第2側壁間隔層112露出於表面,且矽化鎳層116及118露出於表面。
再次參照圖1。圖1所示之步驟S1中,在處理容器內準備被處理體W。以下,針對可用於一實施形態的被處理體之處理方法之電漿處理裝置的一例進行說明。圖3係顯示可用於圖1所示處理方法之電漿處理裝置的一例之圖。
圖3所示之電漿處理裝置10具備:處理容器12、平台14、微波產生器16、天線18、及介電窗20。電漿處理裝置10,係藉由來自天線18的微波使電漿產生之微波電漿處理裝置。
處理容器12,區劃出用以對被處理體W進行電漿處理的處理空間S。處理容器12,可包含側壁12a及底部12b。側壁12a,具有往軸線X方向(亦即軸線X的延伸方向)延伸之略筒形狀。底部12b設於側壁12a的下端側。於底部12b設有排氣用的排氣孔12h。側壁12a的上端部有開口。
側壁12a的上端部開口由介電窗20所封閉。在該介電窗20與側壁12a的上端部之間插設有O型環21。藉由該O型環21,使得處理容器12的密閉更為確實。
微波產生器16產生例如2.45GHz的微波。電漿處理裝置10更具備:調諧器22、導波管24、模態轉換器26、及同軸導波管28。
微波產生器16經由調諧器22與導波管24相連接。導波管24係例如矩形導波管。導波管24與模態轉換器26相連接,該模態轉換器26與同軸導波管28的上端相連接。
同軸導波管28沿著軸線X延伸。該同軸導波管28包含外側導體28a及內側導體28b。外側導體28a,具有往軸線X方向延伸之略圓筒形狀。內側導體28b設於外側導體28a的內部。該內側導體28b,具有沿著軸線X延伸之略圓筒形狀。
由微波產生器16所產生之微波,經由調諧器22及導波管24導波至模態轉換器26。模態轉換器26轉換微波的模態,並將模態轉換後的微波往同軸導波管28供給。來自同軸導波管28的微波,往天線18供給。
天線18依據由微波產生器16所產生之微波,放射電漿激發用的微波。天線18包含槽孔板30、介電板32、及冷卻護套34。
槽孔板30係構成輻射狀槽孔天線之槽孔板。槽孔板30由具有導電性之金屬製圓板所構成。於槽孔板30形成有複數的槽孔對。各槽孔對,包含往互相交差或直交的方向延伸之二個槽孔。複數的槽孔對,相對於軸線X在徑向以既定的間隔配置,且在周向以既定的間隔配置。
介電板32設於槽孔板30與冷卻護套34的下側表面之間。介電板32係例如石英製,具有略圓板形狀。冷卻護套34的表面可具有導電性。冷卻護套34用於冷卻介電板32及槽孔板30。因此,於冷卻護套34內形成有冷媒用的流路。該冷卻護套34的上部表面,與外側導體28a的下端電性連接。又,內側導體28b的下端,通過形成於冷卻護套34及介電板32的中央部分之孔部,與槽孔板30電性連接。
來自同軸導波管28的微波,傳播至介電板32,從槽孔板30的槽孔通過 介電窗20導入處理空間S內。介電窗20具有略圓板形狀,由例如石英所構成。該介電窗20設於處理空間S與天線18之間,並在軸線X方向中設於天線18的正下方。
於同軸導波管28的內側導體28b的內孔通有導管36。導管36沿著軸線X延伸,並與氣體供給部G1、G2、G3、及G4相連接。氣體供給部G1對導管36供給含碳及氟之氣體。含碳及氟之氣體,係例如CH3F氣體、CF4氣體、或是CH2F2氣體。氣體供給部G1可包含氣體源G1a、閥體G1b、及流量控制器G1c。氣體源G1a係含碳及氟之氣體的氣體源。閥體G1b用於切換來自氣體源G1a的氣體的供給及供給停止。流量控制器G1c係例如質量流量控制器,用於調整來自氣體源G1a的氣體的流量。
氣體供給部G2用於對導管36供給H2氣體。氣體供給部G2可包含氣體源G2a、閥體G2b、及流量控制器G2c。氣體源G2a係H2氣體的氣體源。閥體G2b用於切換來自氣體源G2a的氣體的供給及供給停止。流量控制器G2c係例如質量流量控制器,用於調整來自氣體源G2a的氣體的流量。
氣體供給部G3用於對導管36供給Ar氣體。氣體供給部G3可包含氣體源G3a、閥體G3b、及流量控制器G3c。氣體源G3a係Ar氣體的氣體源。閥體G3b用於切換來自氣體源G3a的氣體的供給及供給停止。流量控制器G3c係例如質量流量控制器,用於調整來自氣體源G3a的氣體的流量。
氣體供給部G4用於對導管36供給N2氣體。氣體供給部G4可包含氣體源G4a、閥體G4b、及流量控制器G4c。氣體源G4a係N2氣體的氣體源。閥體G4b用於切換來自氣體源G4a的氣體的供給及供給停止。流量控制器G4c係例如質量流量控制器,用於調整來自氣體源G4a的氣體的流量。
電漿處理裝置10更具備注入器41。注入器41用於對形成於介電窗20之貫通孔20h供給來自導管36的氣體。供給至介電窗20的貫通孔20h之氣體,往處理空間S供給。
電漿處理裝置10更具備導管42。導管42,在平台14與介電窗20之間,自軸線X的周圍將氣體往處理空間S供給。導管42,在介電窗20與平台14之間以軸線X為中心呈環狀延伸。於導管42形成有複數的氣體供給孔42b。複數的氣體供給孔42b呈環狀排列,並朝軸線X開口,用於將供給至導管42之氣體朝軸線X供給。導管42,經由導管46與氣體供給部G5、G6、G7、及G8相連接。
氣體供給部G5,將與氣體供給部G1同種的氣體、亦即含碳及氟之氣體往導管42供給。氣體供給部G5,可包含與氣體供給部G1同樣的構成要素、亦即氣體源G5a、閥體G5b、及流量控制器G5c。氣體供給部G6,將與氣體供給部G2同種的氣體、亦即H2氣體往導管42供給。氣體供給部G6,可包含與氣體供給部G2同樣的構成要素、亦即氣體源G6a、閥體G6b、及流量控制器G6c。氣體供給部G7,將與氣體供給部G3同種的氣體、亦即Ar氣體往導管42供給。氣體供給部G7,可包含與氣體供給部G3同樣的構成要素、亦即氣體源G7a、閥體G7b、及流量控制器G7c。氣體供給部G8,將與氣體供給部G4同種的氣體、亦即N2氣體往導管42供給。氣體供給部G8,可包含與氣體供給部G4同樣的構成要素、亦即氣體源G8a、閥體G8b、及流量控制器G8c。
平台14,以在軸線X方向面對介電窗20之方式設置。該平台14,以將處理空間S夾在介電窗20與該平台14之間之方式設置。被處理體W載置於平台14上。平台14可包含台部14a、靜電吸盤15、及對焦環17。
台部14a由筒狀支持部48所支持。筒狀支持部48由絕緣性的材料所構成,並從底部12b往垂直上方延伸。又,於筒狀支持部48的外周設有導電性的筒狀支持部50。筒狀支持部50,沿著筒狀支持部48的外周從處理容器12的底部12b往垂直上方延伸。在該筒狀支持部50與側壁12a之間,形成有環狀的排氣通路51。
於排氣通路51的上部,安裝了設有複數的貫通孔之環狀的擋板52。排氣孔12h的下部,經由排氣管54與排氣裝置56相連接。排氣裝置56具有渦輪分子泵等真空泵。藉由排氣裝置56,可將處理容器12內的處理空間S減壓至所求的真空度。
台部14a兼作高頻電極。台部14a,經由匹配單元60及供電棒62,與RF偏壓用的高頻電源58電性連接。高頻電源58,將適於控制導入被處理體W的離子的能量之一定頻率,例如13.65MHz的高頻電力以既定的功率輸出。匹配單元60收容了匹配器,其用以在高頻電源58側的阻抗與主要是電極、電漿、處理容器12等負載側的阻抗之間取得匹配。於該匹配之中包含自偏壓產生用的阻隔電容器。
於台部14a的頂面,設有用以保持被處理體W的保持構件即靜電吸盤15。靜電吸盤15以靜電吸附力保持被處理體W。於靜電吸盤15的徑向外側,設有呈環狀圍繞被處理體W的周圍及靜電吸盤15的周圍之對焦環17。
靜電吸盤15包含電極15d、絕緣膜15e、及絕緣膜15f。電極15d由導電膜所構成,並設於絕緣膜15e與絕緣膜15f之間。電極15d,經由開關66及被覆線68與高壓的直流電源64電性連接。靜電吸盤15,可藉由從直流電源64施加的直流電壓所產生之庫侖力,保持被處理體W。
於台部14a的內部,設有往周向延伸之環狀的冷媒室14g。對於該冷媒室14g,有既定的溫度的冷媒例如冷卻水,藉由急冷器單元(未圖示)經由配管70、72循環供給。根據該冷媒的溫度可控制靜電吸盤15上的被處理體W的處理溫度。又,電漿處理裝置10中,傳熱氣體例如He氣體經由氣體供給管74往靜電吸盤15的頂面與被處理體W的背面之間供給。
如此構成的電漿處理裝置10中,經由導管36及注入器41的貫通孔,從介電窗20的貫通孔20h對處理空間S內沿著軸線X供給氣體。又,在貫 通孔20h的更下方,從導管42朝軸線X供給氣體。再者,從天線18經由介電窗20對處理空間S導入微波。因此,在處理空間S中產生電漿。如此,根據電漿處理裝置10,能以不增加磁場之方式使電漿產生。在該電漿處理裝置10中,可藉由供給至處理空間S之氣體的電漿,對載置於平台14上之被處理體W進行處理。
再次參照圖1。在圖1所示之步驟S1中,係將被處理體W載置於平台14上,亦即靜電吸盤15的頂面。其次,在步驟S2中,對含氮化矽的層,亦即第2側壁間隔層112進行蝕刻。藉由步驟S2中的蝕刻,將第2側壁間隔層112部分地或完全除去。
在步驟S2中,從電漿處理裝置10的氣體供給部G1及G5將含碳及氟之氣體往處理容器12內供給,而在該處理容器12內產生電漿。電漿處理裝置10中,作為電漿的激發源,係將微波從天線18經由介電窗20導入處理空間S。因此,在處理容器12內的處理空間S產生電漿。在一實施形態中,在步驟S2中,亦可將H2氣體從氣體供給部G2及G6往處理空間S供給。又,在一實施形態中,在步驟S2中,亦可將Ar氣體從氣體供給部G3及G7往處理空間S供給。
在步驟S2中往處理空間供給之氣體構成第1處理氣體。如上所述,在步驟S2中往處理容器12內供給之第1處理氣體中,不包含氧。從而,在步驟S2中,可抑制含Ni之殘留物的產生。又,在步驟S2中所供給之H2氣體,與第1處理氣體中的氟結合,可抑制氟所導致的矽的過度蝕刻。另,在一實施形態中,亦可供給NH3氣體以取代H2氣體。
在此,以電漿處理裝置10實施步驟S2時的處理條件的一例係示於下方。
處理空間S的壓力 100mTorr(13.33Pa)
來自高頻電源58的電力 30W
微波產生器16的電力 1800W
第1處理氣體的流量
Ar氣體 1000sccm
CH3F氣體 6sccm
H2氣體 30sccm
來自導管36的氣體與來自導管42的氣體之流量比(來自導管36的氣體的流量:來自導管42的氣體的流量) 95:5
處理時間:300秒
在一實施形態的被處理體之處理方法中,在接下來的步驟S3中,將殘留物從在步驟S2所蝕刻之被處理體W上除去。步驟S3中所除去之殘留物,係來自於在步驟S2所使用之第1處理氣體中所含的碳及氟。亦即,該殘留物係含碳及氟之化合物。在該步驟S3中,將所蝕刻之被處理體W載置於平台14上,對該處理容器12內供給含氮及氫而不含氧之第2處理氣體,且在該處理容器12內產生電漿。
在一實施形態中,在步驟S3中,將H2氣體從氣體供給部G2及G6往處理容器12內供給,將N2氣體從氣體供給部G4及G8往處理容器12內供給。又,在步驟S3中,將微波從天線18經由介電窗20導入處理空間S。因此,在處理容器12內的處理空間S產生電漿。藉由步驟S3的處理,而在處理容器12內產生氮自由基及氫自由基。氮自由基與殘留物中所含之碳結合,氫自由基與殘留物中所含之氟結合。從而,藉由步驟S3,將被處理體W上的殘留物除去。又,在步驟S3中亦使用不含氧之第2處理氣體,藉此可抑制含Ni之殘留物的產生。
在一實施形態中,步驟S3,可在步驟S2之後不將被處理體W從處理容器12取出之狀態下進行。因此,可抑制氧進入處理容器12內。從而,可更有效抑制含Ni之殘留物的產生。
以下,以電漿處理裝置10實施步驟S3時的處理條件的一例係示於下方。
處理空間S的壓力 20mTorr(2.666Pa)
來自高頻電源58的電力 0W
微波產生器16的電力 2000W
第2處理氣體的流量
N2氣體 200sccm
H2氣體 200sccm
來自導管36的氣體與來自導管42的氣體之流量比(來自導管36的氣體的流量:來自導管42的氣體的流量) 100:0
處理時間:15秒
以下,參照圖4。圖4係顯示圖3所示電漿處理裝置可具備的控制部之圖。在一實施形態中,電漿處理裝置10可更具備控制部C10。電漿處理裝置10,亦可在控制部C10的控制下實施上述步驟S2及步驟S3。控制部C10,可為具有CPU及記憶體等構成要素之電腦,亦可依照記憶體中所儲存的程式,對電漿處理裝置10的各構成要素供應控制信號。
更具體而言,在步驟S2中,控制部C10,可對閥體G1b、流量控制器G1c、閥體G2b、流量控制器G2c、閥體G3b、流量控制器G3c、閥體G5b、流量控制器G5c、閥體G6b、流量控制器G6c、閥體G7b、流量控制器G7c供應控制信號,以讓第1處理氣體往處理容器12內供給。又,在步驟S2中,控制部C10,可對微波產生器16供應控制信號以讓微波往處理容器12內供給。又,在步驟S2中,控制部C10,可對高頻電源58供應控制信號以調整來自高頻電源58的電力,可對排氣裝置56供應控制信號以調整處理容器12內的處理空間S的真空度。
又,在步驟S3中,控制部C10,可對閥體G2b、流量控制器G2c、閥體G4b、流量控制器G4c、閥體G6b、流量控制器G6c、閥體G8b、流量控制器G8c供應控制信號,以讓第2處理氣體往處理容器12內供給。又,在步驟S2中,控制部C10,可對微波產生器16供應控制信號以讓微波往處理容器12內供給。又,在步驟S2中,控制部C10,可對高頻電源58供應 控制信號以調整來自高頻電源58的電力,可對排氣裝置56供應控制信號以調整處理容器12內的處理空間S的真空度。
以下,說明另一實施形態。圖5係顯示一實施形態的被處理體之處理方法之流程圖。圖5所示之實施形態的被處理體之處理方法中,首先,與圖1的步驟S1同樣地,在處理容器內準備被處理體。在一實施形態中,被處理體,可為圖2所示之被處理體W。又,在一實施形態中,可使用與圖3所示之電漿處理裝置10同樣的電漿處理裝置。但是,圖5所示之實施形態的被處理體之處理方法中,作為從氣體供給部G2及G6或氣體供給部G4及G8供給之氣體,係供給氧氣,例如O2氣體。
其次,圖5所示之實施形態的被處理體之處理方法中,在步驟S4中,對被處理體W施以氧化處理。在該步驟S4中,於處理容器內使氧電漿產生,藉此使含矽化鎳的層,例如被處理體W的層116及118的表面氧化。在一實施形態中,在步驟S4中,從氣體供給部G2及G4或氣體供給部G6及G8,將氧氣往處理容器12內供給,使該處理容器12內產生電漿。又,在一實施形態中,在步驟S4中,亦可將Ar氣體從氣體供給部G3及G7往處理空間S供給。
在此,以電漿處理裝置10實施步驟S4時的處理條件的一例係示於下方。
處理空間S的壓力 20mTorr~100mTorr
來自高頻電源58的電力 0~100W
微波產生器16的電力 3kW(13.56MHz)
第1處理氣體的流量
供給氣體的流量
氧氣(O2氣體):350sccm,或 氧氣(O2氣體):350sccm,Ar氣體:350sccm
處理時間:30秒
其次,在圖5所示之實施形態的被處理體之處理方法中,在步驟S5中,對含氮化矽的層,例如第2側壁間隔層112進行蝕刻。藉由步驟S5中的蝕刻,將第2側壁間隔層112部分地或完全除去。
在步驟S5中,從電漿處理裝置10的氣體供給部G1及G5將含碳及氟之氣體往處理容器12內供給,使該處理容器12內產生電漿。又,在步驟S5中,亦可將Ar氣體從氣體供給部G3及G7往處理空間S供給。
在此,以電漿處理裝置10實施步驟S5時的處理條件的一例係示於下方。
處理空間S的壓力 100mTorr(13.33Pa)
來自高頻電源58的電力 30W
微波產生器16的電力 1800W
供給氣體的流量
Ar氣體 1000sccm
CH3F氣體或CH2F2氣體 6sccm
來自導管36的氣體與來自導管42的氣體之流量比(來自導管36的氣體的流量:來自導管42的氣體的流量) 95:5
以上所說明的圖5的被處理體之處理方法中,對含氮化矽的層,例如層112進行蝕刻之前,含矽化鎳的層,例如層116及118的表面受氧化,故對含氮化矽的層進行蝕刻時,抑制了由含矽化鎳的層中所含的Ni而來之殘留物的產生。
以上,雖說明了各種實施形態但並不限於上述實施形態,可為各種變形。例如,可用於一實施形態的被處理體之處理方法之電漿處理裝置,並不限於微波電漿處理裝置,亦可為平行平板型的電漿處理裝置等任何電漿處理裝置。

Claims (6)

  1. 一種被處理體之處理方法,包含:準備步驟,在處理容器內準備一被處理體,於該被處理體的表面露出含矽化鎳的第1層與含氮化矽的第2層;該第2層的蝕刻步驟,其包含:對該處理容器內供給含氫氟碳氣體與氬而不含氧之第1處理氣體,使該處理容器內產生電漿;及從在該蝕刻步驟所蝕刻之該被處理體上將殘留物除去之步驟,其包含:對該處理容器內供給含氮及氫而不含氧之第2處理氣體,使該處理容器內產生電漿,其中,該氫氟碳氣體為CH3F或CH2F2
  2. 如申請專利範圍第1項之被處理體之處理方法,其中,該第1處理氣體更含H2氣體,其中該氫氟碳氣體對該H2氣體的比率為20%。
  3. 如申請專利範圍第1項之被處理體之處理方法,其中,該第1處理氣體含NH3氣體。
  4. 如申請專利範圍第1至3項中任一項之被處理體之處理方法,其中,在該蝕刻步驟之後,於不將該被處理體從該處理容器中取出之狀態下,進行該殘留物除去之步驟。
  5. 如申請專利範圍第1至3項中任一項之被處理體之處理方法,其中,該第2處理氣體含H2氣體及N2氣體。
  6. 一種被處理體之處理方法,包含:被處理體準備步驟,在處理容器內準備一被處理體,於該被處理體之表面露出含矽化鎳的第1層與含氮化矽的第2層;氧化步驟;在該處理容器內使該第1層的表面氧化;及該第2層的蝕刻步驟,其包含:對該處理容器內供給含氫氟碳氣體與氬而不含氧之第1處理氣體,使該處理容器內產生電漿,其中,該氫氟碳氣體為CH3F或CH2F2
TW102102665A 2012-01-25 2013-01-24 被處理體之處理方法 TWI597774B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2012013360A JP5520974B2 (ja) 2012-01-25 2012-01-25 被処理基体の処理方法

Publications (2)

Publication Number Publication Date
TW201349338A TW201349338A (zh) 2013-12-01
TWI597774B true TWI597774B (zh) 2017-09-01

Family

ID=48873162

Family Applications (1)

Application Number Title Priority Date Filing Date
TW102102665A TWI597774B (zh) 2012-01-25 2013-01-24 被處理體之處理方法

Country Status (6)

Country Link
US (1) US9728417B2 (zh)
JP (1) JP5520974B2 (zh)
KR (1) KR102003058B1 (zh)
CN (1) CN104054163B (zh)
TW (1) TWI597774B (zh)
WO (1) WO2013111420A1 (zh)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105575946A (zh) * 2014-10-16 2016-05-11 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
EP3359055B1 (en) 2015-10-05 2021-11-03 BVW Holding AG Low normal force retracting device comprising a microstructured surface
JP7008918B2 (ja) * 2016-05-29 2022-01-25 東京エレクトロン株式会社 選択的窒化シリコンエッチングの方法

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6120697A (en) * 1997-12-31 2000-09-19 Alliedsignal Inc Method of etching using hydrofluorocarbon compounds
JPH11204455A (ja) * 1998-01-13 1999-07-30 Sony Corp 半導体装置の製造方法
JP4237332B2 (ja) * 1999-04-30 2009-03-11 株式会社東芝 半導体装置の製造方法
US20040018715A1 (en) * 2002-07-25 2004-01-29 Applied Materials, Inc. Method of cleaning a surface of a material layer
US6706640B1 (en) * 2002-11-12 2004-03-16 Taiwan Semiconductor Manufacturing Co., Ltd Metal silicide etch resistant plasma etch method
US7354867B2 (en) * 2005-02-03 2008-04-08 International Business Machines Corporation Etch process for improving yield of dielectric contacts on nickel silicides
JP4541193B2 (ja) * 2005-03-08 2010-09-08 東京エレクトロン株式会社 エッチング方法
US7452823B2 (en) * 2005-03-08 2008-11-18 Tokyo Electron Limited Etching method and apparatus
JP2006261216A (ja) * 2005-03-15 2006-09-28 Matsushita Electric Ind Co Ltd 半導体装置の形成方法
JP2006339327A (ja) 2005-06-01 2006-12-14 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
US8399360B1 (en) * 2005-11-17 2013-03-19 Cypress Semiconductor Corporation Process for post contact-etch clean
US7390754B2 (en) * 2006-07-20 2008-06-24 United Microelectronics Corp. Method of forming a silicide
JP5181466B2 (ja) * 2006-11-16 2013-04-10 ソニー株式会社 半導体装置の製造方法および半導体装置
JP2010171327A (ja) * 2009-01-26 2010-08-05 Toshiba Corp 半導体装置の製造方法
JP2011018719A (ja) * 2009-07-08 2011-01-27 Fujitsu Semiconductor Ltd 半導体装置の製造方法
CN102054703B (zh) * 2009-10-28 2012-02-22 中国科学院微电子研究所 一种无cmp的适用于后栅工艺的平坦化制备工艺
JP5595716B2 (ja) * 2009-11-18 2014-09-24 株式会社ディスコ 光デバイスウエーハの加工方法

Also Published As

Publication number Publication date
JP2013153075A (ja) 2013-08-08
CN104054163A (zh) 2014-09-17
US9728417B2 (en) 2017-08-08
KR102003058B1 (ko) 2019-07-24
TW201349338A (zh) 2013-12-01
US20150017811A1 (en) 2015-01-15
WO2013111420A1 (ja) 2013-08-01
CN104054163B (zh) 2016-08-17
KR20140119011A (ko) 2014-10-08
JP5520974B2 (ja) 2014-06-11

Similar Documents

Publication Publication Date Title
JP5706946B2 (ja) プラズマエッチング方法及びプラズマエッチング装置
JP4398467B2 (ja) 半導体装置の製造方法
US8119530B2 (en) Pattern forming method and semiconductor device manufacturing method
JP6566430B2 (ja) 基板処理方法
TW200411766A (en) Method for fabricating an ultra shallow junction of a field effect transistor
KR101333352B1 (ko) 에칭 방법 및 장치
JP2007095784A (ja) 半導体装置及びその製造方法
US20130149852A1 (en) Method for forming a semiconductor device
JP2009021584A (ja) 高k材料ゲート構造の高温エッチング方法
JP2010080846A (ja) ドライエッチング方法
TW201445641A (zh) 基板上三維結構之層的含氨電漿氮化
KR20170058282A (ko) 고 쓰루풋을 갖는 초고 선택성 폴리실리콘 에칭
JP2024020242A (ja) メモリ用途のための垂直トランジスタの作製
TW202040799A (zh) 用於3d nand應用之記憶體單元製造
TWI597774B (zh) 被處理體之處理方法
US10008564B2 (en) Method of corner rounding and trimming of nanowires by microwave plasma
JP2017112293A (ja) 溝を有するシリコンカーバイド基板の製造方法
JP6424249B2 (ja) シリコン及びゲルマニウムを含む基板におけるシリコンの優先的酸化のための方法
TW201523728A (zh) 半導體元件之製造方法
TWI845590B (zh) 記憶體單元裝置和半導體結構

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees