TWI546614B - Method and system for reducing manufacturing variation using charged particle beam lithography - Google Patents

Method and system for reducing manufacturing variation using charged particle beam lithography Download PDF

Info

Publication number
TWI546614B
TWI546614B TW100136720A TW100136720A TWI546614B TW I546614 B TWI546614 B TW I546614B TW 100136720 A TW100136720 A TW 100136720A TW 100136720 A TW100136720 A TW 100136720A TW I546614 B TWI546614 B TW I546614B
Authority
TW
Taiwan
Prior art keywords
pattern
charged particle
particle beam
shots
shot
Prior art date
Application number
TW100136720A
Other languages
Chinese (zh)
Other versions
TW201239514A (en
Inventor
藤村明
萩原和之
史蒂芬F 梅爾
因哥 波克
Original Assignee
D2S公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US13/168,953 external-priority patent/US8703389B2/en
Priority claimed from US13/168,954 external-priority patent/US8473875B2/en
Application filed by D2S公司 filed Critical D2S公司
Publication of TW201239514A publication Critical patent/TW201239514A/en
Application granted granted Critical
Publication of TWI546614B publication Critical patent/TWI546614B/en

Links

Landscapes

  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Electron Beam Exposure (AREA)

Description

用於降低使用荷電粒子束顯影術之製造變異的方法及系統 Method and system for reducing manufacturing variations using charged particle beam development 相關申請案Related application

本申請案係:1)對於2010年10月13日提申名為“使用曲線圖案之用於積體電路製造及罩幕資料製備的方法”的美國臨時專利申請案No. 61/392,477主張優先權;及2)有關於2011年6月25日提申之藤村(fujimura)的名為“用於以荷電粒子束微影術形成圖案之方法及系統”之美國專利申請案No. 13/168,953;兩案對於所有用途被合併於本文中以供參考。This application is based on: 1) U.S. Provisional Patent Application No. 61/392,477, entitled "Using a Curved Pattern for the Manufacturing of Integrated Circuits and Preparation of Mask Data", on October 13, 2010, claims priority And 2) US Patent Application No. 13/168,953, entitled "Method and System for Patterning by Charged Particle Beam Microscopy", fujimura, June 25, 2011. Both cases are incorporated herein by reference for all purposes.

揭示背景Reveal background

本揭示係有關於微影術,且更特別有關於使用荷電粒子束微影術以設計及製造一可能身為標線片、晶圓或任何其他表面之表面的技術。This disclosure relates to lithography, and more particularly to the use of charged particle beam lithography to design and fabricate a technique that may be the surface of a reticle, wafer or any other surface.

在諸如積體電路等半導體裝置的生產及製造中,可使用光學微影術製作半導體裝置。光學微影術是一種列印製程,其中使用從一標線片製造的一微影罩幕或光罩將圖案轉移至一諸如半導體或矽晶圓等基材以生成積體電路(IC)。其他基材可包括平板顯示器、全像罩幕、或甚至其他標線片。雖然習見光學微影術使用一具有193nm波長的光源,極紫外線(EUV)或X射線微影術亦被認為是光學微影術的類型。標線片或多重的標線片係可含有對應於積體電路的一個別層之一電路圖案,且此圖案可被成像至已塗覆有一層稱為光阻或阻劑的輻射敏性材料之基材上的一特定區域上。一旦圖案狀層被轉移,該層可經歷不同其他製程,諸如蝕刻、離子植入(摻雜)、金屬化、氧化、及拋光。採用這些製程以修製基材中的一個別層。若需要數層,則將對於各新層重覆整個製程或其變異。最終,倍數個裝置或積體電路的一組合將出現於基材上。這些積體電路隨後可藉由切分或鋸割而彼此分離,且然後可安裝至個別封裝體內。更一般案例中,可使用基材上的圖案以界定諸如顯示像素、全像圖、或磁性記錄頭等製品。In the production and manufacture of semiconductor devices such as integrated circuits, semiconductor devices can be fabricated using optical lithography. Optical lithography is a printing process in which a lithographic mask or reticle fabricated from a reticle is used to transfer a pattern to a substrate such as a semiconductor or germanium wafer to produce an integrated circuit (IC). Other substrates may include flat panel displays, full-image masks, or even other reticle. Although optical lithography uses a light source having a wavelength of 193 nm, extreme ultraviolet (EUV) or X-ray lithography is also considered to be a type of optical lithography. The reticle or multiple reticle may contain a circuit pattern corresponding to one of the layers of the integrated circuit, and the pattern may be imaged to a radiation sensitive material that has been coated with a layer called a photoresist or a resist. On a specific area on the substrate. Once the patterned layer is transferred, the layer can undergo various other processes such as etching, ion implantation (doping), metallization, oxidation, and polishing. These processes are employed to repair a separate layer in the substrate. If several layers are required, the entire process or its variation will be repeated for each new layer. Finally, a combination of multiple devices or integrated circuits will appear on the substrate. These integrated circuits can then be separated from one another by dicing or sawing and can then be mounted into individual packages. In a more general case, a pattern on a substrate can be used to define an article such as a display pixel, an hologram, or a magnetic recording head.

在諸如積體電路等半導體裝置的生產或製造中,可使用非光學方法將一微影罩幕上的一圖案轉移至一諸如矽晶圓等基材。奈米壓印微影術(NIL)係為一種非光學微影術製程的範例。奈米壓印微影術中,一微影罩幕圖案係經由微影術罩幕與表面的接觸而被轉移至一表面。In the production or manufacture of semiconductor devices such as integrated circuits, a pattern on a lithographic mask can be transferred to a substrate such as a germanium wafer using a non-optical method. Nanoimprint lithography (NIL) is an example of a non-optical lithography process. In nanoimprint lithography, a lithographic mask pattern is transferred to a surface via contact of the lithography mask with the surface.

在諸如積體電路等半導體裝置的生產或製造中,亦可使用無罩幕直接寫入以製作半導體元件。無罩幕直接寫入係為一種利用荷電粒子束微影術將圖案轉移至諸如半導體或矽晶圓等基材以生成積體電路之列印製程。其他基材係可包括平板顯示器、用於奈米壓印的壓印罩幕、或甚至標線片。一層的所期望圖案係被直接地寫入於在此例中亦身為基材之表面上。一旦圖案狀層被轉移,該層可經歷各種不同的其他製程,諸如蝕刻、離子植入(摻雜)、金屬化、氧化、及拋光。In the production or manufacture of a semiconductor device such as an integrated circuit, it is also possible to directly write using a maskless to fabricate a semiconductor element. Direct maskless writing is a printing process that uses charged particle beam lithography to transfer a pattern to a substrate such as a semiconductor or germanium wafer to create an integrated circuit. Other substrate systems may include flat panel displays, embossed masks for nanoimprinting, or even reticle. The desired pattern of one layer is written directly onto the surface of the substrate, also in this example. Once the patterned layer is transferred, the layer can undergo various other processes such as etching, ion implantation (doping), metallization, oxidation, and polishing.

這些製程係用來修製基材中的一個別層。若需要數層,則將對於各新層重覆整個製程或其變異。部分的層可利用光學微影術被寫入,而其他層則可利用用來製作相同基材的無罩幕直接寫入被寫入。並且,一給定層的部分圖案可利用光學微影術被寫入,而其他圖案利用無罩幕直接寫入被寫入。最終,倍數個裝置或積體電路的一組合將出現於基材上。這些積體電路隨後藉由切分或鋸割而彼此分離,且隨後安裝至個別封裝體內。更一般案例中,可使用表面上的圖案以界定諸如顯示像素、全像圖、或磁性記錄頭等製品。These processes are used to repair a separate layer in the substrate. If several layers are required, the entire process or its variation will be repeated for each new layer. Some of the layers can be written using optical lithography, while other layers can be written directly using the maskless screen used to make the same substrate. Also, a portion of the pattern of a given layer can be written using optical lithography, while other patterns are written using a maskless direct write. Finally, a combination of multiple devices or integrated circuits will appear on the substrate. These integrated circuits are then separated from each other by dicing or sawing and then mounted into individual packages. In more general cases, patterns on the surface can be used to define articles such as display pixels, holograms, or magnetic recording heads.

兩種常見類型的荷電粒子束微影術係為可變定形束(VSB)及字元投射(CP)。其皆為定形束荷電粒子束微影術的此範疇,其中一精密電子束被定形及導向藉以曝露一阻劑塗覆式表面,諸如一晶圓的表面或一標線片的表面。VSB中,這些形狀是簡單形狀,通常侷限於具特定最小值及最小值尺寸且側邊平行於一笛卡爾(Cartesian)座標平面的軸線(亦即具有“曼哈頓(manhattan)”定向)之矩形,及具特定最小值及最大值尺寸之45度直角三角形(亦即三個內角是45度、45度及90度的三角形)。在預定區位,電子的劑量係以這些簡單形狀被射擊至阻劑內。對於此型系統的總寫入時間係隨著射擊數而增加。字元投射(CP)中,系統中係具有一其中含有多種不同開孔或字元之模板,該等開孔或字元可能係為複雜形狀諸如直線狀、任意角度狀線性、圓形、接近圓形、環狀、接近環狀、卵形、接近卵形、部份圓形、部份接近圓形、部份環狀、部份接近環狀、部份接近卵形、或任意曲線形狀,並可能係為一連接組的複雜形狀或者一群組之脫離組的一連接組的複雜形狀。一電子束可被射擊經過模板上的一字元以有效率地產生較複雜的圖案於標線片上。理論上,此系統因為可以各耗時射擊來射擊較複雜的形狀,故其可比VSB系統更快。因此,一E形圖案射擊藉由一VSB系統係耗費四射擊,但相同的E形圖案可利用一字元投射系統以一射擊被射擊。請注意:VSB系統可想成是字元投射的一特殊(簡單)案例,其中字元只是簡單字元,通常是矩形或45-45-90度三角形。亦可能部份地曝光一字元。可例如藉由阻絕粒子束的部份達成此作用。譬如,上述E形圖案可被部份地曝光作為一F形圖案或一I形圖案,其中藉由一開孔來切除束的不同部份。這係為可如何利用VSB來射擊不同尺寸的矩形之相同機制。此揭示中利用部份投射代表字元投射及VSB投射兩者。Two common types of charged particle beam lithography are the variable shaped beam (VSB) and the character projection (CP). These are all areas of shaped beam charged particle beam lithography in which a precision electron beam is shaped and directed to expose a resist coated surface, such as the surface of a wafer or the surface of a reticle. In VSB, these shapes are simple shapes, typically limited to rectangles with specific minimum and minimum dimensions and sides parallel to a Cartesian coordinate plane (ie, having a "manhattan" orientation). And a 45 degree right triangle with a specific minimum and maximum size (ie, three internal angles are 45, 45 and 90 degrees). At predetermined locations, the dose of electrons is shot into the resist in these simple shapes. The total write time for this type of system increases with the number of shots. In character projection (CP), the system has a template containing a plurality of different apertures or characters, which may be complex shapes such as linear, arbitrarily angular, circular, close Circular, annular, nearly annular, ovate, nearly ovoid, partially rounded, partially oblong, partially annular, partially oblong, partially obovate, or arbitrarily curved. It may be a complex shape of a connected group or a complex shape of a connected group of a group of detached groups. An electron beam can be fired through a character on the stencil to efficiently produce a more complex pattern on the reticle. In theory, this system is faster than the VSB system because it can shoot more complex shapes with time-consuming shots. Thus, an E-shaped pattern shot consumes four shots by a VSB system, but the same E-pattern can be fired with a single shot projection system. Please note that the VSB system can be thought of as a special (simple) case of character projection, where the characters are simply characters, usually rectangular or 45-45-90 degrees triangles. It is also possible to partially expose a character. This effect can be achieved, for example, by blocking a portion of the particle beam. For example, the E-shaped pattern may be partially exposed as an F-shaped pattern or an I-shaped pattern in which different portions of the bundle are cut by an opening. This is the same mechanism that can use VSB to shoot rectangles of different sizes. Partial projections in this disclosure represent both character casting and VSB projection.

如描述,光學微影術中,微影罩幕或標線片係包含與將被積造於一基材上的電路組件呈現對應之幾何圖案。用來製造標線片之圖案係可利用電腦輔助式設計(CAD)軟體或程式所產生。設計圖案時,CAD程式可遵照一組預定設計規則藉以生成標線片。這些規則係由處理、設計及終端使用限制所設定。一終端使用限制的範例係為以一種無法在所需要供應電壓充分地操作之方式來界定一電晶體的幾何結構。特別來說,設計規則可界定電路裝置或互連線之間的空間公差。設計規則譬如係用來確保電路裝置或線不會以不良方式彼此交互作用。譬如,設計規則係用來使得線不會以一種可能造成短路的方式太過靠近彼此。設計規則限制係反映了可被可靠地製作之最小維度,及其他項目。提到這些小維度時,通常係引進一鄰界維度的概念。其例如被定義成一線的最小寬度或兩線之間的最小空間,這些維度需作精細控制。As described, in optical lithography, the lithographic mask or reticle contains geometric patterns corresponding to the circuit components to be stacked on a substrate. The pattern used to make the reticle can be created using a computer-aided design (CAD) software or program. When designing a pattern, the CAD program can generate a reticle by following a predetermined set of design rules. These rules are set by processing, design, and end-use restrictions. An example of a terminal usage limitation is to define the geometry of a transistor in a manner that does not adequately operate at the required supply voltage. In particular, design rules can define spatial tolerances between circuit devices or interconnects. Design rules are used, for example, to ensure that circuit devices or wires do not interact with one another in a bad manner. For example, design rules are used to make lines not too close to each other in a way that could cause a short circuit. Design rule constraints reflect the smallest dimensions that can be reliably produced, and other items. When referring to these small dimensions, it is usually the concept of introducing a dimension of the neighbourhood. It is for example defined as the minimum width of a line or the minimum space between two lines, which are subject to fine control.

利用光學微影術之積體電路製作中的一目標係在於:利用標線片在基材上重製原始的電路設計。積體電路製作者總是試圖盡量有效率地利用半導體晶圓房地結構。工程師不斷縮小電路尺寸以容許積體電路含有更多電路元件且使用較小功率。隨著一積體電路臨界維度的尺寸減小且其電路密度增高,電路圖案或物理設計的臨界維度係趨近於習見光學微影術中所使用的光學曝光工具之解析度極限。隨著電路圖案的臨界維度變得更小且趨近於曝光工具的解析度數值,物理設計變得難以精確地轉錄至阻劑層上所顯影之實際電路圖案。為了進一步使用光學微影術來轉移具有比光學微影術製程中所用光波長更小的特徵構造之圖案,已經發展出一種稱為光學鄰近矯正(OPC)的製程。OPC係更改物理設計以補償諸如特徵構造與鄰近特徵構造的光學繞射及光學交互作用等效應所造成之扭曲。OPC係包括以一標線片進行的所有解析度增強技術。One of the goals in the fabrication of integrated circuits using optical lithography is to reproduce the original circuit design on the substrate using reticle. Integral circuit makers always try to make efficient use of the semiconductor wafer floor structure. Engineers continue to shrink the circuit size to allow the integrated circuit to contain more circuit components and use less power. As the critical dimension of an integrated circuit decreases and its circuit density increases, the critical dimension of the circuit pattern or physical design approaches the resolution limit of optical exposure tools used in optical lithography. As the critical dimension of the circuit pattern becomes smaller and approaches the resolution value of the exposure tool, the physical design becomes difficult to accurately transcribe to the actual circuit pattern developed on the resist layer. In order to further use optical lithography to transfer patterns having a feature configuration that is smaller than the wavelength of light used in the optical lithography process, a process known as optical proximity correction (OPC) has been developed. The OPC system changes the physical design to compensate for distortions caused by effects such as optical diffraction and optical interaction of feature construction and adjacent feature construction. The OPC system includes all resolution enhancement techniques performed with a reticle.

OPC可對於罩幕圖案添加次解析度微影特徵構造,以降低原始設計圖案亦即該設計、與基材上之最終經轉移的電路圖案之間的差異。次解析度微影特徵構造係與物理設計中的原始圖案且與彼此作交互作用,並補償鄰近效應以改良最終經轉移的電路圖案。一用來改良圖案轉移之特徵構造係為次解析度輔助特徵構造(SRAF)。另一被添加用來改良圖案轉移之特徵構造係稱為“襯線(serifs)”。襯線是可被定位於一圖案的一角落上以在最終經轉移影像中銳化該角落之小特徵構造。對於SRAF之表面製造製程所要求的精密度係時常小於常稱為主特徵構造之對於預定列印在基材上的圖案者。襯線是一主特徵構造的一部份。隨著光學微影術的極限延伸遠至次波長方案中,OPC特徵構造必須製成愈來愈複雜,藉以補償益加更細微的交互作用及效應。隨著成像系統被推動更靠近其極限,產生具有充分細微OPC特徵構造之標線片的能力係變得重要。雖然添加襯線或其他OPC特徵構造至一罩幕圖案是有利的,其亦顯著地增加罩幕圖案中的總特徵構造數目。譬如,利用習見技術將一襯線添加至一正方形的各角落,係對於一罩幕或標線片圖案添加另外八個矩形。添加OPC特徵構造係為一種很費力的任務,需要高成本的運算時間,且導致較昂貴的標線片。不只OPC圖案呈現複雜,並因為相較於最小值線及空間維度而言光學鄰近效應係為長程,一給定區位中之正確OPC圖案係顯著地依據附近具有何者其他幾何結構而定。因此,例如,一線端將具有不同尺寸襯線,依據何者在標線片上與其接近而定。即便目的有可能是在晶圓上產生確切相同的形狀,亦然如此。這些輕微但臨界的變異係為重要,並已經防止其他者形成標線片圖案。習慣就主特徵構造-亦即OPC裝飾之前反映該設計的特徵構造、及OPC特徵構造,其中OPC特徵構造有可能包括襯線、凹凸部(jogs)、及SRAF,來討論將被寫入至一標線片上之OPC裝飾式圖案。為了量化輕微變異的代表意義,在OPC裝飾中相對於附近之一典型輕微變異係可有能為一主特徵構造尺寸的5%至80%。請注意為了清楚起見,OPC設計中的變異係為所參照的變異。諸如線邊緣粗度及角落圓化等製造變異將亦出現於實際表面圖案中。當這些OPC變異產生實質相同圖案於晶圓上時,表示晶圓上的幾何結構目標係為在一指定誤差內呈現相同,其依據幾何結構被設計進行的功能細節而定,譬如一電晶體或一導線。然而,典型規格係位於一主特徵構造範圍的2%至50%中。有許多製造因素亦會造成變異,但整體誤差的OPC組份時常位於所列範圍中。諸如次解析度輔助特徵構造等OPC形狀係接受各種不同設計規則,諸如一以可利用光學微影數被轉移晶圓之最小特徵構造的尺寸為基礎之規則。其他設計規則係可來自於罩幕製造製程,或如果使用一字元投射荷電粒子束寫入系統以形成圖案於一標線片上,則來自於標線片製造製程。亦應注意:罩幕上之SRAF特徵構造的精度要求係可能低於罩幕上之對於主特徵構造的精度要求。隨著製程節點不斷縮小,光罩上之最小SRAF的尺寸亦縮小。例如,在20nm邏輯製程節點,罩幕上對於最高精密度層需要40nm至60nm SRAF。The OPC can add a sub-resolution lithography feature to the mask pattern to reduce the difference between the original design pattern, ie, the design, and the resulting transferred circuit pattern on the substrate. The sub-resolution lithography features the original pattern in the physical design and interacts with each other and compensates for the proximity effect to improve the resulting transferred circuit pattern. A feature structure used to improve pattern transfer is the Sub-resolution Auxiliary Feature Construction (SRAF). Another feature structure added to improve pattern transfer is called "serifs." A serif is a small feature configuration that can be positioned on a corner of a pattern to sharpen the corner in the final transferred image. The precision required for the SRAF surface fabrication process is often less than what is commonly referred to as the primary feature configuration for a pattern that is intended to be printed on a substrate. The serif is part of a main feature structure. As the extreme extension of optical lithography extends far beyond the sub-wavelength scheme, OPC feature construction must be made more complex to compensate for the more subtle interactions and effects. As the imaging system is pushed closer to its limits, the ability to produce reticle with sufficiently fine OPC feature construction becomes important. While it is advantageous to add serifs or other OPC features to a mask pattern, it also significantly increases the total number of feature configurations in the mask pattern. For example, using a technique to add a serif to each corner of a square adds an additional eight rectangles to a mask or reticle pattern. Adding an OPC feature structure is a laborious task that requires high computational time and results in more expensive reticle. Not only is the OPC pattern presently complex, but because the optical proximity effect is long range compared to the minimum and spatial dimensions, the correct OPC pattern in a given location is significantly dependent on which other geometry is nearby. Thus, for example, a line end will have a different size serif depending on which one is on the reticle. This is true even if the purpose is likely to produce exactly the same shape on the wafer. These slight but critical variations are important and have prevented others from forming reticle patterns. It is customary to construct the feature structure of the design and the OPC feature structure before the OPC decoration, where the OPC feature structure may include serifs, jogs, and SRAF to discuss the writing to one. The OPC decorative pattern on the reticle. In order to quantify the representativeness of the slight variation, a typical slight variation in OPC decoration relative to one of the nearby ones may have a 5% to 80% of the size of a main feature. Please note that for the sake of clarity, the variation in the OPC design is the variation referred to. Manufacturing variations such as line edge thickness and corner rounding will also occur in the actual surface pattern. When these OPC variations produce substantially the same pattern on the wafer, it indicates that the geometrical target on the wafer is rendered the same within a specified error, depending on the functional details that the geometry is designed to perform, such as a transistor or a wire. However, typical specifications are in the range of 2% to 50% of a range of main feature configurations. There are many manufacturing factors that can cause variations, but the overall error OPC component is often in the range listed. OPC shapes, such as sub-resolution assisted feature construction, accept a variety of different design rules, such as a rule based on the size of the smallest feature that can be transferred using the optical lithography number. Other design rules may come from the mask manufacturing process, or if a one-character projected charged particle beam writing system is used to form a pattern on a reticle, from the reticle manufacturing process. It should also be noted that the accuracy requirements of the SRAF feature construction on the mask may be lower than the accuracy requirements for the main feature configuration on the mask. As the process nodes continue to shrink, the size of the smallest SRAF on the mask is also reduced. For example, at a 20nm logic process node, 40nm to 60nm SRAF is required for the highest precision layer on the mask.

倒反微影術技術(ILT)係為一型OPC技術。ILT是一種直接從一欲形成於一諸如矽晶圓等基材上的圖案來運算一將被形成於一標線片上的圖案之製程。這可包括使用基材上的所期望圖案作為輸入,反方向模擬光學微影術製程。ILT運算式標線片圖案可能是純粹曲線形-亦即完全非直線形,並可包括圓形、接近圓形、環狀、接近環狀、卵形及/或接近卵形圖案。由於曲線形圖案利用習見技術將難以形成於一標線片上且昂貴,可使用曲線形圖案的直線形逼近。此揭示中,ILT、OPC、源罩幕最適化(SMO)、及運算微影術係為可互換的用語。Inverse lithography (ILT) is a type of OPC technology. ILT is a process for directly computing a pattern to be formed on a reticle from a pattern to be formed on a substrate such as a germanium wafer. This can include using the desired pattern on the substrate as an input and simulating the optical lithography process in the opposite direction. The ILT operational reticle pattern may be purely curved - that is, completely non-linear and may include circular, nearly circular, annular, nearly annular, oval, and/or near-oval patterns. Since the curved pattern will be difficult to form on a reticle and expensive using conventional techniques, a linear approximation of the curved pattern can be used. In this disclosure, ILT, OPC, source mask optimization (SMO), and computational lithography are interchangeable terms.

EUV光學微影術具有遠比習見光學微影數更高的解析度。EUV的很高解析度係顯著地降低OPC處理之需求,導致對於EUV比起193nm光學微影術更低的罩幕複雜度。然而,因為EUV的很高解析度,一光罩中的瑕疵、諸如過度線邊緣粗度(LER)將被轉移至晶圓。因此,對於EUV罩幕之精度要求係比習見光學微影術更高。此外,即便EUV罩幕形狀不因為添加習見193nm微影術所需要的複雜SRAF或襯線而變得繁複,EUV罩幕形狀係由於添加EUV製造獨特具有的一些複雜度而變得繁複。對於EUV微影術將圖案寫入至罩幕上而言,特別相干的係為諸如電子等荷電粒子的中程散射,其可能影響約2μm的一半徑。此中程散射引進了罩幕資料製備之一新考慮因素,原因是來自鄰近圖案的影響首次對於一特定圖案將投擲於罩幕表面上的形狀具有顯著衝擊。先前,配合使用習見193nm微影術之罩幕作曝光時,短程散射僅受被寫入圖案所影響,且長程散射具有一夠大範圍使得一圖案的尺寸而非其詳細形狀受到影響,故可以只利用劑量調變作矯正。此外,由於晶圓的EUV處理較為昂貴,欲降低或消除多重圖案化。多重圖案化係使用於習見光學微影術中以容許小特徵構造之曝光,其中利用各含有該層圖案一部分之多重罩幕將用於晶圓處理的一層之圖案予以曝光。降低或消除多重曝光係需使單一罩幕含有較精細圖案。譬如,可藉由首先描畫一長線、然後利用習見微影術中的一第二罩幕將該線切成線分段,藉以將一系列的共線性線分段予以雙重圖案化。諸如對於EUV微影術,利用單一罩幕所寫入的相同層將需要一含有許多較小線分段之罩幕。由於需要在單一罩幕上寫入更大量的較細微圖案,各圖案需更加精確,故對於EUV罩幕精密度的需求係增高。EUV optical lithography has a much higher resolution than the optical lithography. The high resolution of EUV significantly reduces the need for OPC processing, resulting in lower mask complexity for EUV than 193 nm optical lithography. However, due to the high resolution of the EUV, flaws in a reticle, such as excessive line edge thickness (LER), will be transferred to the wafer. Therefore, the accuracy requirements for the EUV mask are higher than those of the optical lithography. In addition, even though the EUV mask shape is not complicated by the addition of complex SRAF or serifs required for 193 nm lithography, the EUV mask shape is complicated by the complexity inherent in adding EUV manufacturing. For EUV lithography to write patterns onto the mask, a particularly coherent system is mid-range scattering of charged particles such as electrons, which may affect a radius of about 2 [mu]m. This medium-range scattering introduces a new consideration in the preparation of mask data because the effects from adjacent patterns have a significant impact on the shape of a particular pattern that will be thrown onto the surface of the mask for the first time. Previously, when using the mask of 193nm lithography for exposure, short-range scattering is only affected by the written pattern, and the long-range scattering has a large enough range that the size of a pattern rather than its detailed shape is affected. Only use dose modulation for correction. In addition, since EUV processing of wafers is expensive, it is desirable to reduce or eliminate multiple patterning. Multiple patterning is used in conventional optical lithography to allow for exposure of small feature configurations in which a pattern of a layer for wafer processing is exposed using multiple masks each containing a portion of the pattern. Reducing or eliminating multiple exposure systems requires a single mask to contain a finer pattern. For example, a series of collinear line segments can be double patterned by first drawing a long line and then using a second mask in the lithography process to cut the line into line segments. For example, for EUV lithography, the same layer written with a single mask would require a mask containing many smaller line segments. Due to the need to write a larger number of finer patterns on a single mask, the patterns need to be more precise, so the need for EUV mask precision is increased.

具有數種用來形成圖案於一標線片上之技術,包括使用光學微影術或荷電粒子束微影術。最常用的系統是可變定形束(VSB),其中如同上述以具有諸如曼哈頓矩形(manhattan rectangle)及45度直角三角形等簡單形狀之電子的劑量將一阻劑塗覆式標線片表面予以曝光。習見的罩幕寫入中,電子劑量或射擊係習慣設計成盡可能避免重疊,藉以大幅簡化標線片上的阻劑將如何對齊圖案之計算。類似地,射擊組係設計成完全地覆蓋將被形成於標線片上之圖案區域。There are several techniques for forming a pattern on a reticle, including the use of optical lithography or charged particle beam lithography. The most commonly used system is a variable shaped beam (VSB) in which the surface of a resist coated reticle is exposed as described above with a dose of electrons having a simple shape such as a Manhattan rectangle and a 45 degree right triangle. . In the conventional mask writing, the electronic dose or shooting system is customarily designed to avoid overlap as much as possible, thereby greatly simplifying the calculation of how the resist on the reticle will align the pattern. Similarly, the firing set is designed to completely cover the area of the pattern to be formed on the reticle.

對於最先進技術節點的標線片寫入一般係涉及荷電粒子束寫入之多重通行,亦即一種稱為多通曝光的製程,其中因此使得標線片上的給定形狀被寫入及覆寫。一般而言,利用二到四通行來寫入一標線片以將荷電粒子束寫入器中的精密度誤差予以平均,而容許生成較精確的光罩。並且一般而言,該清單的射擊-包括劑量-對於每通行皆相同。多通曝光的一變異中,該清單的射擊可能在曝光通行之間改變,但任一曝光中之射擊的聯合體則覆蓋相同的區域。多通寫入可降低塗覆於表面的阻劑之過熱。多通寫入亦將荷電粒子束寫入器的隨機誤差予以平均。對於不同曝光通行採用不同射擊清單之多通寫入係亦會降低寫入製程中特定系統性誤差的效應。The reticle writing for the most advanced technology nodes generally involves multiple passes of charged particle beam writing, a process known as multi-pass exposure, in which a given shape on the reticle is written and overwritten. . In general, two to four pass lines are used to write a reticle to average the precision errors in the charged particle beam writer, allowing for a more accurate reticle. And in general, the shots of this list - including dose - are the same for each pass. In a variation of multi-pass exposure, the shots of the list may change between exposures, but the combination of shots in any exposure covers the same area. Multi-pass writing reduces the overheating of the resist applied to the surface. Multi-pass writing also averages the random errors of the charged particle beam writer. Multi-pass writes that use different shot lists for different exposures also reduce the effects of specific systemic errors in the write process.

現今的光學微影術寫入機具一般係在光學微影製程期間以四倍因數降低光罩圖案。因此,形成於一標線片或罩幕上之圖案係必須比基材或晶圓上所期望圖案的尺寸更大四倍。Today's optical lithography writing implements typically reduce the reticle pattern by a factor of four during the optical lithography process. Therefore, the pattern formed on a reticle or mask must be four times larger than the desired pattern on the substrate or wafer.

採用習見技術之現今技術荷電粒子束寫入器可解析小到100nm的特徵構造。然而,對於小於100nm的特徵構造,習見寫入技術可能無法精確地解析特徵構造。此外,製造變異可能產生不可接受的LER及臨界維度(CD)變異。對於其中OPC可能產生具有小於100nm罩幕維度的SRAF之習見光學微影術、並對於其中主罩幕圖案可能小於100nm且罩幕規格可能比習見光學微影術所使用罩幕更為嚴謹之EUV微影術,這可能皆是一項問題。Today's technology charged particle beam writers using the technology of the prior art can resolve features as small as 100 nm. However, for feature configurations of less than 100 nm, conventional write techniques may not be able to accurately resolve feature configurations. In addition, manufacturing variations may result in unacceptable LER and critical dimension (CD) variations. For optical lithography where OPC may produce SRAF with a mask dimension of less than 100 nm, and for EUV where the main mask pattern may be less than 100 nm and the mask size may be more rigorous than that used in optical lithography This may be a problem with lithography.

揭示概要Reveal summary

揭露一用於斷裂或遮罩資料製備以供荷電粒子束微影術用的方法及系統,其中利用部份重疊射擊以增強藉由一組荷電粒子束射擊而形成於一表面上之一圖案的精度及/或劑量邊際值。部分實施例中,射擊的劑量可在鄰近效應矯正之前相對於彼此改變。可使用粒子束模擬以計算圖案及劑量邊際值。經增強的劑量邊際值係可改良該表面上所產生的圖案之臨界維度(CD)變異及線邊緣粗度(LER)。A method and system for rupture or mask data preparation for charged particle beam lithography is disclosed, wherein a partial overlap shot is utilized to enhance a pattern formed on a surface by firing a set of charged particle beams Accuracy and / or dose margin value. In some embodiments, the doses of the shots may change relative to each other prior to the correction of the proximity effect. Particle beam simulation can be used to calculate the pattern and dose margin values. The enhanced dose margin value improves the critical dimension (CD) variation and line edge thickness (LER) of the pattern produced on the surface.

圖式簡單說明Simple illustration

第1圖顯示一字元投射荷電粒子束系統的一範例;第2A圖顯示一橫剖面劑量圖形的一範例,其描繪對於兩阻劑臨閾值各者之經對齊圖案寬度;第2B圖顯示一橫剖面劑量圖形的一範例,其類似於第2A圖、但具有比第2A圖更高的一劑量邊緣斜率;第3A圖顯示將被形成於一標線片上之一所期望的100nm線端圖案之一範例;第3B圖顯示利用習見技術使第3A圖的圖案斷裂而產生之射擊所形成的一經模擬圖案之一範例;第4A圖顯示將被形成於一標線片上之一所期望的80nm線端圖案之一範例;第4B圖顯示利用習見技術使第4A圖的圖案斷裂而產生之射擊所形成的一經模擬圖案之一範例;第5A圖顯示將被形成於一標線片上之一所期望的60nm線端圖案之一範例;第5B圖顯示利用習見技術使第5A圖的圖案斷裂而產生之射擊所形成的一經模擬圖案之一範例;第6圖顯示可用來形成一80nm線端圖案之群組的射擊之不同範例;第7圖顯示由第6圖的各不同射擊群組所形成之經模擬圖案;第8A圖顯示將被形成於一表面上之一群組的矩形圖案之一範例;第8B圖顯示在中程散射出現下,可如何利用習見非重疊VSB射擊被形成於一表面上之第8A圖的圖案之一範例;第9A圖顯示可用來形成第8A圖的圖案於一表面上之一組重疊的VSB射擊之一範例;第9B圖顯示可從第9A圖的射擊被形成於一表面上之一圖案的一範例;第10圖顯示如何製備一表面、諸如一標線片之概念流程圖,以供利用光學微影術用來製作一諸如積體電路等基材於一矽晶圓上;第11A圖顯示一在相同設計中合併以模型為基礎及習見斷裂之方法的概念流程圖;第11B圖顯示另一在相同設計中合併以模型為基礎及習見斷裂之方法的概念流程圖。Figure 1 shows an example of a character projected charged particle beam system; Figure 2A shows an example of a cross-sectional dose pattern depicting the aligned pattern width for each of the two resist thresholds; Figure 2B shows a An example of a cross-sectional dose pattern that is similar to Figure 2A but with a higher dose edge slope than Figure 2A; Figure 3A shows a desired 100 nm line end pattern to be formed on one of the reticle An example; FIG. 3B shows an example of a simulated pattern formed by a shot generated by breaking a pattern of FIG. 3A using a technique; FIG. 4A shows a desired 80 nm to be formed on one of the reticle sheets. An example of a line end pattern; FIG. 4B shows an example of a simulated pattern formed by a shot generated by breaking a pattern of FIG. 4A using a conventional technique; and FIG. 5A shows one of the lines to be formed on a reticle An example of a desired 60 nm line end pattern; FIG. 5B shows an example of a simulated pattern formed by shots generated by breaking the pattern of FIG. 5A using a conventional technique; and FIG. 6 shows an example of forming an 80 nm line end pattern. Different examples of shooting of the group; Figure 7 shows the simulated pattern formed by the different shooting groups of Figure 6; Figure 8A shows one of the rectangular patterns to be formed in a group on a surface Example; Figure 8B shows an example of how the pattern of Figure 8A, which is formed on a surface by a non-overlapping VSB shot, can be used in the presence of medium-range scattering; Figure 9A shows the pattern that can be used to form Figure 8A. An example of a set of overlapping VSB shots on a surface; Figure 9B shows an example of a pattern that can be formed on a surface from a shot of Figure 9A; Figure 10 shows how to prepare a surface, such as a standard A conceptual flow diagram of a wire for use in optical lithography to fabricate a substrate such as an integrated circuit on a wafer; Figure 11A shows a model based on the same design and a fracture Conceptual flow chart of the method; Figure 11B shows a conceptual flow diagram of another method of combining model-based and occlusion fractures in the same design.

實施例詳細描述Detailed description of the embodiment

本揭示係描述一利用重疊射擊以增強荷電粒子束曝光的精度之方法。本發明係增強荷電粒子束系統於一標線片上精確地產生小於100nm圖案-其具有可接受之鑒於製造變益所致的CD變異及LER-之能力。此外,本發明係擴大可在其下產生這些精確圖案之製造變異的製程窗。The present disclosure describes a method of utilizing overlapping shots to enhance the accuracy of charged particle beam exposure. The present invention is an enhanced charged particle beam system that accurately produces a pattern of less than 100 nm on a reticle that has acceptable CD variation and LER- in view of manufacturing variations. Moreover, the present invention expands the process window under which manufacturing variations of these precise patterns can be produced.

現請參照圖式,其中類似的代號係指類似的項目。第1圖顯示一習見微影術系統100、諸如一荷電粒子束寫入器系統之一實施例,在此例中係為一電子束寫入器系統,其採用字元投射以製造一表面130。電子束寫入器系統100具有一電子束源112,其投射一電子束114朝向一開孔板116。板116中係形成有一容許電子束114通過之開孔118。一旦電子束114通過開孔118,其被一系統的透鏡(未圖示)所導引或偏向成為電子束120朝向另一矩形開孔板或模板罩幕122。模板122中已形成一數量的開口或開孔124,其係界定可能身為複雜字元之各不同型字元126。形成於模板122中之各字元126可用來形成一圖案148於一基材132-諸如矽晶圓、標線片或其他基材-之一表面130上。在部份曝光、部份投射、部份字元投射、或可變字元投射中,電子束120可被定位成藉以只打擊或照射字元126的一者之一部分,藉此形成身為一次組的字元126之一圖案148。對於比開孔118所界定的電子束120尺寸更小之各字元126,一不含開孔之遮黑區域136係設計成與字元126相鄰,藉以防止電子束120照射一不良字元於模板122上。一電子束134係從字元126的一者出現並通過一用於縮減來自字元126的圖案尺寸之電磁或靜電縮減透鏡138。常見可得的荷電粒子束寫入器系統中,縮減因數介於10與60之間。經縮減的電子束140係從縮減透鏡138出現,並藉由一系列的偏向器142被導引至表面130上成為圖案148,其係被描繪成與字元126A對應的字母“H”形狀。因為縮減透鏡138,圖案148相較於字元126A縮減尺寸。圖案148利用電子束系統100的一射擊所描畫。相較於使用一可變定形束(VSB)投射系統或方法而言,這降低了完成圖案148的整體寫入時間。雖然顯示一開孔118形成於板116中,板116中可以具有不只一開孔。雖然此範例中顯示兩個板116及122,可能只具有一板或不只兩板,而各板包含一或多個開孔。Please refer to the drawings now, in which similar codes refer to similar items. 1 shows an embodiment of a conventional lithography system 100, such as a charged particle beam writer system, in this example an electron beam writer system that employs word projection to create a surface 130. . The electron beam writer system 100 has an electron beam source 112 that projects an electron beam 114 toward an aperture plate 116. An opening 118 through which the electron beam 114 is allowed to pass is formed in the plate 116. Once the electron beam 114 passes through the aperture 118, it is directed or biased by a system lens (not shown) into the electron beam 120 toward the other rectangular aperture plate or stencil mask 122. A number of openings or openings 124 have been formed in the template 122 that define different types of characters 126 that may be complex characters. Each of the characters 126 formed in the template 122 can be used to form a pattern 148 on a surface 130 of a substrate 132, such as a wafer, reticle or other substrate. In partial exposure, partial projection, partial character projection, or variable character projection, the electron beam 120 can be positioned to only strike or illuminate a portion of one of the characters 126, thereby forming a body once One of the set of characters 126 is patterned 148. For each character 126 that is smaller than the size of the electron beam 120 defined by the opening 118, a blackout region 136 that is free of apertures is designed to be adjacent to the character 126 to prevent the electron beam 120 from illuminating a bad character. On the template 122. An electron beam 134 appears from one of the characters 126 and passes through an electromagnetic or electrostatic reduction lens 138 for reducing the size of the pattern from the character 126. In commonly available charged particle beam writer systems, the reduction factor is between 10 and 60. The reduced electron beam 140 emerges from the reduced lens 138 and is directed onto the surface 130 by a series of deflectors 142 to form a pattern 148 that is depicted as a letter "H" shape corresponding to the character 126A. Because the lens 138 is reduced, the pattern 148 is reduced in size compared to the character 126A. Pattern 148 is drawn using a shot of electron beam system 100. This reduces the overall write time of the completed pattern 148 compared to using a variable shaped beam (VSB) projection system or method. Although an opening 118 is shown formed in the plate 116, there may be more than one opening in the plate 116. Although two plates 116 and 122 are shown in this example, there may be only one or more than two plates, and each plate includes one or more openings.

習見荷電粒子束寫入器系統中,縮減透鏡138被校準以提供一固定縮減因數。縮減透鏡138及/或偏向器142亦聚焦波束於表面130的平面上。表面130的尺寸可顯著地大於偏向板142的最大值束偏向能力。因此,圖案正常係在一系列的條紋中被寫入於表面上。各條紋含有複數個次場域,其中一次場域係位於偏向板142的束偏向能力內。電子束寫入器系統100係含有一定位機構150,以容許對於條紋及次場域各者來定位基材132。習見荷電粒子束寫入器系統的一變異中,當一次場域被曝光之時基材132係保持靜態,其後,定位機構150將基材132移至下個次場域位置。習見荷電粒子束寫入器系統的另一變異中,基材132在寫入製程期間連續地移動。在涉及連續運動之此變異中,除了偏向板142外,可具有另一組的偏向板(未圖示)以與基材132移動的相同速度及方向來移動波束。In the charged particle beam writer system, the reduced lens 138 is calibrated to provide a fixed reduction factor. The reduced lens 138 and/or deflector 142 also focuses the beam on the plane of the surface 130. The size of the surface 130 can be significantly greater than the maximum beam deflection capability of the deflecting plate 142. Therefore, the pattern is normally written on the surface in a series of stripes. Each stripe has a plurality of subfields, one of which is located within the beam deflection capability of the deflector 142. The electron beam writer system 100 includes a positioning mechanism 150 to permit positioning of the substrate 132 for each of the fringes and the subfield. In a variation of the charged particle beam writer system, the substrate 132 remains static when the field is exposed, after which the positioning mechanism 150 moves the substrate 132 to the next subfield position. In another variation of the charged particle beam writer system, substrate 132 is continuously moved during the writing process. In this variation involving continuous motion, in addition to the deflecting plate 142, another set of deflecting plates (not shown) may be used to move the beam at the same speed and direction as the substrate 132 moves.

可以合理精度被投射至一表面130上之最小值尺寸圖案係受限於與電子束寫入器系統100且與表面130-其正常係包含基材132上的一阻劑塗覆物-相關聯之多種不同短程物理效應。這些效應係包括前向散射、庫侖效應(Coulomb effect)、及阻劑擴散。束模糊係為一種用來包括所有這些短程效應的用語。最現代的電子束寫入器系統可達成20nm至30nm範圍中之有效束模糊半徑或βf。前向散射可構成總束模糊的四分之一到二分之一。現代電子束寫入器系統係含有許多種用來使束模糊的各成份單元降至最小值之機構。部分電子束寫入器系統可能容許束模糊在寫入製程期間改變,從可在一電子束寫入系統上取得的最小值數值至一或多個較大數值。The minimum size pattern that can be projected onto a surface 130 with reasonable accuracy is limited to being associated with the electron beam writer system 100 and with a surface 130 that is normally a resist coating on the substrate 132. A variety of different short-range physical effects. These effects include forward scatter, Coulomb effect, and resist diffusion. Beam blur is a term used to include all of these short-range effects. The most modern electron beam writer system achieves an effective beam blur radius or β f in the range of 20 nm to 30 nm. Forward scatter can constitute one-quarter to one-half of the total beam blur. Modern electron beam writer systems contain a variety of mechanisms for minimizing the component of the beam blur. Partial electron beam writer systems may allow beam blur to change during the writing process, from a minimum value that can be taken on an electron beam writing system to one or more larger values.

一諸如電子束寫入器系統等荷電粒子束寫入器的射擊劑量係為束源112的強烈度及各射擊的曝光時間之一函數。一般而言,束強烈度保持固定,且曝光時間係改變以獲得可變射擊劑量。在一稱為鄰近效應矯正(PEC)的製程中,曝光時間可改變以補償諸如背散射及霧化等各種不同長程效應。電子束寫入器系統通常係容許一用以影響一曝光通行中的全部射擊之稱為基底劑量的整體劑量。部分電子束寫入器系統係在電子束寫入器系統內進行劑量補償計算,且不容許各射擊的劑量被個別地指派成為輸入射擊清單的部份,因此輸入射擊具有未被指派的射擊劑量。此等電子束寫入器系統中,在鄰近效應矯正之前,所有射擊具有基底劑量。其他電子束寫入器系統係確實容許具有逐一射擊式基礎(shot-by-shot basis)之劑量指派。容許逐一射擊式劑量指派之電子束寫入器系統中,可取得劑量位準的數量可能是64至4096或更大,或者可具有相對較少個可取得的劑量位準,諸如3至8個位準。本發明的部分實施例係針對配合使用容許指派劑量位準之荷電粒子束寫入系統。The firing dose of a charged particle beam writer, such as an electron beam writer system, is a function of the intensity of the beam source 112 and the exposure time of each shot. In general, the beam intensity remains fixed and the exposure time is varied to obtain a variable firing dose. In a process called Proximity Effect Correction (PEC), the exposure time can be varied to compensate for various long-range effects such as backscattering and fogging. An electron beam writer system typically allows an overall dose called a substrate dose to affect all shots in an exposure pass. Partial electron beam writer systems perform dose compensation calculations within the electron beam writer system and do not allow individual shot doses to be individually assigned as part of the input shot list, so the input shot has an unassigned shot dose . In such electron beam writer systems, all shots have a substrate dose prior to proximity effect correction. Other electron beam writer systems do allow dose assignments with a shot-by-shot basis. In an electron beam writer system that allows for one shot dose assignment, the number of available dose levels may be 64 to 4096 or greater, or may have relatively few available dose levels, such as 3 to 8 Level. Some embodiments of the present invention are directed to the use of a charged particle beam writing system that allows for the assignment of a dose level.

電子束寫入器內的機構係具有用於計算之一相對較粗糙解析度。因此,現今的電子束寫入器無法精確地運算諸如2μm範圍中之可能對於EUV罩幕所需要的中程矯正。The mechanism within the electron beam writer has a relatively coarse resolution for calculating. Therefore, today's electron beam writers are unable to accurately calculate the mid-range corrections that may be required for EUV masks, such as in the 2 μm range.

習見情形中,射擊係設計成以矩形射擊完全地覆蓋一輸入圖案,同時盡可能地避免射擊重疊。並且,所有射擊皆設計成具有一正常劑量,正常劑量係為可供一相對較大的矩形射擊在未出現長程效應下將於與射擊尺寸具有相同尺寸的表面上產生一圖案之劑量。In the case of the case, the shooting system is designed to completely cover an input pattern with a rectangular shot while avoiding overlapping shots as much as possible. Also, all shots are designed to have a normal dose, which is a dose that allows a relatively large rectangular shot to produce a pattern on a surface that has the same size as the shot size without the long-range effect.

譬如,利用荷電粒子束微影術以曝光一表面上的一重覆式圖案時,如同在最終製成表面上所測量之各圖案案例的尺寸係由於製造變異而將略微不同。尺寸變異量係為一重要的製造最適化判別標準。現今的罩幕遮罩中,可能欲在圖案尺寸中具有不大於1nm(1σ)的均方根(RMS)變異。較大的尺寸變異係意味著電路效能的較大變異,導致需要較高的設計邊際值,故益加難以設計更快速、更低功率的積體電路。此變異稱為臨界維度(CD)變異。一低CD變異係為理想狀況,並表示製造變異將在最終製造表面上產生相對較小尺寸的變異。在較小尺度中,一高CD變異的效應可以線邊緣粗度(LER)予以觀察。LER係由製成略微不同之一線邊緣的各部份所造成,導致預定具有一直線狀邊緣之一線中的部分波狀。CD變異係與稱為邊緣斜率之位於阻劑臨閾值的劑量曲線斜率呈反向相關,且具有其他作用。因此,邊緣斜率、或劑量邊際值係為對於表面的粒子束寫入之一臨界最適化因數。此揭示中,邊緣斜率及劑量邊際值係為可互換的用語。 For example, when charged particle beam lithography is used to expose a repetitive pattern on a surface, the dimensions of each pattern as measured on the final finished surface will be slightly different due to manufacturing variations. The amount of dimensional variation is an important criterion for manufacturing optimization. In today's mask masks, it may be desirable to have a root mean square (RMS) variation of no more than 1 nm (1 sigma) in the pattern size. Larger size variations mean larger variations in circuit performance, resulting in higher design margins, making it difficult to design faster, lower power integrated circuits. This variation is called the critical dimension (CD) variation. A low CD variant is ideal and indicates that manufacturing variations will result in relatively small size variations on the final fabricated surface. On a smaller scale, the effect of a high CD variation can be observed by line edge thickness (LER). The LER is caused by portions that are made slightly different from one of the line edges, resulting in a portion of the wavy in a line that is intended to have a linear edge. The CD variant is inversely related to the slope of the dose curve at the threshold of the resist, known as the edge slope, and has other effects. Thus, the edge slope, or dose margin value, is one of the critical optimization factors for the particle beam write to the surface. In this disclosure, the edge slope and dose margin values are interchangeable terms.

藉由習見斷裂,而無射擊重疊、間隙或劑量調變,經寫入形狀的劑量邊際值係被認為不可變易:亦即,不可能藉由選擇斷裂選項來改良劑量邊際值。在現代實行方式中,避免稱為切片的極窄射擊之技術係為一種有助於使射擊清單對於劑量邊際值達成最適化之以實際規則為基礎的方法之範例。 By ignoring breaks without shot overlap, gap or dose modulation, the dose margin value of the written shape is considered to be immutable: that is, it is not possible to modify the dose margin by selecting the break option. In modern practice, the technique of avoiding extremely narrow shots called slicing is an example of a practical rule-based approach that helps to optimize the shot list for dose marginal values.

在一其中可產生重疊射擊及劑量調變式射擊之斷裂環境中,有需要且有機會對於劑量邊際值達成最適化。藉由利用射擊重疊及劑量調變所容許具有之射擊組合的額外彈性,係容許產生許多斷裂解決方案,其於表面上產生目標罩幕形狀,但唯有在完美製造條件下才如此。因此利用重疊射擊及劑量調變式射擊,係生成了解決劑量邊際值議題及其改良之誘因。 In a fracture environment in which overlapping shots and dose-modulated shots can be produced, there is a need and opportunity to optimize the dose margin value. By utilizing the extra elasticity of the combination of shots allowed by shot overlap and dose modulation, it is possible to create a number of fracture solutions that produce a target mask shape on the surface, but only under perfect manufacturing conditions. Therefore, the use of overlapping shots and dose-modulated shots has generated the issue of addressing the issue of dose margins and its improvement.

第2A至B圖係顯示可如何藉由將阻劑上的圖案曝光來降低臨界維度,藉以在曝光或劑量曲線中產生一相對較高的邊緣斜率。第2A圖顯示一橫剖面劑量曲線202,其中x軸顯示經過一經曝光圖案之橫剖面距離-諸如正交於圖案邊緣的兩者之距離-且y軸顯示阻劑所接收的劑量。一圖案係被阻劑所對齊,其中經接收劑量係高於一臨閾值。第2A圖顯示兩臨閾值,其描繪阻劑敏感度的一變異之效應。較高的臨閾值204係造成寬度214的一圖案將被阻劑所對齊。較 低的臨閾值206造成寬度216的一圖案將被阻劑所對齊,其中寬度216大於寬度214。第2B圖顯示另一橫剖面劑量曲線222。顯示兩臨閾值,其中臨閾值224係與第2A圖的臨閾值204相同,且臨閾值226與第2A圖的臨閾值206相同。劑量曲線222的斜率在兩臨閾值附近係比劑量曲線202的斜率更高。對於劑量曲線222,較高的臨閾值224係造成寬度234的一圖案將被阻劑所對齊。較低的臨閾值226造成寬度236的一圖案將被阻劑所對齊。如圖可看出,由於劑量曲線222比起劑量曲線202之較高的邊緣斜率,寬度236與寬度234之間的差異係小於寬度216與寬度214之間的差異。若阻劑塗覆式表面是一標線片,則曲線222對於阻劑臨閾值的變異之較低敏感度係會造成從該標線片所製成的一光罩上之圖案寬度更靠近於目標圖案寬度,藉此當光罩用來轉移一圖案至一諸如矽晶圓等基材時令可使用的積體電路之良率提高。對於具有較高邊緣斜率的劑量曲線係觀察到與對於各射擊的劑量變異相類似之公差的改良。因此欲在諸如劑量曲線222中達成一相對較高的邊緣斜率。 Figures 2A through B show how the critical dimension can be reduced by exposing the pattern on the resist, thereby producing a relatively high edge slope in the exposure or dose curve. Figure 2A shows a cross-sectional dose curve 202 in which the x-axis shows the cross-sectional distance through an exposed pattern - such as the distance orthogonal to the edges of the pattern - and the y-axis shows the dose received by the resist. A pattern is aligned by the resist, wherein the received dose is above a threshold. Figure 2A shows two thresholds depicting the effect of a variation in resistivity sensitivity. A higher threshold value 204 causes a pattern of width 214 to be aligned by the resist. More A low threshold value 206 causes a pattern of widths 216 to be aligned by the resist, wherein the width 216 is greater than the width 214. Figure 2B shows another cross-sectional dose curve 222. Two thresholds are displayed, with the threshold 224 being the same as the threshold 204 of the 2A diagram, and the threshold 226 being the same as the threshold 206 of the 2A diagram. The slope of the dose curve 222 is higher than the slope of the dose curve 202 near the two thresholds. For dose curve 222, a higher threshold 224 causes a pattern of width 234 to be aligned by the resist. The lower threshold 226 causes a pattern of width 236 to be aligned by the resist. As can be seen, since the dose curve 222 is higher than the edge slope of the dose curve 202, the difference between the width 236 and the width 234 is less than the difference between the width 216 and the width 214. If the resist coated surface is a reticle, the lower sensitivity of the curve 222 to the variation of the resist threshold will result in a pattern width closer to the reticle from the reticle. The target pattern width, whereby the yield of the usable integrated circuit is improved when the mask is used to transfer a pattern to a substrate such as a germanium wafer. For dose curves with higher edge slopes, improvements in tolerances similar to the dose variations for each shot were observed. A relatively high edge slope is therefore desired to be achieved, such as in dose curve 222.

第3A圖顯示一經設計圖案302的一範例。圖案302設計成具有一恆定寬度306,該寬度是100nm。圖案302包含一線端304。第3B圖顯示一經模擬圖案312的一範例,其可利用一習見VSB射擊被形成於一表面上,其中VSB射擊是一100nm寬的矩形,且具有一正常劑量。如第3B圖可看出,由於荷電粒子束寫入器的物理限制造成之束模糊,圖案312的線端部分314具有圓滑角落。此外,經曝光圖案係在圖案周邊的段316及318中具有一不良邊緣斜率。可譬如利用粒子束模擬以決定此邊緣斜率。圖案312的部分316及318可由於製造變異而造成尺寸的不良重大變異。然而,線端314在其中心段中係為理想長度,亦即與設計線端304具有相同的y座標。Figure 3A shows an example of a design pattern 302. Pattern 302 is designed to have a constant width 306 that is 100 nm. Pattern 302 includes a line end 304. Figure 3B shows an example of a simulated pattern 312 that can be formed on a surface using a conventional VSB shot, wherein the VSB shot is a 100 nm wide rectangle with a normal dose. As can be seen in Figure 3B, the line end portion 314 of the pattern 312 has rounded corners due to beam blurring caused by the physical limitations of the charged particle beam writer. In addition, the exposed pattern has a poor edge slope in segments 316 and 318 around the pattern. This can be done, for example, by particle beam simulation to determine this edge slope. Portions 316 and 318 of pattern 312 can cause significant variations in size due to manufacturing variations. However, the wire end 314 is of a desired length in its central section, i.e., has the same y coordinate as the design wire end 304.

第4A圖顯示一經設計圖案402的一範例。圖案402設計成具有80nm的一恆定寬度406。圖案402包含一線端404,其中線端404的y座標以參考線408顯示。第4B圖顯示一經模擬圖案412的一範例,其可利用一習見VSB射擊被形成於一表面上,其中VSB射擊是80nm寬,且具有一正常劑量。如同圖案312,圖案412的線端部分414由於束模擬而具有圓滑角落。並且,圖案412的周邊之部分416及418具有不良的邊緣斜率。如圖可看出,具有不良邊緣斜率之圖案412周邊的部分416及418係大於具有不良邊緣斜率之圖案312的部分316及318。這是由於圖案402比起圖案302的100nm寬度而言具有80nm的較窄寬度所導致。此外,所形成的線端414之y座標係大於參考線408的y座標,表示圖案412具有線端縮短,其會影響利用一含有圖案412之罩幕所製作的一積體電路之效能及/或機能。Figure 4A shows an example of a design pattern 402. Pattern 402 is designed to have a constant width 406 of 80 nm. Pattern 402 includes a line end 404 with the y coordinate of line end 404 being shown with reference line 408. Figure 4B shows an example of a simulated pattern 412 that can be formed on a surface using a conventional VSB shot, wherein the VSB shot is 80 nm wide and has a normal dose. Like the pattern 312, the line end portion 414 of the pattern 412 has rounded corners due to beam simulation. Also, portions 416 and 418 of the periphery of pattern 412 have poor edge slope. As can be seen, portions 416 and 418 around the pattern 412 having a poor edge slope are larger than portions 316 and 318 having a pattern 312 of poor edge slope. This is because the pattern 402 has a narrow width of 80 nm compared to the 100 nm width of the pattern 302. In addition, the y coordinate of the formed line end 414 is greater than the y coordinate of the reference line 408, indicating that the pattern 412 has a line end shortening that affects the performance of an integrated circuit fabricated using a mask containing the pattern 412 and/or Or function.

第5A圖顯示一經設計圖案502的一範例。圖案502設計成具有60nm的一恆定寬度508。圖案502包含一線端504,其中線端504的y座標以參考線506顯示。第5B圖顯示一圖案512的一範例,其可利用一習見VSB射擊被形成於一表面上,其中VSB射擊是60nm寬,且具有一正常劑量。如圖可看出,圖案512的線端部分514很圓滑。亦具有線端縮短-圖案512的最小值y座標係大於參考線506的y座標。此外,圖案512的周邊區518具有一不良的邊緣斜率,而影響整體線端514。Figure 5A shows an example of a design pattern 502. Pattern 502 is designed to have a constant width 508 of 60 nm. Pattern 502 includes a line end 504 with the y coordinate of line end 504 being shown with reference line 506. Figure 5B shows an example of a pattern 512 that can be formed on a surface using a conventional VSB shot wherein the VSB shot is 60 nm wide and has a normal dose. As can be seen, the line end portion 514 of the pattern 512 is very rounded. There is also a line end shortening - the minimum value y coordinate of the pattern 512 is greater than the y coordinate of the reference line 506. Moreover, the peripheral region 518 of the pattern 512 has a poor edge slope that affects the overall line end 514.

第3B、4B及5B圖的圖案係顯示:當以習見VSB射擊形成時,具有80nm及以下寬度之圖案形成可如何具有線端縮短,且亦可具有包含不良邊緣斜率之圓滑角落。The patterns of Figures 3B, 4B, and 5B show how the pattern formation having a width of 80 nm and below can have a line end shortening when formed by conventional VSB shots, and can also have rounded corners including a bad edge slope.

第6圖顯示各不同之用於斷裂一圖案以增強一諸如標線片等表面上所形成的圖案品質之方法。形狀602顯示一經設計的線端圖案,圖案602具有80nm的寬度。圖案602包含一線端606。虛線608代表線端606的y座標。第6圖圖案612顯示一用以斷裂圖案602以相較於第4B圖圖案412改良一表面上所形成圖案的品質之先前技藝方法。圖案612顯示單一VSB射擊,其中射擊尺寸已在負y維度被擴大,故射擊的最小值y座標比參考y座標608更小7nm。射擊612的劑量是一正常劑量。第7圖圖案712顯示射擊612的一經射擊形狀。圖案712的線端係具有圓滑角落,且亦具有其中圖案包含過低邊緣斜率之周邊區714及716。Figure 6 shows a different method for breaking a pattern to enhance the quality of a pattern formed on a surface such as a reticle. Shape 602 shows a designed line end pattern with pattern 602 having a width of 80 nm. Pattern 602 includes a line end 606. Dashed line 608 represents the y coordinate of line end 606. The pattern 612 of FIG. 6 shows a prior art method for breaking the pattern 602 to improve the quality of the pattern formed on a surface as compared to the pattern 412 of FIG. Pattern 612 shows a single VSB shot in which the shot size has been enlarged in the negative y dimension, so the minimum y coordinate of the shot is 7 nm smaller than the reference y coordinate 608. The dose of shot 612 is a normal dose. Pattern 712 of Figure 7 shows a shot shape of shot 612. The line ends of pattern 712 have rounded corners and also have perimeter regions 714 and 716 in which the pattern includes a too low edge slope.

第6圖亦顯示三群組的VSB射擊:群組622、群組632及群組642,其可形成圖案602。射擊群組632及射擊群組642示範本發明的一實施例,而射擊群組622代表一先前技藝方法。射擊群組622係由射擊624及射擊626所組成,其彼此並未重疊。射擊624係在長程PEC之前以一正常劑量的1.2倍作射擊,而射擊626以一正常劑量作射擊。射擊624的寬度628係小於604,並被計算以由大於正常的劑量產生寬度604的一圖案於表面上。可如圖看出,射擊626係在負及正x方向延伸超過射擊624的維度且亦超過圖案602的維度。第7圖圖案722顯示射擊群組622所產生之經模擬圖案。圖案722的線端角落724具有比圖案712更高的一邊緣斜率,其中該角落並無部份具有一過小邊緣斜率。此外,雖未圖示,高於正常劑量的射擊624係相較於圖案712改良了圖案722左及右側上之邊緣斜率。一用於決定射擊群組622的射擊之方法係經由以模型為基礎的斷裂,其係為利用諸如荷電粒子束模擬等模擬來決定可形成一所期望圖案於一阻劑塗覆式表面上之一組射擊,其藉由將從給定一組的一或多個射擊被形成於表面上之圖案的模擬作決定,其中部分或全部射擊可具有非正常劑量。或者,可經由以規則為基礎的方法來決定射擊群組622的射擊。以模型為基礎的斷裂-雖然比起以規則為基礎的斷裂相對更具運算密集性-係可決定一比起利用以規則為基礎的方法所決定之一射擊清單而言將產生一更精確圖案於表面上之射擊清單。Figure 6 also shows three groups of VSB shots: group 622, group 632, and group 642, which may form a pattern 602. Shooting group 632 and shooting group 642 demonstrate an embodiment of the present invention, while shooting group 622 represents a prior art method. Shooting group 622 is comprised of shot 624 and shot 626 that do not overlap each other. Shot 624 was fired at 1.2 times the normal dose before the long-range PEC, while shot 626 was fired at a normal dose. The width 628 of the shot 624 is less than 604 and is calculated to produce a pattern of width 604 greater than the normal dose on the surface. As can be seen, the shot 626 extends in the negative and positive x-directions beyond the dimension of the shot 624 and also exceeds the dimensions of the pattern 602. The pattern 722 of Figure 7 shows the simulated pattern produced by the shooting group 622. The line end corner 724 of the pattern 722 has a higher edge slope than the pattern 712, wherein no portion of the corner has a too small edge slope. Moreover, although not shown, the shot 624 above the normal dose improves the edge slope on the left and right sides of the pattern 722 compared to the pattern 712. A method for determining the firing of the firing group 622 is via a model-based fracture that is determined by simulations such as charged particle beam simulation to form a desired pattern on a resist coated surface. A set of shots is determined by a simulation of a pattern formed on a surface from a given set of shots, wherein some or all of the shots may have an abnormal dose. Alternatively, the shooting of the shooting group 622 can be determined via a rule-based approach. Model-based fractures—although relatively more computationally intensive than rule-based fractures—can be determined to produce a more precise pattern than one of the shot lists determined by the rule-based approach. A list of shots on the surface.

第6圖射擊群組632顯示根據本發明的一實施例之一用於斷裂圖案602的示範性方法。射擊群組632係由射擊634,射擊636及射擊638所組成。射擊636及638利用影線顯示以改進清晰度。射擊634係以一高於正常劑量作射擊,譬如1.2倍正常劑量,且射擊634的寬度係經過計算藉以在一表面上產生具有寬度604的一圖案。射擊636及射擊638皆重疊於射擊634,且皆延伸於參考y座標608下方。譬如射擊634與636之間的重疊係為一部份重疊,代表射擊634與射擊636之間的交會區域係不同於兩射擊中的任一者。射擊636及射擊638在此範例中以正常劑量作射擊。第7圖圖案732顯示來自群組632之一經模擬圖案。相較於圖案722,圖案732展現較小的角落圓化,但亦在角落上具有較差的邊緣形狀,其邊緣斜率小於周邊區734及736中的最小值可接受數值。射擊群組632係顯示:利用重疊射擊及非正常劑量可如何容許以比使用正常劑量的習見非重疊射擊更高之保真度來形成圖案。Figure 6 Shooting Group 632 shows an exemplary method for breaking pattern 602 in accordance with one embodiment of the present invention. Shooting group 632 is comprised of shot 634, shot 636, and shot 638. Shots 636 and 638 are shaded for improved clarity. Shot 634 is fired at a higher than normal dose, such as 1.2 times the normal dose, and the width of shot 634 is calculated to produce a pattern having a width 604 on a surface. Both shot 636 and shot 638 overlap with shot 634 and extend below reference y coordinate 608. For example, the overlap between shots 634 and 636 is a partial overlap, and the intersection area between shot 634 and shot 636 is different from either of the two shots. Shot 636 and shot 638 are fired at this dose in this example. The pattern 732 of Figure 7 shows an analog pattern from one of the groups 632. Pattern 732 exhibits a smaller corner rounding than pattern 722, but also has a poor edge shape at the corners with an edge slope that is less than the minimum acceptable value in perimeter regions 734 and 736. Shooting group 632 shows how the use of overlapping shots and abnormal doses can allow for a higher fidelity to form a pattern than would be the case with a normal dose of non-overlapping shots.

第6圖射擊群組642顯示根據本發明利用部份重疊射擊之用於斷裂圖案602的另一範例。射擊群組642係由射擊644、646、648及650所組成。射擊646、648及650係利用影線顯示以改良清晰度。就像射擊624及634,射擊644使用一高於正常的劑量,諸如1.2x正常值。射擊646、648及650在此範例中使用一正常劑量。射擊650重疊於射擊644。射擊646及648延伸超過參考y座標608。第7圖圖案742顯示來自射擊群組642的一經模擬圖案。圖案742線端的角落744譬如比起圖案722角落更不圓滑。此外,角落區中的邊緣斜率在所有區位皆高於最小值。就像射擊群組632,射擊群組642顯示:利用合併有非正常劑量之重疊射擊可如何容許以比諸如射擊612的方法所顯示者之習見方法或先前技藝更高的保真度來形成圖案。Figure 6 Shooting Group 642 shows another example of a fracture pattern 602 that utilizes partial overlap shots in accordance with the present invention. The shooting group 642 is composed of shots 644, 646, 648, and 650. Shots 646, 648 and 650 are shaded for improved clarity. As with shots 624 and 634, shoot 644 uses a higher than normal dose, such as a 1.2x normal value. Shots 646, 648 and 650 use a normal dose in this example. Shot 650 is overlaid on shot 644. Shots 646 and 648 extend beyond reference y coordinate 608. The pattern 742 of Figure 7 shows a simulated pattern from the firing group 642. The corner 744 of the line end of the pattern 742 is less rounded than the corner of the pattern 722. In addition, the edge slope in the corner zone is above the minimum in all locations. Like the shooting group 632, the shooting group 642 shows how the use of overlapping shots incorporating an abnormal dose can allow for a pattern to be formed with a higher fidelity than the method shown by the method such as shooting 612 or prior art. .

上文所描述且顯示於第6圖射擊群組632及642之解決方案可甚至利用一不容許對於個別射擊作劑量指派之荷電粒子束系統予以實行。本發明的一實施例中,可選擇一小數量的劑量,譬如諸如1.0x正常及1.2x正常等兩劑量,且對於這兩劑量各者之射擊係可在兩分開的曝光通行中被分離及曝光,其中對於一曝光通行之基底劑量係為1.0x正常且對於另一曝光通行之基底劑量係為1.2x正常。譬如,第6圖射擊群組632中,射擊636及射擊638可被指派予一使用1.0x正常劑量的基底劑量之第一曝光通行,且射擊634可被指派予一使用1.2x正常劑量的基底劑量之第二曝光通行。此實施例中,對於任何曝光通行之射擊的聯合體將不同於對於經合併曝光通行全體之射擊的聯合體。The solution described above and shown in Figure 6 for firing groups 632 and 642 can be implemented even with a charged particle beam system that does not allow for dose assignment for individual shots. In one embodiment of the invention, a small number of doses can be selected, such as two doses such as 1.0x normal and 1.2x normal, and the firing system for each of the two doses can be separated in two separate exposure passes and Exposure, wherein the base dose for one exposure pass was 1.0 x normal and the base dose for another exposure pass was 1.2 x normal. For example, in shot group 632 of Figure 6, shot 636 and shot 638 can be assigned to a first exposure pass using a 1.0x normal dose of base dose, and shot 634 can be assigned to a base using a 1.2x normal dose. The second exposure of the dose is passed. In this embodiment, the combination of shots for any exposure pass will be different from the combination of shots for the combined exposure pass.

本發明的其他實施例中,可利用重疊射擊來降低並非劑量變異的製造變異類型之敏感度。束模糊變異係為另一型製造變異的一範例。此外,本發明的方法亦可利用複雜字元投射(CP)射擊、或以複雜CP及VSB射擊的一組合所實行。In other embodiments of the invention, overlapping shots may be utilized to reduce the sensitivity of the type of manufacturing variation that is not a dose variation. Beam blurring is an example of another type of manufacturing variation. Moreover, the method of the present invention can also be practiced using complex character projection (CP) shots, or a combination of complex CP and VSB shots.

第8A圖顯示將被形成於一表面上之一群組的矩形圖案800之一範例。該群組的圖案800係包含六個完整矩形,包括矩形802、矩形804、矩形806、矩形808、矩形810及矩形812。此外,顯示四個額外矩形的部分:矩形814、矩形816、矩形818及矩形820。可看出矩形以直行配置於一規則圖案中,其中相鄰直行係分隔一空間830,且其中一直行內的相鄰矩形係分隔一空間832。Figure 8A shows an example of a rectangular pattern 800 to be formed on a group on a surface. The pattern 800 of the group includes six complete rectangles including a rectangle 802, a rectangle 804, a rectangle 806, a rectangle 808, a rectangle 810, and a rectangle 812. In addition, four extra rectangular portions are displayed: rectangle 814, rectangle 816, rectangle 818, and rectangle 820. It can be seen that the rectangles are arranged in a straight line in a regular pattern, wherein adjacent straight lines are separated by a space 830, and wherein adjacent rectangles in the row are separated by a space 832.

圖案群組800可利用一習見非重疊VSB射擊、對於圖案群組800中的各圖案利用一VSB射擊被寫入至一表面。因此第8A圖亦可被視為一群組的射擊800,包含射擊802、804、806、808、810、812、814、816、818及820。第8B圖顯示可在中程散射出現下從射擊群組800產生之一組經模擬圖案850的一範例。成組的圖案850係包含六個完整圖案,包括圖案852、圖案854、圖案856、圖案858、圖案860及圖案862。圖案群組850亦包含四個額外圖案,其中第8B圖只顯示圖案的一部分,包括圖案864、圖案866、圖案868及圖案870。圖案群組850中的圖案係展現由於束模擬所致之角落圓化,其一範例為角落872。此外,位於中間兩直行之各圖案在y方向測量的中部分係在x方向中比圖案的其餘部分更窄,如圖案858的中部分874所顯示。此窄化係為比抵達圖案858的其他部分者而言具有更少中程散射能量抵達圖案858的中部分874之結果。圖案858中,區874中的圖案窄化係由於射擊814與806之間隙、及射擊818與812之間隙所造成。相較於相對的射擊814、806、818及812而言,有較少的中程散射能量在與這些間隙相對之圖案858附近抵達阻劑。外側直行圖案852、854、868、862及870因為其只在左或右側一者上具有鄰近射擊故展現不對稱窄化。面向內側係具有一如圖案858的類似窄化,如同圖案862的窄化區876所示。在諸如圖案862的邊緣878等面向外側邊緣上,缺乏鄰近圖案係造成較低中程散射能量沿著整體邊緣被接收,結果使得整體邊緣878在-x(負x)方向偏移,造成圖案862的寬度882小於圖案858的寬度880。此經模擬中程散射係在效應範圍(range of effect)上類似於對於EUV光學微影術之標線片的中程散射,但圖案群組850中所模擬的中程散射係比現今EUV標線片所常產生者具有更高的強烈度(intensity)。圖案群組850顯示具有一足夠量值的中程散射可如何影響由荷電粒子束微影術所寫入的圖案。Pattern group 800 can be written to a surface using a VSB shot for each pattern in pattern group 800 using a conventional non-overlapping VSB shot. Thus, FIG. 8A can also be viewed as a group of shots 800, including shots 802, 804, 806, 808, 810, 812, 814, 816, 818, and 820. FIG. 8B shows an example of a set of simulated patterns 850 that may be generated from shot group 800 in the presence of mid-range scattering. The set of patterns 850 includes six complete patterns including a pattern 852, a pattern 854, a pattern 856, a pattern 858, a pattern 860, and a pattern 862. Pattern group 850 also includes four additional patterns, with Figure 8B showing only a portion of the pattern, including pattern 864, pattern 866, pattern 868, and pattern 870. The pattern in pattern group 850 exhibits corner rounding due to beam simulation, an example of which is corner 872. Moreover, the middle portion of the pattern in the middle two straight rows measured in the y-direction is narrower in the x-direction than the rest of the pattern, as shown by the mid-portion 874 of the pattern 858. This narrowing is the result of having less intermediate-path scattering energy reaching the middle portion 874 of the pattern 858 than other portions of the arriving pattern 858. In pattern 858, the pattern narrowing in region 874 is due to the gap between shots 814 and 806 and the gap between shots 818 and 812. Compared to the opposite shots 814, 806, 818, and 812, there is less intermediate-range scattering energy reaching the resist near the pattern 858 opposite the gaps. The outer straight lines 852, 854, 868, 862, and 870 exhibit asymmetric narrowing because they have adjacent shots on only one of the left or right side. The inner facing has a similar narrowing as the pattern 858, as shown by the narrowing zone 876 of the pattern 862. On the outwardly facing edge, such as the edge 878 of the pattern 862, the absence of an adjacent pattern causes lower mid-range scattering energy to be received along the entire edge, with the result that the overall edge 878 is offset in the -x (negative x) direction, resulting in a pattern 862. The width 882 is less than the width 880 of the pattern 858. This simulated mid-range scattering system is similar to the mid-range scattering of the reticle for EUV optical lithography in the range of effect, but the medium-range scattering system simulated in the pattern group 850 is more than the current EUV standard. Lines are often produced with a higher intensity. Pattern group 850 shows how mid-range scattering with a sufficient magnitude can affect the pattern written by charged particle beam lithography.

本發明的另一實施例中,可利用重疊射擊以實行罩幕製程矯正,藉此在中程散射出現下產生較高保真度圖案。第9A圖顯示可用來產生群組的圖案800之一射擊群組900。射擊群組900係包含矩形射擊902、904、906、908、910及912。射擊群組900亦包含矩形射擊914、916、918及920,只顯示其某部分。相較於射擊群組800,射擊群組900包括下列:In another embodiment of the invention, overlapping shots may be utilized to perform mask process correction, thereby producing a higher fidelity pattern in the presence of mid-range scattering. Figure 9A shows a shot group 900 of one of the patterns 800 that can be used to generate a group. Shooting group 900 includes rectangular shots 902, 904, 906, 908, 910, and 912. Shooting group 900 also includes rectangular shots 914, 916, 918, and 920, showing only a portion of it. Compared to shooting group 800, shooting group 900 includes the following:

‧外側直行上之射擊在其外側邊緣上被加寬。這包括射擊902、904、918、912及920。射擊912中,譬如,相較於射擊812,邊緣936在+x方向被移動。‧ The shot on the outside straight line is widened on its outer edge. This includes shots 902, 904, 918, 912, and 920. In shot 912, for example, edge 936 is moved in the +x direction as compared to shot 812.

‧添加額外射擊以防止圖案的中部分中之圖案窄化,如圖案群組850所示。所添加的射擊係包括射擊922、924、926、928、930及932。這些添加的射擊係輸送額外劑量至區域,但例外係為將接收較小中程散射劑量之外側直行的射擊之外側邊緣。由於在外側直行的射擊群組900上,藉由如上述在其外側邊緣上加寬射擊902、904、918、912及920來防止圖案窄化,重疊射擊922、924及932被定位為遠離射擊902、904及912的外側邊緣以防止射擊902、904及912所形成的圖案之過度中部分加寬。‧ Add an extra shot to prevent the pattern in the middle portion of the pattern from narrowing, as shown by pattern group 850. The added shots include shots 922, 924, 926, 928, 930, and 932. These added shots deliver an additional dose to the zone, with the exception of the outer edge of the shot that will receive the smaller mid-range scattering dose on the outside. Due to the narrowing of the pattern 902, 904, 918, 912 and 920 on the outer edge of the shooting group 900 on the outside, the overlapping shots 922, 924 and 932 are positioned away from the shot by widening the shots 902, 904, 918, 912 and 920 on their outer edges as described above. The outer edges of 902, 904, and 912 are widened to prevent excessive mid-portions of the pattern formed by shots 902, 904, and 912.

第9B圖顯示可從群組的射擊900產生於一表面上之一群組的圖案950之一範例。群組的圖案950係包含圖案952、954、956、958、960及962,及部份圖案964、966、968及970。如圖可看出,中程散射出現下,群組的射擊900中所示相較於群組的射擊800之曝光變化係改良該表面上所產生之圖案的保真度。圖案的中部分並無窄化。此外,諸如圖案962的寬度等外部直行圖案的寬度係等同於諸如圖案958的寬度980等內部直行圖案之寬度。Figure 9B shows an example of a pattern 950 that can be generated from a group of shots 900 on a surface. The pattern 950 of the group includes patterns 952, 954, 956, 958, 960, and 962, and partial patterns 964, 966, 968, and 970. As can be seen, in the presence of mid-range scattering, the exposure variation shown in the shot 900 of the group compared to the shot 800 of the group improves the fidelity of the pattern produced on the surface. The middle part of the pattern is not narrowed. Further, the width of the outer straight line pattern such as the width of the pattern 962 is equivalent to the width of the inner straight line pattern such as the width 980 of the pattern 958.

本發明中所描述或參照的計算可以各種不同方式達成。一般而言,可藉由製程中、製程前或製程後方法達成計算。製程中計算係涉及當需要其結果時進行一計算。製程前計算係涉及預計算、然後儲存結果以在一後續處理步驟期間供稍後檢索,並可改良處理效能,特別是對於可重覆多次之計算尤然。計算亦可從一處理步驟被推延,然後在一稍後的後處理步驟進行。製程前計算的一範例係為一射擊群組,其身為對於與一給定輸入圖案或成組輸入圖案特徵相關聯的一或多個射擊之劑量圖案資訊的一預計算。射擊群組及相關聯的輸入圖案可儲存在經預計算射擊群組的一程式庫中,故可對於輸入圖案的額外案例快速地產生包含該射擊群組之該組射擊,而不需作圖案重新計算。部分實施例中,預計算係可包含射擊群組將產生於一阻劑塗覆式表面上之劑量圖案的模擬。其他實施例中,射擊群組不需模擬即可被決定,諸如藉由利用經由建構作矯正(correct-by-construction)技術。部分實施例中,經預計算的射擊群組可以一射擊清單形式儲存於射擊群組程式庫中。其他實施例中,經預計算的射擊群組係可以可對於一或多個特定類型輸入圖案產生射擊之電腦程式碼形式被儲存。又其他實施例中,複數個經預計算的射擊群組可以一表格形式被儲存,其中表格中的登錄係對應於各不同輸入圖案或輸入圖案特徵諸如圖案寬度,且其中各表格登錄係提供射擊群組中之一清單的射擊、或如何產生適當組射擊之資訊。此外,不同射擊群組可以不同形式儲存於射擊群組程式庫中。部分實施例中,一給定射擊群組可產生的劑量圖案亦可被儲存於射擊群組程式庫中。一實施例中,劑量圖案可被儲存成一稱為雕紋(glyph)的二維(X及Y)劑量地圖。The calculations described or referenced in the present invention can be achieved in a variety of different ways. In general, calculations can be made by in-process, pre-process, or post-process methods. The calculation in the process involves performing a calculation when the results are needed. The pre-process calculation involves pre-calculation, then storing the results for later retrieval during a subsequent processing step, and can improve processing performance, especially for repeatable calculations. The calculation can also be deferred from a processing step and then performed at a later post-processing step. An example of pre-process calculations is a shot group that is a pre-calculation of dose pattern information for one or more shots associated with a given input pattern or set of input pattern features. The shooting group and associated input patterns can be stored in a library of pre-computed shooting groups, so that the group of shots containing the shooting group can be quickly generated for additional cases of the input pattern without patterning recalculate. In some embodiments, the pre-computation system can include a simulation of the dose pattern that the shot group will produce on a resist coated surface. In other embodiments, the firing group can be determined without simulation, such as by utilizing a correct-by-construction technique. In some embodiments, the pre-computed shooting group can be stored in the shooting group library in the form of a shooting list. In other embodiments, the pre-computed firing group may be stored in the form of a computer code that produces a shot for one or more particular types of input patterns. In still other embodiments, the plurality of pre-computed shot groups may be stored in a table format, wherein the logins in the table correspond to different input patterns or input pattern features such as pattern width, and wherein each form registration system provides shooting A shot of a list in a group, or how to generate an appropriate set of shots. In addition, different shooting groups can be stored in different ways in the shooting group library. In some embodiments, the dose pattern that can be generated for a given shot group can also be stored in the shot group library. In one embodiment, the dose pattern can be stored as a two-dimensional (X and Y) dose map called a glyph.

第10圖是如何製備一標線片以供用來在一矽晶圓上製作一諸如積體電路等表面之概念流程圖1050。第一步驟1052中,設計一物理設計、諸如一積體電路的一物理設計。這可包括決定邏輯閘、電晶體、金屬層,及在一物理設計中、諸如一積體電路中所發現需要之其他項目。物理設計可為直線形、部份曲線形、或完全曲線形。接著,在一步驟1054中,決定光學鄰近矯正。此揭示的一實施例中,這可包括從一射擊群組程式庫1074取得經預計算的射擊群組之一程式庫作為一輸入。這亦可以添加或取代方式包括取得經預設計的字元1080之一程式庫作為輸入,包括將可在一步驟1062中於一模板1084上取得的複雜字元。此揭示的一實施例中,一OPC步驟1054亦可包括射擊計數或寫入時間的同時最適化,並亦可包括一斷裂操作、一射擊置放操作、一劑量指派操作,或亦可包括一射擊順序最適化操作、或其他罩幕資料製備操作,其中這些操作有部分或全部為同時性或是在單一步驟中被合併。OPC步驟可生成部份或完全曲線形圖案。OPC步驟1054的輸出係為一罩幕設計1056。Figure 10 is a conceptual flow diagram 1050 of how to prepare a reticle for use in fabricating a surface such as an integrated circuit on a wafer. In a first step 1052, a physical design, such as a physical design of an integrated circuit, is designed. This may include determining logic gates, transistors, metal layers, and other items found in a physical design, such as found in an integrated circuit. The physical design can be straight, partially curved, or completely curved. Next, in a step 1054, optical proximity correction is determined. In one embodiment of the disclosure, this may include obtaining a library of pre-computed shot groups from a shot group library 1074 as an input. This may also be added or replaced by taking a library of pre-designed characters 1080 as input, including complex characters that would be retrieved on a template 1084 in a step 1062. In an embodiment of the disclosure, an OPC step 1054 may also include simultaneous optimization of the shot count or write time, and may also include a break operation, a shot placement operation, a dose assignment operation, or may also include a Shooting sequence optimization operations, or other mask data preparation operations, where some or all of these operations are simultaneous or combined in a single step. The OPC step can generate a partial or complete curved pattern. The output of OPC step 1054 is a mask design 1056.

罩幕製程矯正(MPC)1057可選用性在罩幕設計1056上進行。MPC係修改將被寫入至標線片之圖案,而補償諸如小於約100nm寬的圖案之窄化等效應。一步驟1058中,可發生一可能包括一斷裂操作、一射擊置放操作、一劑量指派操作、或一射擊順序最適化之罩幕資料製備(MDP)操作。MDP可使用罩幕設計1056或MPC 1057的結果作為輸入。本發明的部分實施例中,MPC可以一斷裂或其他MDP操作的部份進行。亦可以斷裂或其他MDP操作的部份進行其他矯正,可能的矯正係包括:前向散射,阻劑擴散,庫侖效應,蝕刻,背向散射,霧化,負載,阻劑充填,及EUV中程散射。MDP步驟1058的結果係為一射擊清單1060。OPC步驟1054或MDP步驟1058、或者一分離的程式1072係可包括預計算可用於一給定輸入圖案之一或多個射擊群組,並將此資訊儲存於一射擊群組程式庫1074中。此揭示中係想見在一步驟中合併OPC及罩幕製備的任何或全部不同操作。可包括一斷裂操作之罩幕資料製備步驟1058係亦可包含一圖案匹配操作以匹配經預計算的射擊群組,以生成一密切匹配於罩幕設計之罩幕。罩幕資料製備亦可包含降低步驟1062中所寫入圖案對於製造變異之敏感度。罩幕資料製備亦可包含:輸入將被形成於一表面上之圖案,其中圖案略微地不同,選擇一組將被用來形成該數量的圖案之字元,該組字元配合於一模板罩幕上,該組字元可能包括複雜及VSB字元,且該組字元以改變的字元劑量或改變的字元位置為基礎,或改變束模糊半徑或施加該組字元內之一字元的部份曝光或拖拉一字元以降低射擊計數或總寫入時間。可設計表面上之一組略微不同的圖案以在一基材上產生實質相同的圖案。並且,可從預定一組的字元選擇該組字元。此揭示的一實施例中,可對於一特定罩幕設計製備出可在罩幕寫入步驟1062期間被快速選擇之可在一步驟1080中於一模板上取得的一組字元。該實施例中,一旦罩幕資料製備步驟1056完成,在一步驟1084中製備一模板。此揭示的另一實施例中,一模板係在MDP步驟1058之前或與其同時地在步驟1084中被製備,且可能獨立無關於該特定罩幕設計。此實施例中,步驟1082中係設計可在步驟1080中取得的字元及模板佈局以對於許多潛在罩幕設計1056作一般性輸出,以併入可能由一特定OPC程式1054或一特定MDP程式1058或特定類型設計所輸出之圖案,其係提供物理設計1052的特徵,諸如記憶體、快閃記憶體、系統晶片設計、或設計位於物理設計1052中的特定製程技術、或使用於物理設計1052中的一特定胞元程式庫、或可在罩幕設計1056中形成不同組略微不同圖案的任何其他共同特徵。模板可包括一組字元,諸如在步驟1058中所決定之一有限數量的字元。The mask process correction (MPC) 1057 is optional on the mask design 1056. The MPC system modification will be written to the pattern of the reticle to compensate for effects such as narrowing of the pattern of less than about 100 nm wide. In a step 1058, a mask data preparation (MDP) operation, which may include a rupture operation, a shot placement operation, a dose assignment operation, or a shot sequence optimization, may occur. The MDP can use the results of the mask design 1056 or MPC 1057 as input. In some embodiments of the invention, the MPC can be performed as part of a break or other MDP operation. Other corrections can also be made for breaks or other parts of the MDP operation. Possible corrections include: forward scatter, resist diffusion, Coulomb effect, etching, backscattering, atomization, loading, resist filling, and EUV mid-range scattering. The result of the MDP step 1058 is a shot list 1060. OPC step 1054 or MDP step 1058, or a separate program 1072, may include pre-computing one or more shot groups available for a given input pattern and storing this information in a shot group library 1074. In this disclosure, it is intended to see any or all of the different operations of combining OPC and mask preparation in one step. The mask data preparation step 1058, which may include a break operation, may also include a pattern matching operation to match the pre-computed shot groups to create a mask that closely matches the mask design. Mask data preparation may also include reducing the sensitivity of the pattern written in step 1062 to manufacturing variations. The mask data preparation may also include: inputting a pattern to be formed on a surface, wherein the patterns are slightly different, and selecting a set of characters to be used to form the number of patterns, the set of characters being fitted to a template cover On-the-scenes, the set of characters may include complex and VSB characters, and the set of characters is based on the changed character dose or changed character position, or changes the beam blur radius or applies one word within the set of characters Partial exposure or dragging of a character to reduce the shot count or total write time. A slightly different set of patterns on the surface can be designed to produce substantially the same pattern on a substrate. Also, the set of characters can be selected from a predetermined set of characters. In one embodiment of the disclosure, a set of characters that can be quickly selected during the mask writing step 1062 and that can be taken on a template in a step 1080 can be prepared for a particular mask design. In this embodiment, once the mask data preparation step 1056 is completed, a template is prepared in a step 1084. In another embodiment of this disclosure, a template is prepared in step 1084 prior to or concurrent with the MDP step 1058 and may be independent of the particular mask design. In this embodiment, in step 1082, the character and template layouts available in step 1080 are designed to provide a general output for a number of potential mask designs 1056 to incorporate a particular OPC program 1054 or a particular MDP program. 1058 or a pattern output by a particular type of design that provides features of physical design 1052, such as memory, flash memory, system wafer design, or a particular process technology designed to be located in physical design 1052, or used in physical design 1052 A particular cell library in the middle, or any other common feature that can form a different set of slightly different patterns in the mask design 1056. The template may include a set of characters, such as a limited number of characters determined in step 1058.

利用射擊清單1060以在一罩幕寫入步驟1062中產生一表面,其使用一荷電粒子束寫入器、諸如一電子束寫入器系統。罩幕寫入步驟1062可使用含有複數個複雜字元之模板1084,或可使用一只包含VSB開孔之模板。電子束寫入器系統係投射一束電子經過模板到一表面上以形成圖案於一表面上,如一步驟1064所示。完成的表面隨後可使用於一光學微影術機具中,其顯示於一步驟1066中。最後,一步驟1068中,產生一諸如矽晶圓等基材。已如先前描述,步驟1080中,字元可被提供至OPC步驟1054或MDP步驟1058。步驟1080亦提供字元至一字元及模板設計步驟1082或一射擊群組產生步驟1072。字元及模板設計步驟1082提供輸入至模板步驟1084且提供至字元步驟1080。射擊群組產生步驟1072提供資訊至射擊群組程式庫1074。並且,一射擊群組預計算步驟1072可使用物理設計1052或罩幕設計1056作為輸入,並可預計算一或多個射擊群組,其儲存於一射擊群組程式庫1074中。A shot list 1060 is utilized to generate a surface in a mask write step 1062 that uses a charged particle beam writer, such as an electron beam writer system. The mask writing step 1062 can use a template 1084 containing a plurality of complex characters, or a template containing a VSB opening can be used. The electron beam writer system projects a beam of electrons through a stencil onto a surface to form a pattern on a surface, as shown in step 1064. The finished surface can then be used in an optical lithography implement, which is shown in a step 1066. Finally, in a step 1068, a substrate such as a germanium wafer is produced. As previously described, in step 1080, the characters can be provided to OPC step 1054 or MDP step 1058. Step 1080 also provides a character to a character and template design step 1082 or a shot group generation step 1072. The character and template design step 1082 provides an input to the template step 1084 and is provided to the character step 1080. The shooting group generation step 1072 provides information to the shooting group library 1074. Also, a shot group pre-calculation step 1072 can use the physical design 1052 or mask design 1056 as input, and can pre-compute one or more shot groups, which are stored in a shot group library 1074.

以模型為基礎的斷裂係可在單一步驟中與習見斷裂作合併。這譬如係容許以模型為基礎的斷裂使用於令其可在該處提供最大利益之該等區域中,同時對於該設計的其他部份則使用較不具運算密集性之習見斷裂。如先前所示,習見斷裂中,盡可能避免射擊重疊,且所有射擊在長程矯正之前具有一正常劑量。第11A圖中,概念流程圖1100顯示可如何合併習見及以模型為基礎的斷裂之一實施例。對於經合併斷裂製程之輸入係為罩幕設計1102。罩幕設計1102可能係為來自第10圖的罩幕設計1056,或者其可身為罩幕設計1056的一部份、或一經更改形式的罩幕設計1056、諸如來自MPC 1057者。在罩幕設計1102上進行習見斷裂1104以生成一習見射擊清單1106。或者,可在罩幕設計1102的部份上進行習見斷裂,使一些部份保持未斷裂。一以模型為基礎的斷裂步驟1108隨後係輸入射擊清單1106並修改、添加或刪除一射擊的複雜區域中之射擊。複雜區域可譬如包括具有最小圖案之區域,或具有曲線形圖案之區域。複雜區域亦可包括具有來自中程散射的高影響之區域。複雜區域亦可包括製造中具有特定敏感度之“熱區(hot spots)”。此脈絡的“複雜”用語可能不代表形狀的幾何複雜度。部分實施例中,以模型為基礎的斷裂1108係可包括:決定在何者區域中修改習見射擊及/或以模型為基礎的射擊取代習見射擊。其他實施例中,複雜區域可在一分開的步驟112中被決定,自動地從罩幕設計1056或人工地決定。任一案例中,以模型為基礎的斷裂1108係產生射擊,其有些係部份地重疊於其他射擊。以模型為基礎的斷裂1108係可以已利用以模型為基礎的技術所決定之射擊來取代或修改射擊的經設計或經決定複雜部分中之部分或全部習見射擊。以模型為基礎的斷裂步驟1108之輸出係為一最終射擊清單1110,其含有習見及以模型為基礎的射擊兩者。最終射擊清單1108係對應於第10圖射擊清單1060。對於概念流程圖中之步驟的粗糙顆粒平行處理,罩幕設計1102可能是一部份設計,或其可能是可平行進行各步驟之整體設計。Model-based fracture systems can be combined with Xijian fractures in a single step. This would allow model-based fractures to be used in such areas where they would provide the greatest benefit, while for other parts of the design, less computationally intensive fractures were used. As previously indicated, in the fracture, the overlap of shots is avoided as much as possible, and all shots have a normal dose before long-range correction. In Figure 11A, concept flow diagram 1100 shows one embodiment of how the merged and model-based fractures can be combined. The input system for the combined fracture process is the mask design 1102. The mask design 1102 may be the mask design 1056 from Figure 10, or it may be part of the mask design 1056, or a modified form of the mask design 1056, such as from MPC 1057. A see-through break 1104 is performed on the mask design 1102 to generate a look-ahead shot list 1106. Alternatively, a break can be made on the portion of the mask design 1102 to keep portions unbroken. A model-based rupture step 1108 then enters the shot list 1106 and modifies, adds, or deletes shots in a complex region of a shot. The complex area may include, for example, an area having a minimum pattern or an area having a curved pattern. Complex regions may also include regions with high impact from mid-range scattering. Complex areas may also include "hot spots" with specific sensitivities in manufacturing. The "complex" term for this context may not represent the geometric complexity of the shape. In some embodiments, the model-based fracture 1108 can include determining in which region the modified shots are modified and/or the model-based shots are substituted for the learned shots. In other embodiments, the complex region can be determined in a separate step 112, automatically from the mask design 1056 or manually. In either case, the model-based fracture 1108 produced shots, some of which partially overlapped with other shots. The model-based fracture 1108 system may have replaced or modified some or all of the simulated shots in the designed or determined complex portion of the shot using shots determined by model-based techniques. The output of the model-based fracture step 1108 is a final shot list 1110 containing both the conventional and model-based shots. The final shot list 1108 corresponds to the shot list 1060 of FIG. For parallel processing of coarse particles in the steps of the conceptual flow diagram, the mask design 1102 may be part of the design, or it may be an overall design in which the steps can be performed in parallel.

第11B圖概念流程圖1120係顯示可如何合併習見及以模型為基礎的斷裂之另一實施例。對於經合併斷裂製程之輸入係為罩幕設計1122。罩幕設計1122可能是來自步驟10的罩幕設計1056,或者其可能是罩幕設計1056的一部份、或一經更改形式的罩幕設計1056、諸如來自MPC 1057者。第11B圖中,罩幕設計1122係藉由將圖案資料分成非複雜圖案區域1126及複雜圖案區域1128之圖案區分步驟1124所處理。一習見斷裂步驟1130使用非複雜圖案區域1126作為輸入。習見斷裂1130輸出一清單的習見射擊1136。一額外輸出係為PEC資訊1132。部分實施例中,此資訊可能是可被PEC直接使用之一或多個形式。其他實施例中,PEC資訊可譬如為習見清單本身,可自其計算PEC資訊。複雜圖案區域1128係利用以模型為基礎的斷裂1134所斷裂。以模型為基礎的斷裂1134可使用PEC資訊1132作為輸入,若需要從習見射擊推導出對於長程效應的影響範圍內以模型為基礎的射擊之適當PEC矯正,則處理此資訊。其他實施例中,PEC資訊亦可由以模型為基礎的斷裂1134所輸出,且習見斷裂1130可以某方式使用此資訊。以模型為基礎的斷裂1134生成一以模型為基礎的射擊清單1138。習見射擊清單1136及以模型為基礎的射擊清單1138隨後合併成一經合併射擊清單1140,其對應於第10圖射擊清單1060。對於概念流程圖1120中之步驟的粗糙顆粒平行處理,罩幕設計1122可能是一部份設計,或者其可能是其中可平行進行各步驟之整體設計。Figure 11B Conceptual Flowchart 1120 shows another embodiment of how the merged and model-based fractures can be combined. The input system for the combined fracture process is the mask design 1122. The mask design 1122 may be the mask design 1056 from step 10, or it may be part of the mask design 1056, or a modified form of the mask design 1056, such as from MPC 1057. In FIG. 11B, the mask design 1122 is processed by patterning step 1124 of dividing the pattern data into non-complex pattern regions 1126 and complex pattern regions 1128. A custom fracture step 1130 uses the uncomplicated pattern region 1126 as an input. Xi see the break 1130 output a list of the habit shot 1136. An additional output is PEC Information 1132. In some embodiments, this information may be in one or more forms that can be used directly by the PEC. In other embodiments, the PEC information may be, for example, a look-up list itself from which PEC information may be calculated. The complex pattern area 1128 is broken using a model-based fracture 1134. Model-based fracture 1134 may use PEC Information 1132 as input, and this information is processed if appropriate PEC corrections for model-based shots within the range of effects for long-range effects need to be derived from the practice shot. In other embodiments, the PEC information may also be output by model-based fracture 1134, and it is known that fracture 1130 may use this information in some manner. Model-based fracture 1134 generates a model-based shot list 1138. The see-through shot list 1136 and the model-based shot list 1138 are then merged into a merged shot list 1140, which corresponds to the shot list 1060 of FIG. For the parallel processing of the coarse particles of the steps in the conceptual flow diagram 1120, the mask design 1122 may be a partial design, or it may be an overall design in which the steps may be performed in parallel.

本揭示所描述的斷裂、罩幕資料製備、鄰近效應矯正及射擊群組生成流程係可利用作為運算裝置之具有適當電腦軟體的一般用途電腦所實行。由於所需要的大量計算,亦可平行使用多個電腦或處理器核心。一實施例中,運算可細分成對於流程中的一或多個運算密集性步驟之複數個二維幾何區,以支援平行運算。另一實施例中,可利用單獨或多重使用的一特殊用途硬體裝置以比利用一般用途電腦或處理器核心更高之速度進行一或多個步驟的運算。一實施例中,特殊用途硬體裝置可能是一圖形處理單元(GPU)。另一實施例中,此揭示中所描述的最適化及模擬製程係可包括修訂及重新計算可能的解之疊代製程,藉以盡量減少總射擊數、或總荷電粒子束寫入時間、或某其他參數。又另一實施例中,可以一經由建構作矯正(correct-by-construction)方法決定一組初始的射擊,故不需要射擊修改。The rupture, mask data preparation, proximity effect correction, and shot group generation processes described in the present disclosure can be implemented using a general purpose computer having an appropriate computer software as an arithmetic unit. Multiple computers or processor cores can also be used in parallel due to the large amount of computation required. In one embodiment, the operations may be subdivided into a plurality of two-dimensional geometric regions for one or more computationally intensive steps in the process to support parallel operations. In another embodiment, a special purpose hardware device, either alone or in multiple uses, can be used to perform one or more steps of operation at a higher speed than with a general purpose computer or processor core. In one embodiment, the special purpose hardware device may be a graphics processing unit (GPU). In another embodiment, the optimization and simulation process described in this disclosure may include an iterative process of revising and recalculating possible solutions to minimize the total number of shots, or the total charged particle beam write time, or some Other parameters. In yet another embodiment, a set of initial shots can be determined via a correct-by-construction method, so no shot modification is required.

雖已對於特定實施例詳細地描述說明書,熟習該技術者解讀上文後將瞭解可易於構想出這些實施例的替代方式、或變異、及均等物。該等用於斷裂、罩幕資料製備、鄰近效應矯正及光學鄰近矯正的方法之這些及其他修改及變異可由一般熟習該技術者實行,而不脫離由申請專利範圍更特定地建立之本標的物的精神與範圍。尚且,一般熟習該技術者將瞭解:上文描述僅供範例用、且無意作限制。可對於此說明書中的步驟添加、刪除或修改步驟而不脫離本發明的範圍。一般而言,所提出的任何流程只預定用來表明達成一功能之基本操作的一可能順序,且可能具有許多變異。因此,本標的物係預定涵蓋位於申請專利範圍及其均等物的範圍內之此等修改及變異。Although the specification has been described in detail with reference to the specific embodiments, it will be understood that These and other modifications and variations of the methods for rupture, mask data preparation, proximity effect correction, and optical proximity correction may be performed by those of ordinary skill in the art without departing from the subject matter that is more specifically established by the scope of the claims. Spirit and scope. It is to be understood that those skilled in the art will understand that the above description is for illustrative purposes only and is not intended to be limiting. Steps may be added, deleted or modified for the steps in this specification without departing from the scope of the invention. In general, any of the proposed flows is only intended to indicate a possible sequence of basic operations to achieve a function, and may have many variations. Therefore, the subject matter of the subject matter is intended to cover such modifications and variations within the scope of

100...電子束寫入器系統100. . . Electron beam writer system

112...電子束源112. . . Electron beam source

114,120,134...電子束114,120,134. . . Electron beam

116...開孔板116. . . Opening plate

118...開孔118. . . Opening

122...矩形開孔板或模板罩幕122. . . Rectangular perforated plate or stencil mask

124...開口或開孔124. . . Opening or opening

126,126A...字元126,126A. . . Character

130...表面130. . . surface

132...基材132. . . Substrate

136...遮黑區域136. . . Black area

138...電磁或靜電縮減透鏡138. . . Electromagnetic or electrostatic reduction lens

140...經縮減的電子束140. . . Reduced electron beam

142...偏向器142. . . Bias

148,412,512,602,612,712,722,732,800,852,854,856,858,860,862,864,866,868,870,950,952,954,956,958,960,962...圖案148,412,512,602,612,712,722,732,800,852,854,856,858,860,862,864,866,868,870,950,952,954,956,958,960,962. . . pattern

150...定位機構150. . . Positioning mechanism

202,222...橫剖面劑量曲線202,222. . . Cross-sectional dose curve

204,224...較高的臨閾值204,224. . . Higher threshold

206,226...較低的臨閾值206,226. . . Lower threshold

214,216,234,236,604...寬度214,216,234,236,604. . . width

302,402,502...經設計圖案302,402,502. . . Design pattern

304,404,504,514,606...線端304, 404, 504, 514, 606. . . Line end

306,406,508...恆定寬度306,406,508. . . Constant width

312,412,850...經模擬圖案312,412,850. . . Simulated pattern

314...圖案312的線端部分314. . . Line end portion of pattern 312

316,318...圖案周邊的段316,318. . . Section around the pattern

408,506...參考線408,506. . . reference line

414...圖案412的線端部分414. . . Line end portion of pattern 412

416,418...圖案412的周邊之部分416,418. . . Part of the periphery of the pattern 412

518...圖案512的周邊區518. . . Peripheral area of pattern 512

608...虛線608. . . dotted line

622,632,642,900‧‧‧射擊群組 622,632,642,900‧‧‧ Shooting group

624,626,634,636,638,644,646,648,650,802,804,806,808,810,812,814,816,818,820,922,924,926,928,930,932‧‧‧射擊 624,626,634,636,638,644,646,648,650,802,804,806,808,810,812,814,816,818,820,922,924,926,928,930,932‧‧‧ shot

628‧‧‧射擊624的寬度 628‧‧‧ Shooting width of 624

714,716,734,736‧‧‧周邊區 714,716,734,736‧‧‧The surrounding area

724‧‧‧圖案722的線端角落 724‧‧‧ line end corner of pattern 722

800‧‧‧矩形圖案 800‧‧‧Rectangular pattern

830,832‧‧‧空間 830,832‧‧‧ space

872‧‧‧角落 872‧‧‧ corner

874‧‧‧圖案858的中部分 874‧‧‧The middle part of the pattern 858

876‧‧‧圖案862的窄化區 876‧‧‧Narrowing area of pattern 862

878‧‧‧圖案862的邊緣 878‧‧‧The edge of the pattern 862

880‧‧‧圖案858的寬度 880‧‧‧ width of pattern 858

882‧‧‧圖案862的寬度 882‧‧‧Width of pattern 862

902,904,906,908,910,912,914,916,918,920‧‧‧矩形射擊 902,904,906,908,910,912,914,916,918,920‧‧‧Rectangular shots

936‧‧‧邊緣 Edge of 936‧‧

964,966,968,970‧‧‧部份圖案 964,966,968,970‧‧‧Partial pattern

980‧‧‧圖案958的寬度 980‧‧‧ width of pattern 958

1050‧‧‧流程圖 1050‧‧‧Flowchart

1052,1054,1056,1057,1058,1060,1062,1064,1066,1068,1072,1074,1080,1082,1084,1102,1104,1106,1108,1110,1112,1122,1124,1126,1128,1130,1132,1134,1136,1138,1140‧‧‧步驟 1052,1054,1056,1057,1058,1060,1062,1064,1066,1068,1072,1074,1080,1082,1084,1102,1104,1106,1108,1110,1112,1122,1124,1126,1128, 1130, 1132, 1134, 1136, 1138, 1140‧ ‧ steps

1100,1120‧‧‧概念流程圖 1100, 1120‧‧‧ concept flow chart

第1圖顯示一字元投射荷電粒子束系統的一範例;Figure 1 shows an example of a character projected charged particle beam system;

第2A圖顯示一橫剖面劑量圖形的一範例,其描繪對於兩阻劑臨閾值各者之經對齊圖案寬度;Figure 2A shows an example of a cross-sectional dose pattern depicting the aligned pattern width for each of the two resist thresholds;

第2B圖顯示一橫剖面劑量圖形的一範例,其類似於第2A圖、但具有比第2A圖更高的一劑量邊緣斜率;Figure 2B shows an example of a cross-sectional dose pattern similar to Figure 2A but with a higher dose edge slope than Figure 2A;

第3A圖顯示將被形成於一標線片上之一所期望的100nm線端圖案之一範例;Figure 3A shows an example of a desired 100 nm line end pattern to be formed on one of the reticle sheets;

第3B圖顯示利用習見技術使第3A圖的圖案斷裂而產生之射擊所形成的一經模擬圖案之一範例;Figure 3B shows an example of a simulated pattern formed by shots generated by the technique of breaking the pattern of Figure 3A using conventional techniques;

第4A圖顯示將被形成於一標線片上之一所期望的80nm線端圖案之一範例;Figure 4A shows an example of a desired 80 nm line end pattern to be formed on one of the reticle sheets;

第4B圖顯示利用習見技術使第4A圖的圖案斷裂而產生之射擊所形成的一經模擬圖案之一範例;Figure 4B shows an example of a simulated pattern formed by a shot produced by breaking the pattern of Figure 4A using conventional techniques;

第5A圖顯示將被形成於一標線片上之一所期望的60nm線端圖案之一範例;Figure 5A shows an example of a desired 60 nm line end pattern to be formed on one of the reticle sheets;

第5B圖顯示利用習見技術使第5A圖的圖案斷裂而產生之射擊所形成的一經模擬圖案之一範例;Figure 5B shows an example of a simulated pattern formed by a shot produced by breaking the pattern of Figure 5A using a technique;

第6圖顯示可用來形成一80nm線端圖案之群組的射擊之不同範例;Figure 6 shows a different example of a shot that can be used to form a group of 80 nm line end patterns;

第7圖顯示由第6圖的各不同射擊群組所形成之經模擬圖案;Figure 7 shows the simulated pattern formed by the different shooting groups of Figure 6;

第8A圖顯示將被形成於一表面上之一群組的矩形圖案之一範例;Figure 8A shows an example of a rectangular pattern to be formed on a group on a surface;

第8B圖顯示在中程散射出現下,可如何利用習見非重疊VSB射擊被形成於一表面上之第8A圖的圖案之一範例;Figure 8B shows an example of how the pattern of Figure 8A, which is formed on a surface by a non-overlapping VSB shot, can be utilized in the presence of mid-range scattering;

第9A圖顯示可用來形成第8A圖的圖案於一表面上之一組重疊的VSB射擊之一範例;Figure 9A shows an example of a VSB shot that can be used to form a set of patterns of Figure 8A on a surface;

第9B圖顯示可從第9A圖的射擊被形成於一表面上之一圖案的一範例;Figure 9B shows an example of a pattern that can be formed on a surface from a shot of Figure 9A;

第10圖顯示如何製備一表面、諸如一標線片之概念流程圖,以供利用光學微影術用來製作一諸如積體電路等基材於一矽晶圓上;Figure 10 shows a conceptual flow diagram of how to prepare a surface, such as a reticle, for use in optical lithography to fabricate a substrate such as an integrated circuit on a wafer;

第11A圖顯示一在相同設計中合併以模型為基礎及習見斷裂之方法的概念流程圖;Figure 11A shows a conceptual flow diagram of a method of combining model-based and acquaintance fractures in the same design;

第11B圖顯示另一在相同設計中合併以模型為基礎及習見斷裂之方法的概念流程圖。Figure 11B shows a conceptual flow diagram of another method of combining model-based and occlusion fractures in the same design.

602,612‧‧‧圖案 602,612‧‧‧ pattern

604‧‧‧寬度 604‧‧‧Width

606‧‧‧線端 606‧‧‧ line end

608‧‧‧虛線 608‧‧‧dotted line

622,632,642‧‧‧射擊群組 622,632,642‧‧‧ Shooting group

624,626,634,636,638,644,646,648,650‧‧‧射擊 624,626,634,636,638,644,646,648,650‧‧ shot

628‧‧‧射擊624的寬度 628‧‧‧ Shooting width of 624

Claims (22)

一種用於光學鄰近矯正(OPC)之方法,該方法包含下列步驟:輸入一輸入圖案;輸入一組OPC指令;及使用一定形束荷電粒子束寫入器,來決定將形成一圖案於一表面上之複數個荷電粒子束射擊,(i)其中該等複數個荷電粒子束射擊中的至少兩荷電粒子束射擊係重疊,及(ii)其中該圖案係為該輸入圖案之已被更改成包含光學鄰近矯正的版本,及(iii)其中該表面上的該圖案對於製造變異之敏感度係降低,其中相較於使用非重疊正常劑量可變定形束(VSB)射擊以形成圖案而言,對於製造變異之敏感度係藉由增大邊緣斜率來降低,且其中該決定步驟係使用一或多個運算硬體處理器來執行。 A method for optical proximity correction (OPC), the method comprising the steps of: inputting an input pattern; inputting a set of OPC instructions; and using a shaped beam-charged particle beam writer to determine that a pattern is to be formed on a surface a plurality of charged particle beam shots, (i) wherein at least two of the plurality of charged particle beam shots overlap, and (ii) wherein the pattern is changed to include the input pattern a version of optical proximity correction, and (iii) wherein the pattern on the surface is less sensitive to manufacturing variations, wherein instead of using non-overlapping normal dose variable shaped beam (VSB) shots to form a pattern, The sensitivity of manufacturing variations is reduced by increasing the edge slope, and wherein the determining step is performed using one or more computing hardware processors. 如申請專利範圍第1項之方法,其中該決定步驟包含:從該等複數個荷電粒子束射擊計算該表面上的該圖案。 The method of claim 1, wherein the determining step comprises: calculating the pattern on the surface from the plurality of charged particle beam shots. 如申請專利範圍第2項之方法,其中該計算包含荷電粒子束模擬。 The method of claim 2, wherein the calculation comprises a charged particle beam simulation. 如申請專利範圍第2項之方法,進一步包含運算步驟,其運算該表面上的該經計算圖案於利用光學微影製程被轉移至基材時,是否將於該基材上形成在一預定公差內均等於供該基材之用的所期望圖案之一圖案,其中該運算步驟包含微影術模擬及蝕刻模擬中的至少一者。 The method of claim 2, further comprising an operation step of calculating whether the calculated pattern on the surface is to be formed on the substrate to a predetermined tolerance when transferred to the substrate by an optical lithography process Each is equal to one of the desired patterns for the substrate, wherein the operational step includes at least one of lithography simulation and etch simulation. 一種用於荷電粒子束微影術的斷裂(fracturing)或罩幕資料製備或罩幕製程矯正或鄰近效應矯正之方法,該方法包含下列步驟:使用一定形束荷電粒子束寫入器,來決定將形成一圖案於一表面上之複數個荷電粒子束射擊,其中該等複數個荷電粒子束射擊中之至少兩荷電粒子束射擊係部份地重疊,且其中該表面上的該圖案對於製造變異之敏感度係降低,其中該決定步驟係使用一或多個運算硬體處理器來執行,且其中相較於使用非重疊正常劑量可變定形束(VSB)射擊以形成圖案而言,對於製造變異之敏感度係藉由增大邊緣斜率來降低。 A method for fracturing or mask data preparation or mask process correction or proximity effect correction of charged particle beam lithography, the method comprising the steps of: using a shaped beam charged particle beam writer to determine Forming a plurality of charged particle beam shots on a surface, wherein at least two of the plurality of charged particle beam shots partially overlap, and wherein the pattern on the surface is for manufacturing variation The sensitivity is reduced, wherein the determining step is performed using one or more computing hardware processors, and wherein manufacturing is compared to using non-overlapping normal dose variable shaped beam (VSB) shots to form a pattern The sensitivity of the variation is reduced by increasing the edge slope. 如申請專利範圍第5項之方法,其中該決定步驟包含:從該等複數個荷電粒子束射擊計算該表面上的該圖案。 The method of claim 5, wherein the determining step comprises: calculating the pattern on the surface from the plurality of charged particle beam shots. 如申請專利範圍第6項之方法,其中該計算包含荷電粒子束模擬。 The method of claim 6, wherein the calculation comprises a charged particle beam simulation. 如申請專利範圍第7項之方法,其中該荷電粒子束模擬包括由前向散射、阻劑擴散、庫侖效應(Coulomb effect)、及蝕刻所組成之一短程效應群組中之至少一者。 The method of claim 7, wherein the charged particle beam simulation comprises at least one of a group of short-range effects consisting of forward scatter, resist diffusion, Coulomb effect, and etching. 如申請專利範圍第7項之方法,其中該表面係一極紫外光(EUV)標線片(reticle),且其中該荷電粒子束模擬包括EUV中程散射。 The method of claim 7, wherein the surface is an extreme ultraviolet (EUV) reticle, and wherein the charged particle beam simulation comprises EUV mid-range scattering. 如申請專利範圍第7項之方法,其中該荷電粒子束模擬包括由背向散射、霧化、負載及阻劑充填所組成之一長程效應群組中之至少一者。 The method of claim 7, wherein the charged particle beam simulation comprises at least one of a group of long-range effects consisting of backscattering, atomization, loading, and resist filling. 如申請專利範圍第5項之方法,其中該等複數個荷電粒子束射擊中之各荷電粒子束射擊係包含一指定劑量,且其中在長程矯正之前,該等複數個荷電粒子束射擊中之一第一荷電粒子束射擊的指定劑量係不同於該等複數個荷電粒子束射擊中之一第二荷電粒子束射擊的指定劑量。 The method of claim 5, wherein each of the plurality of charged particle beam shots comprises a specified dose, and wherein one of the plurality of charged particle beam shots before the long range correction The specified dose of the first charged particle beam shot is different from the specified dose of the second charged particle beam shot of the plurality of charged particle beam shots. 如申請專利範圍第5項之方法,其中在該決定步驟中,該表面上的該圖案係為一第一圖案,該方法進一步包含產生步驟,其產生將形成一第二圖案於該表面上的一組非重疊正常劑量可變定形束(VSB)射擊,其中該第一圖案及該第二圖案係相鄰。 The method of claim 5, wherein in the determining step, the pattern on the surface is a first pattern, the method further comprising a generating step of generating a second pattern on the surface A set of non-overlapping normal dose variable shaped beam (VSB) shots, wherein the first pattern and the second pattern are adjacent. 如申請專利範圍第12項之方法,其中來自該組非重疊VSB射擊之短程及/或長程效應係使用於該決定步驟中。 The method of claim 12, wherein the short-range and/or long-range effects from the set of non-overlapping VSB shots are used in the determining step. 如申請專利範圍第5項之方法,其中該等複數個荷電粒子束射擊中的數個荷電粒子束射擊係為可變定形束(VSB)射擊。 The method of claim 5, wherein the plurality of charged particle beam shots of the plurality of charged particle beam shots are variable shaped beam (VSB) shots. 如申請專利範圍第5項之方法,其中該等複數個荷電粒子束射擊係在單一曝光通行中被曝光。 The method of claim 5, wherein the plurality of charged particle beam shots are exposed in a single exposure pass. 如申請專利範圍第5項之方法,進一步包含下列步驟:輸入將形成該圖案於該表面上之具有正常劑量之一組非重疊可變定形束(VSB)射擊;及以該等經決定的複數個荷電粒子束射擊取代該組VSB射擊中之部分或全部VSB射擊。 The method of claim 5, further comprising the steps of: inputting a set of non-overlapping variable shaped beam (VSB) shots having a normal dose to form the pattern on the surface; and determining the plurality of A charged particle beam shot replaces some or all of the VSB shots in the set of VSB shots. 一種用於荷電粒子束微影術的斷裂或罩幕資料製備或 罩幕製程矯正或鄰近效應矯正之方法,包含下列步驟:輸入將被形成於一表面上之一所期望圖案;及使用一定形束荷電粒子束寫入器,來決定將形成該圖案於該表面上之複數個荷電粒子束射擊,其中該等複數個荷電粒子束射擊中的至少兩荷電粒子束射擊係部份重疊,且其中該等複數個荷電粒子束射擊將於該表面上形成一圖案,而該圖案比從非重疊正常劑量可變定形束(VSB)射擊所形成之圖案係更接近於該所期望圖案,其中該決定步驟係使用一或多個運算硬體處理器來執行,且其中相較於使用非重疊正常劑量VSB射擊以形成圖案而言,對於製造變異之敏感度係藉由增大邊緣斜率來降低。 A fracture or mask material preparation for charged particle beam lithography or A method of mask process correction or proximity effect correction comprising the steps of: inputting a desired pattern to be formed on a surface; and using a shaped beam-charged particle beam writer to determine that the pattern will be formed on the surface And a plurality of charged particle beam shots, wherein at least two charged particle beam shooting systems of the plurality of charged particle beam shots partially overlap, and wherein the plurality of charged particle beam shots form a pattern on the surface And the pattern is closer to the desired pattern than the pattern formed by non-overlapping normal dose variable shaped beam (VSB) shots, wherein the determining step is performed using one or more computing hardware processors, and wherein The sensitivity to manufacturing variations is reduced by increasing the edge slope compared to using non-overlapping normal dose VSB shots to form a pattern. 如申請專利範圍第17項之方法,其中該決定步驟包含:從該等複數個荷電粒子束射擊計算該表面上的該圖案。 The method of claim 17, wherein the determining step comprises: calculating the pattern on the surface from the plurality of charged particle beam shots. 如申請專利範圍第18項之方法,其中該計算包含荷電粒子束模擬。 The method of claim 18, wherein the calculation comprises a charged particle beam simulation. 一種用於荷電粒子束微影術的罩幕製程矯正之方法,該方法包含下列步驟:輸入將被形成於一標線片上之一所期望圖案;及決定用於一定形束荷電粒子束寫入器之複數個荷電粒子束射擊,其中該等複數個荷電粒子束射擊中的至少兩荷電粒子束射擊係部份重疊,其中該等複數個荷電粒子束射擊將形成該所期望圖案於該標線片上,且其中該等複數個荷電粒子束射擊係併入有罩幕製程矯正,且 其中該決定步驟係使用一或多個運算硬體處理器來執行。 A method for mask process correction for charged particle beam lithography, the method comprising the steps of: inputting a desired pattern to be formed on a reticle; and determining for writing a charged beam of a shaped beam a plurality of charged particle beam shots, wherein at least two charged particle beam firing systems of the plurality of charged particle beam shots partially overlap, wherein the plurality of charged particle beam shots form the desired pattern on the line On-chip, and wherein the plurality of charged particle beam firing systems are incorporated into a mask process correction, and The decision step is performed using one or more computing hardware processors. 一種用於荷電粒子束微影術的斷裂或罩幕資料製備或罩幕製程矯正或鄰近效應矯正之系統,包含:一裝置,其用來決定將形成一圖案於一表面上之複數個荷電粒子束射擊,其中該等複數個荷電粒子束射擊中的至少兩荷電粒子束射擊係部份地重疊,且其中該表面上的該圖案對於製造變異之敏感度係降低,且其中相較於使用非重疊正常劑量可變定形束(VSB)射擊以形成圖案而言,對於製造變異之敏感度係藉由增大邊緣斜率來降低。 A system for fracture or mask data preparation or mask process correction or proximity effect correction for charged particle beam lithography, comprising: a device for determining a plurality of charged particles that will form a pattern on a surface Beam shooting in which at least two charged particle beam firing systems of the plurality of charged particle beam shots partially overlap, and wherein the sensitivity of the pattern on the surface to manufacturing variations is reduced, and wherein The sensitivity to manufacturing variations is reduced by increasing the edge slope in terms of overlapping normal dose variable shaped beam (VSB) shots to form a pattern. 一種用於一設計之光學鄰近矯正(OPC)的系統,該設計包含待形成於一表面上的一圖案,該系統包含:用於基材之一所期望圖案;及一裝置,其用來決定複數個荷電粒子束射擊,(i)其中該等複數個荷電粒子束射擊中的至少兩荷電粒子束射擊係重疊,(ii)其中該等複數個荷電粒子束射擊將形成一圖案於該表面上,而當該圖案被使用於該光學微影製程中時將形成用於該基材的該所期望圖案,及(iii)其中該表面上的該圖案對於製造變異之敏感度係降低。 A system for optical proximity correction (OPC) of a design, the design comprising a pattern to be formed on a surface, the system comprising: a desired pattern for one of the substrates; and a device for determining a plurality of charged particle beam shots, (i) wherein at least two of the plurality of charged particle beam shots overlap, (ii) wherein the plurality of charged particle beam shots form a pattern on the surface And the desired pattern for the substrate will be formed when the pattern is used in the optical lithography process, and (iii) the sensitivity of the pattern on the surface to manufacturing variations is reduced.
TW100136720A 2010-10-13 2011-10-11 Method and system for reducing manufacturing variation using charged particle beam lithography TWI546614B (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US39247710P 2010-10-13 2010-10-13
US13/168,953 US8703389B2 (en) 2011-06-25 2011-06-25 Method and system for forming patterns with charged particle beam lithography
US13/168,954 US8473875B2 (en) 2010-10-13 2011-06-25 Method and system for forming high accuracy patterns using charged particle beam lithography

Publications (2)

Publication Number Publication Date
TW201239514A TW201239514A (en) 2012-10-01
TWI546614B true TWI546614B (en) 2016-08-21

Family

ID=47599543

Family Applications (1)

Application Number Title Priority Date Filing Date
TW100136720A TWI546614B (en) 2010-10-13 2011-10-11 Method and system for reducing manufacturing variation using charged particle beam lithography

Country Status (1)

Country Link
TW (1) TWI546614B (en)

Also Published As

Publication number Publication date
TW201239514A (en) 2012-10-01

Similar Documents

Publication Publication Date Title
US10101648B2 (en) Method and system for forming a pattern on a reticle using charged particle beam lithography
TWI605302B (en) Method for critical dimension uniformity using charged particle beam lithography
US8612901B2 (en) Method and system for forming patterns using charged particle beam lithography with multiple exposure passes
US9625809B2 (en) Method and system for forming patterns using charged particle beam lithography with variable pattern dosage
US20120217421A1 (en) Method and system for forming patterns using charged particle beam lithography with overlapping shots
US20130070222A1 (en) Method and System for Optimization of an Image on a Substrate to be Manufactured Using Optical Lithography
US9057956B2 (en) Method and system for design of enhanced edge slope patterns for charged particle beam lithography
US20120221980A1 (en) Method and system for design of enhanced accuracy patterns for charged particle beam lithography
KR20140015340A (en) Method and system for forming patterns using charged particle beam lithography
US8745549B2 (en) Method and system for forming high precision patterns using charged particle beam lithography
US9091946B2 (en) Method and system for forming non-manhattan patterns using variable shaped beam lithography
US20120221985A1 (en) Method and system for design of a surface to be manufactured using charged particle beam lithography
US9612530B2 (en) Method and system for design of enhanced edge slope patterns for charged particle beam lithography
US9323140B2 (en) Method and system for forming a pattern on a reticle using charged particle beam lithography
TWI592762B (en) Method and system for forming patterns using charged particle beam lithography
US9164372B2 (en) Method and system for forming non-manhattan patterns using variable shaped beam lithography
TWI546614B (en) Method and system for reducing manufacturing variation using charged particle beam lithography
TWI567503B (en) Method and system for design of enhanced patterns for charged particle beam lithography
US20130252143A1 (en) Method and system for design of enhanced accuracy patterns for charged particle beam lithography
WO2012118621A2 (en) Method and system for design of enhanced patterns for charged particle beam lithography