TWI454318B - Liquid handling devices, liquid handling methods and memory media - Google Patents

Liquid handling devices, liquid handling methods and memory media Download PDF

Info

Publication number
TWI454318B
TWI454318B TW099104432A TW99104432A TWI454318B TW I454318 B TWI454318 B TW I454318B TW 099104432 A TW099104432 A TW 099104432A TW 99104432 A TW99104432 A TW 99104432A TW I454318 B TWI454318 B TW I454318B
Authority
TW
Taiwan
Prior art keywords
liquid
processing
nozzle
unit
wafer
Prior art date
Application number
TW099104432A
Other languages
Chinese (zh)
Other versions
TW201100177A (en
Inventor
Yasushi Takiguchi
Koki Yoshimura
Yuichiro Miyata
Yusuke Yamamoto
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of TW201100177A publication Critical patent/TW201100177A/en
Application granted granted Critical
Publication of TWI454318B publication Critical patent/TWI454318B/en

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/3021Imagewise removal using liquid means from a wafer supported on a rotating chuck
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/3042Imagewise removal using liquid means from printing plates transported horizontally through the processing stations
    • G03F7/3057Imagewise removal using liquid means from printing plates transported horizontally through the processing stations characterised by the processing units other than the developing unit, e.g. washing units
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/70716Stages
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70908Hygiene, e.g. preventing apparatus pollution, mitigating effect of pollution or removing pollutants from apparatus
    • G03F7/70933Purge, e.g. exchanging fluid or gas to remove pollutants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/6715Apparatus for applying a liquid, a resin, an ink or the like

Description

液體處理裝置,液體處理方法及記憶媒體Liquid processing device, liquid processing method and memory medium

本發明係關於對基板供給處理液體進行液體處理之液體處理裝置、液體處理方法及記憶媒體。The present invention relates to a liquid processing apparatus, a liquid processing method, and a memory medium that perform liquid processing on a substrate supply processing liquid.

於半導體製造工程之一的光阻工程中,係對半導體晶圓(以下,稱為晶圓)的表面塗布抗蝕劑,將此抗蝕劑以特定的圖案予以曝光後,進行顯影來形成抗蝕劑圖案。此種處理,一般係使用抗蝕劑的塗布、進行顯影之塗布、於顯影裝置連接曝光裝置之系統來進行。In a photoresist engineering which is one of semiconductor manufacturing projects, a resist is applied to a surface of a semiconductor wafer (hereinafter referred to as a wafer), and the resist is exposed in a specific pattern and developed to form an anti-corrosion. Etch pattern. Such a treatment is generally carried out by using a coating of a resist, application for development, and a system in which an exposure device is connected to a developing device.

此種塗布係於顯影裝置設置有對晶圓供給處理液體來進行液體處理之液體處理模組。作為此種液體處理模組,例如有供給顯影液來進行顯影之顯影模組(顯影裝置)。顯影模組係具備包含:保持晶圓之基板保持部、及具備排液手段及排氣手段,且以包圍被保持於該基板保持部的晶圓之方式所被設置的杯體的顯影處理部。另外,在此之外,顯影模組也具備:對前述晶圓供給顯影液用之顯影液噴嘴、及使該顯影液噴嘴待機用之待機部、及於顯影液供給後,供給洗淨液之洗淨液噴嘴。Such a coating is provided in a developing device that is provided with a liquid processing module that supplies a processing liquid to a wafer for liquid processing. As such a liquid processing module, for example, a developing module (developing device) that supplies a developing solution for development is provided. The developing module includes a substrate holding portion that holds the wafer, and a development processing unit that includes a cup that is provided to surround the wafer held by the substrate holding portion, and includes a liquid discharge means and an exhaust means. . In addition, the developing module further includes a developer liquid nozzle for supplying a developer to the wafer, a standby portion for waiting for the developer liquid nozzle, and a supply of the cleaning liquid after the developer is supplied. Washing liquid nozzle.

為了謀求產出率之提升,於此顯影模組中,有做成:將前述顯影處理部複數個配置於橫方向,且於該顯影處理部的排列方向之延長線上設置前述待機部,然後,使對各杯共通的顯影液噴嘴移動於各顯影處理部的上方區域和待機部之間,來供給顯影液之構成的情形。在此情形,於對一個顯影處理部的晶圓進行顯影液的供給之間,於其他的顯影處理部中,對晶圓供給洗淨液,使基板保持部旋轉來進行旋轉乾燥。In order to increase the yield, the development module is configured such that a plurality of the development processing units are disposed in the lateral direction, and the standby unit is provided on an extension line of the arrangement direction of the development processing unit, and then The developer liquid nozzle that is common to each cup is moved between the upper region of each development processing portion and the standby portion to supply the configuration of the developer. In this case, between the supply of the developer to the wafer of one development processing unit, the cleaning solution is supplied to the wafer in the other development processing unit, and the substrate holding unit is rotated to perform spin drying.

且說在對晶圓進行了顯影液供給後,會有於顯影液噴嘴的下端附著顯影液的液滴而下垂之情形。而且在如前述般地構成顯影裝置之情形,顯影液噴嘴移動於顯影處理部間時,此液滴落在結束乾燥的晶圓上,成為微粒,有變成顯影缺陷之虞。另外,如該液滴長時間下垂於噴嘴時,液滴吸收環境中的微粒,然後該液滴混在從顯影液噴嘴被吐出的顯影液而被供給至晶圓,有導致所謂對噴嘴污染的情形。現在,抗蝕劑圖案正往微細化邁進,少許的微粒對晶圓之附著,都會有導致良率降低之虞,如此將從顯影液噴嘴下垂之液滴去除之要求變高。In addition, after the developer is supplied to the wafer, the droplet of the developer is attached to the lower end of the developer nozzle to sag. Further, in the case where the developing device is configured as described above, when the developer liquid nozzle moves between the development processing portions, the liquid droplets fall on the wafer which is finished to be dried, and become fine particles, which may become a development defect. Further, when the droplet hangs down on the nozzle for a long period of time, the droplet absorbs the fine particles in the environment, and then the droplet is mixed with the developer discharged from the developer nozzle and supplied to the wafer, which causes a so-called contamination of the nozzle. . Now, the resist pattern is moving toward miniaturization, and a small amount of particles adhere to the wafer, which causes a decrease in yield, so that the demand for droplets falling from the developer nozzle is increased.

為了進行此液滴的去除,可以考慮於顯影液噴嘴設置吸引機構,從顯影液噴嘴的吐出口進行吸引,將液滴吸入,或朝向晶圓以外的場所吐出顯影液,推動液滴之稱為虛擬塗布之處理。但是,設置前述吸引機構,成本變高,進行虛擬塗布,處理週期變長,都有導致產出降低或顯影處理的成本提高之虞。In order to remove the liquid droplets, it is conceivable to provide a suction mechanism to the developer nozzle, to suck the liquid from the discharge port of the developer nozzle, to suck the liquid droplets, or to discharge the developer toward a place other than the wafer, and to push the liquid droplets. Virtual coating treatment. However, if the suction mechanism is provided, the cost becomes high, the virtual coating is performed, and the processing cycle becomes long, which results in a decrease in output or an increase in the cost of development processing.

另外,作為對於晶圓之顯影液的供給方法,有從顯影液噴嘴對旋轉之晶圓一面吐出顯影液,一面使該顯影液噴嘴朝晶圓的直徑方向移動,於其表面形成液膜之情形。在該情形,為了抑制被吐出於晶圓W之顯影液在晶圓W上濺開而成為微粒,可以考慮顯影液噴嘴11如第18圖所示般,使其吐出口12以斜向傾斜之狀態被安裝於移動手段,藉由該移動手段,在傾斜的狀態下,使移動於晶圓W上及顯影處理部間。In addition, as a method of supplying a developing solution for a wafer, a developing solution is ejected from a developing liquid nozzle to a rotating wafer, and the developing liquid nozzle is moved in a diameter direction of the wafer to form a liquid film on the surface thereof. . In this case, in order to prevent the developer liquid discharged from the wafer W from being spattered on the wafer W to become fine particles, it is conceivable that the developer nozzle 11 is inclined obliquely as shown in FIG. The state is attached to the moving means, and the moving means moves between the wafer W and the developing processing portion in an inclined state.

但是,此種使顯影液噴嘴11傾斜的情形,於從圖中的虛線所示之吐出口12的投影區域14朝下方移動之位置,形成液滴13,如上述般,即使設置吸引機構、進行虛擬塗布,也有無法充分去除液滴13之虞。However, in the case where the developer nozzle 11 is tilted, the liquid droplets 13 are formed at a position shifted downward from the projection area 14 of the discharge port 12 indicated by a broken line in the figure, and as described above, even if a suction mechanism is provided, Virtual coating also has the effect of not being able to adequately remove the droplets 13.

雖說明了顯影裝置,但代替顯影液,針對塗布抗蝕劑等之各種處理液體之液體處理裝置,使用的處理液體和顯影液不同之外,有做成與上述說明之顯影裝置同樣的裝置構成之情形。而且,關於該液體處理裝置,也會有如此地液滴從供給處理液體之噴嘴下垂,在下垂之間,該液滴所包含的溶劑揮發,液滴中的成分之濃度改變的情形。且如此成分的濃度改變的液滴,如落於液體處理前、液體處理後之晶圓上,該液滴成為微粒而污染晶圓,使得晶圓的面內中之處理的均勻性降低,畢竟會有良率降低之虞。In addition to the developer, the liquid processing apparatus for applying various liquids such as a resist is different from the developing liquid used for the liquid processing apparatus such as a resist, and the same apparatus as that of the developing apparatus described above is used. The situation. Further, in the liquid processing apparatus, the droplets are drooped from the nozzle for supplying the processing liquid, and the solvent contained in the droplets volatilizes between the sagging, and the concentration of the components in the droplets changes. And the droplets whose concentration of the composition changes, such as falling on the wafer before the liquid treatment and after the liquid treatment, the droplets become particles and contaminate the wafer, so that the uniformity of the processing in the in-plane of the wafer is lowered, after all, There will be a drop in yield.

於專利文獻1中,記載了於一個杯體的側方位置設置液滴去除用之針。但是如上述般,針對設置複數個杯體來進行處理並無所記載,於解決前述課題方面並不充分。Patent Document 1 describes that a needle for removing liquid droplets is provided at a side position of one cup. However, as described above, the processing for providing a plurality of cups is not described, and it is not sufficient to solve the above problems.

[先前技術文獻][Previous Technical Literature] [專利文獻][Patent Literature]

[專利文獻1]日本專利特開平10-261609(段落0020等)[Patent Document 1] Japanese Patent Laid-Open No. 10-261609 (paragraph 0020, etc.)

本發明係有鑑於此種情形所完成者,其目的在於提供:於具備:具有於橫方向被配置為一列之基板保持部的複數個液體處理部;及對於此等液體處理部被共用化之處理液體噴嘴之液體處理裝置中,抑制從前述處理液體噴嘴對基板之處理液體的落下,能夠防止良率之降低的液體處理裝置、液體處理方法及記憶媒體。The present invention has been made in view of the above circumstances, and an object of the invention is to provide a plurality of liquid processing units having substrate holding portions arranged in a row in the lateral direction, and to share the liquid processing units In the liquid processing apparatus for processing the liquid nozzle, the liquid processing apparatus, the liquid processing method, and the memory medium capable of preventing the falling of the processing liquid from the processing liquid nozzle to the substrate can be prevented.

本發明之液體處理裝置,其特徵為具備:於上側形成有開口部的杯體中,設置水平地保持基板的基板保持部所構成,且於各橫方向被配置為一列之複數個液體處理部;及對於此等複數個液體處理部被共用化,對基板供給處理液體用之處理液體噴嘴;及設置於前述液體處理部之列的延長線上,使處理液體噴嘴待機用之待機部;及於前述液體處理部之各上方區域和前述待機部之間,使前述液體處理噴嘴依循液體處理部之列移動用之移動手段;及於前述杯體的開口部間,被設置於處理液體噴嘴的移動路徑的下方側,與從藉由前述移動手段被移動的處理液體噴嘴垂下的前述處理液體的液滴接觸,將該液滴從處理液體噴嘴予以去除用之液體去除部。The liquid processing apparatus of the present invention is characterized in that the cup body having the opening formed on the upper side is provided with a substrate holding portion that horizontally holds the substrate, and is disposed in a plurality of liquid processing portions arranged in a row in each lateral direction. And a processing liquid nozzle for supplying the processing liquid to the substrate, and a standby unit for waiting for the processing liquid nozzle to be disposed on the extension line of the liquid processing unit; and a moving means for moving the liquid processing nozzle in accordance with the row of the liquid processing portion between the upper portion of the liquid processing portion and the standby portion; and a movement of the processing liquid nozzle between the openings of the cup body The lower side of the path is in contact with the liquid droplet of the processing liquid dropped from the processing liquid nozzle moved by the moving means, and the liquid droplet is removed from the processing liquid nozzle.

前述處理液體噴嘴,例如於斜下方具備吐出前述處理液體之吐出口,另外,前述液體去除部,例如係進而被設置於前述待機部。然後前述液體去除部,也可以具備對處理液體噴嘴供給洗淨液用之洗淨液供給部。前述液體去除部,為了藉由毛細管現象將液滴吸收於其內部來予以去除,可以於其表面具有多數的凹部。前述處理液體例如為顯影液,前述基板為於其表面塗布有抗蝕劑而被曝光者。前述凹部係包含孔或溝。In the processing liquid nozzle, for example, a discharge port for discharging the processing liquid is provided obliquely downward, and the liquid removing portion is further provided, for example, in the standby unit. The liquid removal unit may include a cleaning liquid supply unit for supplying a cleaning liquid to the processing liquid nozzle. The liquid removing portion has a large number of concave portions on its surface in order to remove the liquid droplets by the capillary phenomenon. The processing liquid is, for example, a developing solution, and the substrate is exposed to a surface thereof with a resist applied thereto. The aforementioned recesses comprise holes or grooves.

本發明之液體處理方法,其特徵為具備:於上側形成有開口部的杯體中,設置水平地保持基板的基板保持部所構成,且從對於在各橫方向被配置為一列之複數個液體處理部被共用化之處理液體噴嘴,對前述基板供給處理液體之工程;及於設置於前述液體處理部之列的延長線上,使處理液體噴嘴待機用之待機部、及前述液體處理部之各上方區域之間,藉由移動手段,使前述液體處理噴嘴依循液體處理部之列移動之工程;及使於前述杯體的開口部間,被設置於處理液體噴嘴的移動路徑的下方側之液體去除部和從藉由前述移動手段被移動之處理液體噴嘴垂下的前述處理液體的液滴接觸之工程;及將與前述液體去除部接觸的液滴藉由該液體去除部,從處理液體噴嘴予以去除之工程。In the liquid processing method of the present invention, the cup body having the opening formed on the upper side is provided with a substrate holding portion that horizontally holds the substrate, and a plurality of liquids arranged in a row in each lateral direction a processing liquid nozzle that is shared by the processing unit, a process of supplying the processing liquid to the substrate, and a standby unit for waiting for the processing liquid nozzle and the liquid processing unit on the extension line provided in the liquid processing unit Between the upper regions, the liquid processing nozzle moves in accordance with the movement of the liquid processing portion by the moving means; and the liquid disposed on the lower side of the movement path of the processing liquid nozzle between the openings of the cup body a removal portion and a process of contacting the droplets of the treatment liquid suspended from the treatment liquid nozzle moved by the moving means; and the liquid droplets in contact with the liquid removal portion are supplied from the treatment liquid nozzle by the liquid removal portion Removal of the project.

對前述基板供給處理液體之工程,係例如從處理液體噴嘴的吐出口對斜下方供給前述處理液體之工程,另外,前述處理液體為顯影液,前述基板為於其表面塗布有抗蝕劑且被曝光者。The process of supplying the processing liquid to the substrate is, for example, a process of supplying the processing liquid obliquely downward from the discharge port of the processing liquid nozzle, and the processing liquid is a developing solution, and the substrate is coated with a resist on the surface thereof. Exposure.

一種記憶媒體,係記憶有對於基板進行液體處理之液體處理裝置所使用的電腦程式之記憶媒體,其特徵為:前述電腦程式,係用以實施上述之液體處理方法者。A memory medium is a computer program memory medium for storing a liquid processing apparatus for liquid processing a substrate, wherein the computer program is for performing the liquid processing method described above.

如依據本發明,於橫方向被配置為一列之複數個杯體之開口部間,於處理液體噴嘴的移動路徑的下方側設置有:與從藉由移動手段被移動的處理液體噴嘴垂下之前述處理液體的液滴接觸,將該液滴從處理液體噴嘴予以去除用之液體去除部。因此,處理液體噴嘴在為了對基板進行處理而移動於待機部和各液體處理部時,可以防止從處理液體噴嘴對基板上之前述液滴的落下。其結果,可以防止該落下的液滴成為微粒,使基板的處理之面內均勻性降低,能夠抑制良率的降低。According to the present invention, between the openings of the plurality of cups arranged in a row in the lateral direction, the lower side of the movement path of the processing liquid nozzle is provided with the aforementioned hanging from the processing liquid nozzle moved by the moving means The droplets of the treatment liquid are contacted, and the liquid droplets are removed from the treatment liquid nozzle. Therefore, when the processing liquid nozzle moves to the standby portion and each of the liquid processing portions in order to process the substrate, it is possible to prevent the liquid droplets from falling onto the substrate from the processing liquid nozzle. As a result, it is possible to prevent the dropped droplets from becoming fine particles, and to reduce the in-plane uniformity of the processing of the substrate, and to suppress a decrease in the yield.

關於本發明之液體處理裝置之一例的顯影裝置2,一面參照其概略構成圖之第1圖一面做說明。顯影裝置2係具備:3個液體處理部之顯影處理部21a、21b、21c、及複合噴嘴部4a~4c、及作為處理液體噴嘴的顯影液噴嘴6。The developing device 2 which is an example of the liquid processing apparatus of the present invention will be described with reference to the first drawing of the schematic configuration. The developing device 2 includes development processing units 21a, 21b, and 21c of three liquid processing units, and composite nozzle portions 4a to 4c, and a developing solution nozzle 6 as a processing liquid nozzle.

顯影處理部21a~21c係於各橫方向被配置為一列。各顯影處理部21a~21c係各同樣地構成,此處,以顯影處理部21a為例做說明。顯影處理部21a係具備個別吸附晶圓W的背面中央部予以水平地保持之基板保持部之旋轉夾頭22a,旋轉夾頭22a係介由旋轉軸23a而與旋轉驅動機構24a連接。旋轉夾頭22a係介由旋轉驅動機構24a而在保持晶圓W之狀態下,可於垂直軸周圍旋轉自如地構成,以晶圓W的中心位於該旋轉軸上之方式被設定。旋轉驅動機構24a係接受來自後述的控制部100的控制訊號,來控制旋轉夾頭22a的旋轉速度。The development processing units 21a to 21c are arranged in a row in each lateral direction. Each of the development processing units 21a to 21c is configured in the same manner. Here, the development processing unit 21a will be described as an example. The development processing unit 21a includes a rotary chuck 22a for holding the substrate holding portion horizontally held at the center of the back surface of the wafer W, and the rotary chuck 22a is connected to the rotary drive mechanism 24a via the rotary shaft 23a. The rotary chuck 22a is rotatably formed around the vertical axis while holding the wafer W via the rotary drive mechanism 24a, and is set such that the center of the wafer W is positioned on the rotary shaft. The rotation drive mechanism 24a receives a control signal from a control unit 100, which will be described later, to control the rotation speed of the rotary chuck 22a.

旋轉夾頭22a的周圍設置有包圍旋轉夾頭22a上的晶圓W,且於上方側具有開口部30a之杯體31a,杯體31a的側周面上端側形成有向內側傾斜的傾斜部32a。於杯體31a的底部側,如第1圖所示般,例如設置有成為凹部狀的液體接受部33a。液體接受部33a係藉由未圖示出之隔壁,於晶圓W的周緣下方側涵蓋整周而被區分為外側區域與內側區域。於外側區域的底部設置有將儲存的顯影液等之排水予以排出用之未圖示出的廢液口,於內側區域的底部設置有將處理空氣予以排氣用之排氣口34a、34a。A cup body 31a that surrounds the wafer W on the spin chuck 22a and has an opening 30a on the upper side is provided around the spin chuck 22a, and an inclined portion 32a that is inclined inward is formed on the side surface side of the cup body 31a. . On the bottom side of the cup body 31a, as shown in Fig. 1, for example, a liquid receiving portion 33a which is a recessed portion is provided. The liquid receiving portion 33a is divided into an outer region and an inner region by covering the entire circumference on the lower side of the periphery of the wafer W by a partition wall (not shown). An exhaust port (not shown) for discharging the stored developer or the like is provided at the bottom of the outer region, and exhaust ports 34a and 34a for exhausting the process air are provided at the bottom of the inner region.

於排氣口34a、34a連接有排氣管35a之一端,排氣管35a之另一端則介由排氣擋板36a而與顯影處理部21b及21c的排氣管35b、35c合流,例如被連接於設置有顯影裝置2之工廠的排氣管路。排氣擋板36a係接受來自控 制部100之控制訊號來控制杯體31a內的排氣量。One end of the exhaust pipe 35a is connected to the exhaust ports 34a and 34a, and the other end of the exhaust pipe 35a is merged with the exhaust pipes 35b and 35c of the development processing units 21b and 21c via the exhaust baffle 36a, for example, It is connected to an exhaust line of a factory provided with the developing device 2. The exhaust baffle 36a is controlled by the control The control signal of the part 100 controls the amount of exhaust in the cup 31a.

第2圖、第3圖係分別模型地表示實際構成第1圖的顯影裝置2之斜視圖、上視圖。圖中25a係可以升降自如地構成之升降銷,於杯體31a內設置有3根。因應對顯影裝置2搬運晶圓W之未圖示出的基板搬運手段的動作,升降銷25a進行升降,晶圓W於該基板搬運手段和旋轉夾頭22a之間被交付。Figs. 2 and 3 are a perspective view and a top view, respectively, schematically showing the developing device 2 of the first configuration. In the figure, 25a is a lifting pin which can be freely raised and lowered, and three are provided in the cup 31a. In response to the operation of the substrate transporting means (not shown) for transporting the wafer W by the developing device 2, the lift pins 25a are moved up and down, and the wafer W is delivered between the substrate transporting means and the rotary chuck 22a.

關於顯影處理部21b之各部分,針對對應顯影處理部21a的各部分之部分,使用和在顯影處理部21a的說明所使用的數字相同的數字,且代替a而賦予b來表示於各圖中。另外,關於顯影處理部21c之各部分,針對對應顯影處理部21a的各部分之部分,使用和在顯影處理部21a的說明所使用的數字相同的數字,且代替a而賦予c來表示於各圖中。In the respective portions of the development processing unit 21b, the same reference numerals as those used in the description of the development processing unit 21a are used for the respective portions of the development processing unit 21a, and b is given instead of a, and is shown in each figure. . In the respective portions of the development processing unit 21c, the same reference numerals as those used in the description of the development processing unit 21a are used for the respective portions of the development processing unit 21a, and c is indicated instead of a. In the picture.

接著,說明複合噴嘴部4a、4b、4c。此等複合噴嘴部4a、4b、4c係構成為分別對顯影處理部21a、21b、21c的晶圓W供給純水及N2 (氮氣),各複合噴嘴部4a~4c係同樣地構成。此處做為代表,以複合噴嘴部4a為例來說明。複合噴嘴部4a係分別具有純水噴嘴41a及N2 氣體噴嘴42a,此等各噴嘴41a、42a係於晶圓W的直徑方向相互連接,各噴嘴41a、42a例如分別具有分別於垂直下方開口的圓形的細孔之吐出口。Next, the composite nozzle portions 4a, 4b, and 4c will be described. The composite nozzle portions 4a, 4b, and 4c are configured to supply pure water and N 2 (nitrogen gas) to the wafers W of the development processing units 21a, 21b, and 21c, respectively, and the composite nozzle portions 4a to 4c are configured in the same manner. Here, as a representative, the composite nozzle portion 4a will be described as an example. The composite nozzle portion 4a has a pure water nozzle 41a and an N 2 gas nozzle 42a, and the nozzles 41a and 42a are connected to each other in the radial direction of the wafer W, and each of the nozzles 41a and 42a has, for example, a vertically lower opening. The spit of the round pores.

如第1圖所示般,作為處理液體噴嘴的純水噴嘴41a係介由供給管路43而與儲存純水之純水供給源5B連接,N2 氣體噴嘴42a則介由供給管路44而與儲存N2 氣體的N2 氣體供給源5C連接。純水係在對晶圓W供給顯影液之前,為了提高該顯影液的濕潤性所被供給之進行預濕處理用的表面處理液,而且也是在顯影後,將不要的顯影液予以清洗之清洗液。N2 氣體係為了使晶圓W乾燥,對該晶圓W所噴吹的乾燥用氣體,於此例子中,在藉由旋轉夾頭22a~22c之旋轉的液體的甩開外,基於此N2 氣體的供給,洗淨後的晶圓W得以被乾燥。As shown in Fig. 1, the pure water nozzle 41a as the processing liquid nozzle is connected to the pure water supply source 5B storing the pure water via the supply line 43, and the N 2 gas nozzle 42a is passed through the supply line 44. It is connected to an N 2 gas supply source 5C that stores N 2 gas. The pure water is a surface treatment liquid for pre-wetting treatment which is supplied to improve the wettability of the developer before the developer is supplied to the wafer W, and is also cleaned after the development, and the unnecessary developer is cleaned. liquid. In order to dry the wafer W, the drying gas to be sprayed on the wafer W in the N 2 gas system is based on the N in the example of the liquid which is rotated by the rotating chucks 22a to 22c. 2 The supply of gas, the washed wafer W is dried.

於供給管路43a、44a分別介設有流量控制部45a、46a。各流量控制部45a及46a係包含閥門或質量流控制器等,依據來自控制部100的控制訊號,控制從各噴嘴41a、42a對晶圓W之各純水及氣體的供給、切斷。Flow control units 45a and 46a are interposed in the supply lines 43a and 44a, respectively. Each of the flow rate control units 45a and 46a includes a valve, a mass flow controller, and the like, and controls supply and cutting of the pure water and gas to the wafer W from the respective nozzles 41a and 42a in accordance with a control signal from the control unit 100.

如第2圖及第3圖所示般,於複合噴嘴部4a連接有支撐該複合噴嘴部4a之臂體47a的一端,臂體47a的另一端係被連接於移動手段之驅動機構48a。驅動機構48a係於沿著顯影處理部21a~21c的排列方向所形成的基台37上,沿著在該排列方向深長之導軌49a移動,另外,介由臂體47a而使複合噴嘴部4a升降。基於藉由此驅動機構48a的移動及驅動機構48a的升降,純水噴嘴41a、N2 氣體噴嘴42a的吐出口移動至被載置於旋轉夾頭22a之晶圓W的中心部上,可將純水、N2 氣體分別供給至晶圓W的中心。驅動機構48a的動作,係接受來自控制部100的控制訊號而被控制。As shown in FIGS. 2 and 3, one end of the arm body 47a supporting the composite nozzle portion 4a is connected to the composite nozzle portion 4a, and the other end of the arm body 47a is connected to the driving mechanism 48a of the moving means. The drive mechanism 48a is moved along the base 37 formed along the direction in which the development processing units 21a to 21c are arranged, and moves along the guide rail 49a that is deep in the arrangement direction, and the composite nozzle portion 4a is lifted and lowered via the arm body 47a. . The discharge port of the pure water nozzle 41a and the N 2 gas nozzle 42a is moved to the center portion of the wafer W placed on the rotary chuck 22a by the movement of the drive mechanism 48a and the movement of the drive mechanism 48a. Pure water and N 2 gas are supplied to the center of the wafer W, respectively. The operation of the drive mechanism 48a is controlled by receiving a control signal from the control unit 100.

與顯影處理部的圖示相同,針對複合噴嘴部4b、4c中之和複合噴嘴部4a相同地構成的各部分,使用和複合噴嘴部的說明所使用的符號相同的數字之符號,且將符號中的a分別變更為b或c來表示。Similarly to the illustration of the development processing unit, the same reference numerals as those used in the description of the composite nozzle unit are used for the respective portions of the composite nozzle portions 4b and 4c that are identical to the composite nozzle portion 4a, and the symbols are used. The a in the change to b or c respectively.

於各顯影處理部21a~21c之側方分別設置有上側開口的杯狀之噴嘴待機部51a~51c,該待機部51a~51c的內部係構成為複合噴嘴部4a~4c的待機區域52a~52c。而且複合噴嘴部4a~4c在不對晶圓W進行處理時,分別被收容於此等待機區域52a~52c。Cup-shaped nozzle standby portions 51a to 51c that are open at the upper side are provided on the side of each of the development processing units 21a to 21c, and the inside of the standby portions 51a to 51c are configured as standby areas 52a to 52c of the composite nozzle portions 4a to 4c. . Further, the composite nozzle portions 4a to 4c are housed in the waiting machine regions 52a to 52c, respectively, when the wafer W is not processed.

接著,針對處理液噴嘴之顯影液噴嘴6,也參照第4圖、第5(a)、(b)圖來做說明。顯影液噴嘴6係於其下端面具備沿著該顯影液噴嘴6的移動方向開口為扁平縫隙狀的吐出口61,該吐出口61的長度方向係與晶圓W的直徑及顯影液噴嘴6的移動方向並行。另外,如第5(a)圖所示般,吐出口61係斜向地形成。Next, the developer liquid nozzle 6 for the processing liquid nozzle will be described with reference to Figs. 4 and 5(a) and 5(b). The developer nozzle 6 has a discharge port 61 having a flat slit shape along the movement direction of the developer nozzle 6 on the lower end surface thereof, and the length of the discharge port 61 is the diameter of the wafer W and the developer nozzle 6 The direction of movement is parallel. Further, as shown in Fig. 5(a), the discharge port 61 is formed obliquely.

如第1圖所示般,於顯影液噴嘴6連接有顯影液供給管路62的一端。顯影液供給管路62之另一端係介由包含閥門或質量流控制器等之流量控制部63而被連接於顯影液供給源5A,依循來自控制部100的控制訊號,流量控制部63控制從顯影液噴嘴6對晶圓W的顯影液的供給、切斷。As shown in Fig. 1, one end of the developer supply line 62 is connected to the developer nozzle 6. The other end of the developer supply line 62 is connected to the developer supply source 5A via a flow rate control unit 63 including a valve or a mass flow controller, and follows the control signal from the control unit 100, and the flow rate control unit 63 controls the slave The developer nozzle 6 supplies and cuts the developer of the wafer W.

如第2圖及第4圖所示般,顯影液噴嘴6係連接於臂體64的一端而被支撐著,臂體64的另一端則被連接於設置在基台37上之驅動機構65。驅動機構65係沿著以在顯影處理部21a~21c的排列方向延伸之方式而被設置於基台37之導軌60,可以在橫方向移動而構成。另外,驅動機構65係介由臂體64而可以使顯影液噴嘴6升降。驅動機構65的動作,係接受來自控制部100的控制訊號而被控制。As shown in FIGS. 2 and 4, the developer nozzle 6 is connected to one end of the arm body 64 and supported, and the other end of the arm body 64 is connected to a drive mechanism 65 provided on the base 37. The drive mechanism 65 is configured to be movable in the lateral direction along the guide rail 60 that is provided on the base 37 so as to extend in the arrangement direction of the development processing units 21a to 21c. Further, the drive mechanism 65 can raise and lower the developer nozzle 6 via the arm body 64. The operation of the drive mechanism 65 is controlled by receiving a control signal from the control unit 100.

顯影液噴嘴6係藉由該驅動機構65而在顯影處理部21a~21c的上方區域和後述的待機部66之間移動。另外,如第6圖所示般,顯影液噴嘴6係一面沿著被搬入各顯影處理部21a~21c之晶圓W的旋轉方向,斜向地以帶狀供給顯影液,一面如箭頭方向所示般,從該晶圓W的周緣部朝向中心部移動,可以在晶圓W的表面整體形成顯影液L的液膜。The developer nozzle 6 is moved between the upper region of the development processing units 21a to 21c and the standby unit 66, which will be described later, by the drive mechanism 65. Further, as shown in Fig. 6, the developer liquid nozzle 6 supplies the developer in a strip shape obliquely along the rotation direction of the wafer W carried in each of the development processing units 21a to 21c, as indicated by the direction of the arrow. Generally, the liquid film of the developer L can be formed on the entire surface of the wafer W by moving from the peripheral portion of the wafer W toward the center portion.

於顯影處理部21a的杯體31a與顯影處理部21b的杯體31b之間,於待機部52b的上方位置設置有液體去除部7A。另外,於顯影處理部21b的杯體31b與顯影處理部21c的杯體31c之間,於待機部52c的上方位置設置有液體去除部7B。此等液體去除部7A、7B係設置於朝顯影液噴嘴6的橫方向之移動管路的下方側。A liquid removal unit 7A is provided between the cup 31a of the development processing unit 21a and the cup 31b of the development processing unit 21b at a position above the standby unit 52b. Further, between the cup 31b of the development processing unit 21b and the cup 31c of the development processing unit 21c, a liquid removal unit 7B is provided above the standby unit 52c. These liquid removing portions 7A and 7B are provided on the lower side of the moving pipe in the lateral direction of the developing solution nozzle 6.

液體去除部7A、7B為相互同樣地構成,使用第5(a)圖及第7(a)圖來說明。如此等圖所示般,液體去除部7A、7B係具備:水平地設置之基部71、及從此基部71斜向延伸之板狀的液體接受部72,該液體接受部72的前端係和顯影液噴嘴6之橫方向的移動方向並行,且與如此朝橫方向移動之顯影液噴嘴6的下端接近而形成。此等液體去除部7A、7B為了有效率地從顯影液噴嘴6去除顯影液的液滴,例如藉由具有高的親水性之多孔質的陶瓷所構成,藉由毛細管現象將液滴吸收於其內部來去除。The liquid removing portions 7A and 7B are configured in the same manner as each other, and will be described using Figs. 5(a) and 7(a). As shown in the figures, the liquid removing portions 7A and 7B include a base portion 71 that is horizontally provided, and a plate-shaped liquid receiving portion 72 that extends obliquely from the base portion 71. The front end of the liquid receiving portion 72 and the developer The moving direction of the nozzle 6 in the lateral direction is parallel, and is formed close to the lower end of the developing solution nozzle 6 that moves in the lateral direction. The liquid removing portions 7A and 7B are configured to efficiently remove droplets of the developing solution from the developing solution nozzle 6, for example, by a porous ceramic having high hydrophilicity, and absorb the liquid droplets by capillary action. Internal to remove.

第7(a)圖~第7(b)圖係表示顯影液噴嘴6往橫方向移動時之樣子圖,顯影液噴嘴6移動時,液體去除部7A及7B接近顯影液噴嘴6,和下垂在顯影液噴嘴6的下端所形成的液滴D接觸,將此液滴D吸進其內部而予以去除。下垂在顯影液噴嘴6的下端所形成的顯影液的液滴D,在重複進行顯影處理的過程中,由於對於其下端的顯影液的蓄積而逐漸變大,成為特定的大小時,基於重力,會從顯影液噴嘴6落下,如能在其落下前予以去除即可,第5(a)圖中的顯影液噴嘴6之下端和液體去除部7A的距離h1,可因應此液滴D落下時之大小來任意地設定,例如為1.5mm。7(a) to 7(b) are diagrams showing a state in which the developer nozzle 6 is moved in the lateral direction. When the developer nozzle 6 is moved, the liquid removing portions 7A and 7B are close to the developer nozzle 6, and sagging The droplet D formed at the lower end of the developer nozzle 6 is brought into contact, and the droplet D is sucked into the inside to be removed. The droplet D of the developer liquid which is formed at the lower end of the developer nozzle 6 is gradually enlarged by the accumulation of the developer at the lower end thereof during the development process, and is a specific size, based on gravity. It may fall from the developer nozzle 6, and if it can be removed before it falls, the distance h1 between the lower end of the developer nozzle 6 and the liquid removing portion 7A in Fig. 5(a) can be determined in response to the drop D. The size is arbitrarily set, for example, 1.5 mm.

於基台37中,於顯影處理部21a~21c的排列方向之延長線上設置有形成在上側開口之杯狀的待機部66,該待機部66的內部係構成為顯影液噴嘴6的待機區域67。顯影液噴嘴6在不對晶圓W進行處理時,被收容於此待機區域67,於進行顯影處理時,介由前述驅動機構65而從該待機區域67朝各顯影處理部21a~21c移動。In the base 37, a cup-shaped standby portion 66 formed on the upper side is provided on an extension line of the arrangement direction of the development processing portions 21a to 21c, and the inside of the standby portion 66 is configured as a standby region 67 of the developer liquid nozzle 6. . When the wafer W is not processed, the developer nozzle 6 is accommodated in the standby area 67, and is moved from the standby area 67 to the development processing units 21a to 21c via the drive mechanism 65 during the development processing.

於待機區域67設置有液體去除部7C。如第4圖所示般,液體去除部7C係和液體去除部7A、7B同樣地構成,顯影液噴嘴6被收容於待機部66時,液體接受部72的前端接近顯影液噴嘴6的吐出口62,另外,吐出口62的長度方向和此前端為平行地設置。第8(a)圖~(d)圖係表示顯影液噴嘴6被收容於待機部6,液體去除部7C吸收從顯影液噴嘴6下垂的顯影液的液滴D,並予以去除之樣子。被收容於第8(d)圖所示之待機部6時之顯影液噴嘴6的下端和液體去除部7C的距離h2,係因應此液滴D落下時之大小而被任意地設定,例如為1.5mm。A liquid removal unit 7C is provided in the standby area 67. As shown in Fig. 4, the liquid removing portion 7C is configured similarly to the liquid removing portions 7A and 7B, and when the developing solution nozzle 6 is housed in the standby portion 66, the tip end of the liquid receiving portion 72 approaches the discharge port of the developing solution nozzle 6. 62. Further, the longitudinal direction of the discharge port 62 is provided in parallel with the front end. 8( a) to (d) show that the developer nozzle 6 is housed in the standby unit 6 , and the liquid removal unit 7C absorbs the droplet D of the developer drooping from the developer nozzle 6 and removes it. The distance h2 between the lower end of the developer liquid nozzle 6 and the liquid removal portion 7C when accommodated in the standby unit 6 shown in Fig. 8(d) is arbitrarily set in accordance with the size of the droplet D when it is dropped, for example, 1.5mm.

接著,說明控制部100。控制部100例如係由電腦構成,具有未圖示出的程式儲存部。於此程式儲存部儲存有以進行於後述的作用中說明的顯影處理之方式而編入有命令之例如軟體所形成的程式,藉由此程式被讀出於控制部100,控制部100控制晶圓的旋轉速度、各噴嘴的移動、對於晶圓之顯影液、純水及N2 氣體的供給等。此程式例如係在被收容於硬碟、緻密光碟、磁性光碟或記憶卡等之記憶媒體的狀態下被儲存於程式儲存部。Next, the control unit 100 will be described. The control unit 100 is constituted by, for example, a computer, and has a program storage unit (not shown). The program storage unit stores a program such as a software that is programmed with a command to perform a development process described later, and the program is read by the control unit 100, and the control unit 100 controls the wafer. The rotation speed, the movement of each nozzle, the supply of developing solution for the wafer, pure water, and N 2 gas. The program is stored in the program storage unit, for example, in a state of being stored in a memory medium such as a hard disk, a compact disk, a magnetic disk, or a memory card.

接著,晶圓W依序被搬入此顯影裝置2時,針對所被進行的處理之一例,一面參照第9~第12圖一面進行說明。晶圓W例如係藉由未圖示出的基板搬運手段依顯影處理部21a、21b、21c的順序被搬入,另外,於各晶圓W的表面塗布有抗蝕劑,該抗蝕劑細微接受特定的曝光處理者。另外,為了方便,將被搬入顯影處理部21a、21b、21c之晶圓W分別為了方便,記載為晶圓W1、W2、W3。Next, when the wafer W is sequentially loaded into the developing device 2, an example of the processing to be performed will be described with reference to the ninth to twelfth drawings. The wafer W is carried in, for example, in the order of the development processing units 21a, 21b, and 21c by a substrate transfer means (not shown), and a resist is applied to the surface of each wafer W, and the resist is finely received. Specific exposure handler. Moreover, for convenience, the wafers W loaded into the development processing sections 21a, 21b, and 21c are described as wafers W1, W2, and W3, respectively.

另外,如已經敘述般,每次重複進行顯影處理時,顯影液滯留於顯影液噴嘴6的下端,附著於該噴嘴6之液滴D變大。然後液滴D成為特定的大小時,以可以藉由液體去除部7A~7C來去除之方式調整顯影液噴嘴6與各液體去除部的距離即可,於下述的說明中,為了明確地表示顯影液的液滴D被去除的樣子,在說明的方便上,每次此液滴D在對晶圓W進行處理時,下垂於顯影液噴嘴6,每次進行處理時,以該液滴D被去除之方式,來調整液體去除部7A~7C的位置。Further, as described above, each time the development processing is repeated, the developer stagnate at the lower end of the developer nozzle 6, and the droplet D adhering to the nozzle 6 becomes large. When the droplet D has a specific size, the distance between the developer nozzle 6 and each of the liquid removing portions can be adjusted so as to be removed by the liquid removing portions 7A to 7C. In the following description, in order to clearly show The droplet D of the developer is removed, and for the convenience of explanation, each time the droplet D is processed on the wafer W, it hangs down on the developer nozzle 6, and each time the treatment is performed, the droplet D is used. The position of the liquid removing portions 7A to 7C is adjusted in such a manner as to be removed.

如第9(a)圖所示般,在處理開始前,顯影液噴嘴6、複合噴嘴部4a~4c分別被收容於待機部66、51a~51c。各杯體31a~31c內的排氣量成為特定的排氣量,晶圓W1被交付至顯影處理部21a的旋轉夾頭22a,以特定的旋轉數一同旋轉時之同時,複合噴嘴部4a移動至晶圓W1上,純水噴嘴41a對晶圓W1的中心部吐出純水F。所被吐出的純水F則藉由離心力,往周緣部伸展,藉由所謂的旋轉塗布而覆蓋晶圓W1,進行已經敘述的預濕(第9(b)圖)。As shown in Fig. 9(a), before the start of the process, the developer nozzle 6 and the composite nozzle portions 4a to 4c are housed in the standby portions 66, 51a to 51c, respectively. The amount of exhaust gas in each of the cups 31a to 31c is a specific amount of exhaust gas, and the wafer W1 is delivered to the rotary chuck 22a of the development processing unit 21a, and the composite nozzle portion 4a is simultaneously rotated by a specific number of rotations. Moving to the wafer W1, the pure water nozzle 41a discharges the pure water F to the center of the wafer W1. The pure water F to be discharged is extended to the peripheral portion by centrifugal force, and the wafer W1 is covered by so-called spin coating to perform the pre-wet described above (Fig. 9(b)).

純水F的供給停止,複合噴嘴部4a朝晶圓W1的周緣部側移動之同時,顯影液噴嘴6從待機部66朝晶圓W1的周緣部上移動。然後,顯影液噴嘴6一面供給顯影液L,一面朝晶圓W1的中心部上移動(第9(c)圖),晶圓W1的表面整體被顯影液L所覆蓋。之後,從顯影液噴嘴6之顯影液L的吐出停止,顯影液噴嘴6回到待機部66(第9(d)圖)。When the supply of the pure water F is stopped, the composite nozzle portion 4a moves toward the peripheral portion side of the wafer W1, and the developer liquid nozzle 6 moves from the standby portion 66 toward the peripheral portion of the wafer W1. Then, the developer liquid nozzle 6 is moved toward the center portion of the wafer W1 while supplying the developer liquid L (Fig. 9(c)), and the entire surface of the wafer W1 is covered with the developer L. Thereafter, the discharge of the developer L from the developer nozzle 6 is stopped, and the developer nozzle 6 is returned to the standby unit 66 (Fig. 9(d)).

顯影液噴嘴6被收容於待機部66內的待機區域67,如第8(a)圖~(d)圖所示般,顯影液噴嘴6的下端接近液體去除部7C,由其下端下垂的液滴D與液體去除部7C接觸,被該液體去除部7C所吸收而去除。另外,複合噴嘴部4a移動至晶圓W1的中心部上,純水F被供給至晶圓W1的中心部上,晶圓W1表面的顯影液L被洗去。另一方面,晶圓W2被交付至基板處理部21b的旋轉夾頭22b(第9(e)圖)。The developing solution nozzle 6 is housed in the standby area 67 in the standby unit 66. As shown in Figs. 8(a) to 8(d), the lower end of the developing solution nozzle 6 is close to the liquid removing portion 7C, and the liquid falling from the lower end thereof is liquid. The droplet D comes into contact with the liquid removing portion 7C, and is absorbed by the liquid removing portion 7C to be removed. Further, the composite nozzle portion 4a is moved to the center portion of the wafer W1, and the pure water F is supplied to the center portion of the wafer W1, and the developer L on the surface of the wafer W1 is washed away. On the other hand, the wafer W2 is delivered to the spin chuck 22b of the substrate processing unit 21b (Fig. 9(e)).

之後,晶圓W2以特定的旋轉數旋轉,複合噴嘴部4b從待機部51b移動至晶圓W2上,作為處理液體噴嘴的純水噴嘴41b對晶圓W2的中心部上吐出純水F,晶圓W2的表面被純水F所覆蓋之同時,顯影液噴嘴6朝晶圓W2的周緣部上移動。另一方面,從純水噴嘴41a對晶圓W1之純水F的供給停止(第10(a)圖)。Thereafter, the wafer W2 is rotated by a specific number of rotations, and the composite nozzle portion 4b is moved from the standby portion 51b to the wafer W2, and the pure water nozzle 41b as the processing liquid nozzle discharges pure water F to the center portion of the wafer W2. While the surface of the circle W2 is covered with the pure water F, the developer nozzle 6 moves toward the peripheral edge portion of the wafer W2. On the other hand, the supply of the pure water F of the wafer W1 from the pure water nozzle 41a is stopped (Fig. 10(a)).

N2 氣體噴嘴42a移動至晶圓W1的中心部上,N2 氣體被吐出於晶圓W1,藉由旋轉之純水的甩開及此氣體供給的相乘作用,晶圓W1被乾燥。另一方面,從純水噴嘴41b對於晶圓W2的純水F之吐出停止,複合噴嘴4b往晶圓W2的周緣部上移動,顯影液噴嘴6一面吐出顯影液L,一面從晶圓W2的周緣部上往中心部上移動(第10(b)圖),晶圓W2的表面整體被顯影液L所覆蓋。The N 2 gas nozzle 42a moves to the center portion of the wafer W1, and the N 2 gas is discharged from the wafer W1, and the wafer W1 is dried by the splitting of the rotating pure water and the multiplication of the gas supply. On the other hand, the discharge of the pure water F from the pure water nozzle 41b to the wafer W2 is stopped, the composite nozzle 4b is moved to the peripheral edge portion of the wafer W2, and the developer liquid nozzle 6 discharges the developer L while being irradiated from the wafer W2. The peripheral portion moves up to the center portion (Fig. 10(b)), and the entire surface of the wafer W2 is covered with the developer L.

之後,前述N2 氣體對於晶圓W1之吐出停止的同時,晶圓W1的旋轉也停止,複合噴嘴部4a回到待機部51a,另一方面,對於晶圓W2之顯影液L的供給停止。接著,顯影液噴嘴6如圖中箭頭方向所示般,通過液體去除部7A的上方,如第7(a)圖~(d)圖說明般,下垂在顯影液噴嘴6的下端之液滴D和液體去除部7A接觸,被吸收而去除(第10(c)、(d)圖),顯影液噴嘴6通過晶圓W1的上 方而回到待機部66(第10(e)圖)。另外,複合噴嘴部4b移動至晶圓W2的中心部上,將純水F供給至晶圓W2,晶圓W2表面的顯影液L被洗去。另一方面,晶圓W2被交付至顯影處理部21c的旋轉夾頭22c(第11(a)圖)。Thereafter, the discharge of the wafer W1 by the N 2 gas is stopped, the rotation of the wafer W1 is also stopped, and the composite nozzle portion 4a is returned to the standby portion 51a, while the supply of the developer L to the wafer W2 is stopped. Next, the developing solution nozzle 6 passes through the upper side of the liquid removing portion 7A as shown by the direction of the arrow in the figure, and as shown in the seventh (a) to (d), the liquid droplet D that hangs down the lower end of the developing solution nozzle 6 The liquid removing portion 7A is in contact with the liquid removing portion 7A, and is absorbed and removed (Fig. 10(c) and (d)), and the developing solution nozzle 6 passes over the wafer W1 and returns to the standby portion 66 (Fig. 10(e)). Further, the composite nozzle portion 4b is moved to the center portion of the wafer W2, and the pure water F is supplied to the wafer W2, and the developer L on the surface of the wafer W2 is washed away. On the other hand, the wafer W2 is delivered to the rotary chuck 22c of the development processing unit 21c (Fig. 11(a)).

接著,晶圓W3以特定的旋轉數旋轉,複合噴嘴部4c從待機部51c移動至晶圓W3上,純水噴嘴42c對晶圓W3的中心部上吐出純水F,藉由旋轉塗布,晶圓W3的表面被純水F所覆蓋的同時,顯影液噴嘴6朝晶圓W3的周緣部上移動。另外,另一方面,來自純水噴嘴41b的純水F之供給停止,N2 氣體噴嘴42b移動至晶圓W2的中心部上(第11(b)圖)。Next, the wafer W3 is rotated by a specific number of rotations, the composite nozzle portion 4c is moved from the standby portion 51c to the wafer W3, and the pure water nozzle 42c discharges pure water F to the center portion of the wafer W3, and is spin-coated. While the surface of the circle W3 is covered with the pure water F, the developer nozzle 6 moves toward the peripheral portion of the wafer W3. On the other hand, the supply of the pure water F from the pure water nozzle 41b is stopped, and the N 2 gas nozzle 42b is moved to the center portion of the wafer W2 (Fig. 11(b)).

然後,N2 氣體被吐出於晶圓W2的中心部上,晶圓W2被乾燥之另一方面,來自作為處理液體噴嘴的純水噴嘴41c之純水F的吐出停止,複合噴嘴部4c朝晶圓W3的周緣部上移動。然後,顯影液噴嘴6一面吐出顯影液L,一面從晶圓W3的周緣部上朝中心部上移動(第11(c)圖),晶圓W3的表面整體被顯影液L所覆蓋。Then, the N 2 gas is discharged from the center portion of the wafer W2, and the wafer W2 is dried. On the other hand, the discharge from the pure water F of the pure water nozzle 41c as the processing liquid nozzle is stopped, and the composite nozzle portion 4c is crystallized. Moves on the peripheral portion of the circle W3. Then, the developer liquid nozzle 6 is moved from the peripheral edge portion of the wafer W3 toward the center portion while discharging the developer liquid L (Fig. 11(c)), and the entire surface of the wafer W3 is covered with the developer L.

之後,前述N2 氣體對於晶圓W2之吐出停止的同時,晶圓W2的旋轉也停止,複合噴嘴部4b回到待機部51b之另一方面,對於晶圓W3之顯影液L的供給也停止。然後,顯影液噴嘴6如圖中箭頭方向所示般,通過液體去除部7B的上方,如以第7圖說明般,下垂在顯影液噴嘴6的下端之液滴D和液體去除部7B接觸,被吸收而去除(第11(d)、(e)圖)。之後,顯影液噴嘴6通過顯影處理部21b、21a的上方而回到待機部66(第12(a)圖)。另外,複合噴嘴部4c移動至晶圓W3的中心部上,純水F被供給至晶圓W3的中心部上,晶圓W3表面的顯影液L被洗去(第12(b)圖)。Thereafter, the discharge of the wafer W2 is stopped while the discharge of the N 2 gas is stopped, and the rotation of the wafer W2 is also stopped, and the composite nozzle portion 4b is returned to the standby portion 51b, and the supply of the developer L to the wafer W3 is also stopped. . Then, the developing solution nozzle 6 passes through the upper side of the liquid removing portion 7B as shown by the direction of the arrow in the figure, and as described in FIG. 7, the droplet D that has hanged down the lower end of the developing solution nozzle 6 is in contact with the liquid removing portion 7B. It is absorbed and removed (Fig. 11(d), (e)). Thereafter, the developer nozzle 6 is returned to the standby unit 66 by the upper side of the development processing units 21b and 21a (Fig. 12(a)). Further, the composite nozzle portion 4c is moved to the center portion of the wafer W3, and the pure water F is supplied to the center portion of the wafer W3, and the developer L on the surface of the wafer W3 is washed away (Fig. 12(b)).

之後,來自純水噴嘴41c之純水F的供給停止,N2 氣體噴嘴42c移動至晶圓W3的中心部上,N2 氣體從N2 氣體噴嘴42c被吐出至晶圓W3的中心部上,晶圓W3被乾燥(第12(c)圖)。之後,N2 氣體的供給停止的同時,晶圓W3的旋轉也停止,複合噴嘴部4c回到待機部51c(第12(d)圖),藉由基板搬運手段,晶圓W3從顯影處理部31c被搬出。Thereafter, the supply of the pure water F from the pure water nozzle 41c is stopped, the N 2 gas nozzle 42c is moved to the center portion of the wafer W3, and the N 2 gas is discharged from the N 2 gas nozzle 42c to the center portion of the wafer W3. Wafer W3 is dried (Fig. 12(c)). After that, the supply of the N 2 gas is stopped, the rotation of the wafer W3 is also stopped, and the composite nozzle unit 4c is returned to the standby unit 51c (Fig. 12(d)), and the wafer W3 is ejected from the development processing unit by the substrate transfer means. 31c was moved out.

如依據此顯影裝置2,於被橫方向地排列為一列之顯影處理部21a~21c之杯體31a~31c間,在藉由驅動機構65而移動的顯影液噴嘴6的移動路徑之下方側,與該顯影液噴嘴6的下端接近,和從該顯影液噴嘴6下垂之顯影液的液滴D接觸,將該液滴D從顯影液噴嘴6予以去除之液體去除部7A、7B,被設置於該顯影液噴嘴6的移動軌道上。因此,顯影液噴嘴6為了對晶圓W進行處理,移動於待機部66與各顯影處理部21a~21c間,在通過顯影液被去除而乾燥的晶圓W上時,可以防止前述液滴D從顯影液噴嘴6落下於該已經乾燥的晶圓W上。因此,該液滴成為微粒而污染晶圓W之事得以被防止,能夠抑制良率之降低。另外,該液滴D係在顯影液噴嘴6之移動中被去除,不需要去除液滴D用之時間。其結果,可以抑制產出的降低。According to the developing device 2, between the cups 31a to 31c of the development processing units 21a to 21c arranged in a row in the horizontal direction, on the lower side of the movement path of the developer nozzle 6 that is moved by the drive mechanism 65, The liquid removing portions 7A and 7B which are in contact with the lower end of the developing solution nozzle 6 and which are in contact with the liquid droplet D of the developing liquid which is suspended from the developing solution nozzle 6 and are removed from the developing solution nozzle 6 are provided. The developer nozzle 6 is moved on the track. Therefore, in order to process the wafer W, the developer liquid nozzle 6 is moved between the standby unit 66 and each of the development processing units 21a to 21c, and when the wafer W dried by the developer is removed, the droplet D can be prevented. The developing solution nozzle 6 is dropped onto the already dried wafer W. Therefore, the fact that the liquid droplets become fine particles and contaminate the wafer W can be prevented, and the decrease in yield can be suppressed. Further, the droplet D is removed during the movement of the developer nozzle 6, and there is no need to remove the droplet D for a period of time. As a result, it is possible to suppress a decrease in output.

另外,此顯影裝置2於待機部66也具備液體去除部7C,噴嘴6不單往橫方向移動時,被收容於待機部66時,也可以進行液滴D的去除,可以更為確實地防止液滴D從已經敘述的顯影液噴嘴6落下於已經乾燥的晶圓W。In addition, the developing device 2 also includes the liquid removing unit 7C in the standby unit 66. When the nozzle 6 is moved not only in the lateral direction but also in the standby unit 66, the droplet D can be removed, and the liquid can be more reliably prevented. Drop D falls from the developer nozzle 6 already described to the already dried wafer W.

於上述例子中,雖說明了3片的晶圓W被顯影處理的樣子,在處理3片以上的晶圓W之情形時,例如繼續以顯影處理部21a、21b、21c的順序重複地搬運晶圓W,和上述例子同樣地進行顯影處理。In the above-described example, the case where three wafers W are developed is described. When three or more wafers W are processed, for example, the crystals are repeatedly conveyed in the order of the development processing units 21a, 21b, and 21c. The circle W was subjected to development processing in the same manner as the above example.

另外,在上述例子中,每次對晶圓W供給顯影液時,顯影液噴嘴6會返回待機部66,但每次處理時不回到待機部66,於一個顯影處理部供給顯影液後,直接移動至其他的顯影處理部並供給顯影液,對幾片晶圓W供給顯影液後,才回到待機部66亦可。另外,晶圓W對顯影處理部21a~21c之搬運順序可以不如上述說明,而可為例如對顯影處理部21a、21b之順序重複地搬運晶圓W,在對顯影處理部21a及21b搬運特定的片數的晶圓W後,才對顯影處理部21c搬運晶圓W,以此搬運順序來進行顯影處理亦可。如此地進行顯影處理的情形時,在移動於各顯影處理部21a~21c間時,液滴被去除,可以獲得與上述的實施型態同樣的效果。Further, in the above example, each time the developer is supplied to the wafer W, the developer nozzle 6 returns to the standby unit 66, but does not return to the standby unit 66 every time the processing is performed, and after the developer is supplied to one development processing unit, The developer is directly moved to another development processing unit, and the developer is supplied, and the developer is supplied to a plurality of wafers W before returning to the standby unit 66. In addition, the order of conveyance of the wafer W to the development processing units 21a to 21c may be less than that described above, and the wafer W may be repeatedly conveyed in the order of the development processing units 21a and 21b, and may be transported to the development processing units 21a and 21b. After the number of wafers W, the wafer W is transported to the development processing unit 21c, and the development processing may be performed in the order of conveyance. When the development process is performed in this manner, when moving between the respective development processing units 21a to 21c, the liquid droplets are removed, and the same effects as those of the above-described embodiment can be obtained.

作為液體去除部,例如以於第13(a)圖表示其外觀,於第13(b)圖表示其側面來構成亦可。為了獲得液滴的高去除效果,此液體去除部81係形成為梳子狀,於其表面沿著顯影液噴嘴6的移動方向形成有多數的溝(凹部)82。然後和已經敘述的實施型態同樣地,顯影液噴嘴6的下端接近,液滴D附著於該液體去除部81的表面時,如第13(b)圖中以箭頭方向所示般,液滴D藉由毛細管現象而進入該溝82內。另外,為了防止基於顯影液很多地儲存於溝82內,該液體去除部81的更換頻率變高,於此液體去除部81中,如第13(c)圖所示般,可以溝82的下方側變寬之方式來構成。The liquid removing portion may have an outer appearance, for example, in the figure (a), and a side surface in the thirteenth (b) drawing. In order to obtain a high removal effect of the liquid droplets, the liquid removal portion 81 is formed in a comb shape, and a plurality of grooves (concave portions) 82 are formed on the surface thereof along the moving direction of the developer liquid nozzle 6. Then, similarly to the embodiment described above, the lower end of the developer nozzle 6 is close to each other, and when the droplet D is attached to the surface of the liquid removing portion 81, as shown by the arrow direction in Fig. 13(b), the droplet D enters the groove 82 by capillary action. Further, in order to prevent a large amount of the developer from being stored in the groove 82, the frequency of replacement of the liquid removing portion 81 is increased, and the liquid removing portion 81 may be below the groove 82 as shown in Fig. 13(c). The side is widened to form.

且說,代替於此例子中,顯影液噴嘴6的吐出口61為斜向地開口,雖也可以在垂直方向開口,但如背景技術之段落所說明過的,在利用斜向開口之顯影液噴嘴6的情形者,即使進行虛擬塗布或液體的吸引等,不容易防止液滴從顯影液噴嘴落下,設置已經敘述的液體去除部,會變得特別有效。In addition, in this example, the discharge port 61 of the developer nozzle 6 is opened obliquely, and may be opened in the vertical direction. However, as explained in the paragraph of the background art, the developer nozzle using the oblique opening is used. In the case of 6, even if the virtual coating or the suction of the liquid is performed, it is not easy to prevent the liquid droplets from falling from the developer nozzle, and it is particularly effective to provide the liquid removing portion already described.

作為構成上述之各液體去除部的材料,雖並不限定於陶瓷,但為了獲得高的液滴D之去除效果,以使用親水性之材料為佳。另外,藉由使其表面狀態變得粗糙,可以獲得高的顯影液之去除效果,較為理想。另外,也可以使用彈性材料來構成各液體去除部,在此情形,顯影液噴嘴6即使和各液體去除部接觸,也可以防止此等液體去除部及顯影液噴嘴的破損,可以抑制花時間於顯影液噴嘴和液體去除部之間隔的調整。The material constituting each of the liquid removing portions described above is not limited to ceramics, but in order to obtain a high effect of removing the liquid droplets D, it is preferable to use a hydrophilic material. Further, by making the surface state rough, it is possible to obtain a high developer removal effect, which is preferable. Further, the liquid removing portion may be configured by using an elastic material. In this case, even if the developing solution nozzle 6 is in contact with each of the liquid removing portions, it is possible to prevent breakage of the liquid removing portion and the developing solution nozzle, and it is possible to suppress the time spent on Adjustment of the interval between the developer nozzle and the liquid removal portion.

另外,只要可以防止液滴D對於晶圓W之落下即可,液體去除部7A、7B如設置於杯體31a~31c的開口部31a~31c間即可,例如,也可以設置於杯體31a~31c的上側之傾斜部32a~32c上。Further, as long as the droplet D can be prevented from falling on the wafer W, the liquid removing portions 7A and 7B may be provided between the openings 31a to 31c of the cups 31a to 31c, and may be provided, for example, in the cup 31a. ~31c is on the upper inclined portions 32a to 32c.

另外,於上述例子中,顯影處理部21a~21c為於直線方向排列,於該列之延長線上設置有待機部66,但顯影處理部21a~21c及待機部66被排列於外周方向,顯影液噴嘴6於該排列方向移動,在該顯影液噴嘴6的移動路徑之下方側設置液體去除部7A、7B亦可。顯影處理部及液體去除部的數目,並不限定於已經敘述之實施型態。Further, in the above-described example, the development processing units 21a to 21c are arranged in the linear direction, and the standby unit 66 is provided on the extension line of the row, but the development processing units 21a to 21c and the standby unit 66 are arranged in the outer circumferential direction, and the developer The nozzle 6 is moved in the arrangement direction, and the liquid removing portions 7A and 7B may be provided below the moving path of the developing solution nozzle 6. The number of development processing units and liquid removal units is not limited to the embodiment described above.

另外,作為液體去除部,可以做成如第14(a)、(b)圖所示之構成。此液體去除部9係於基台91上具備側面視圖三角形狀的液體接受部92。另外,於基台91上設置有於斜向吐出純水等之洗淨液的洗淨液噴嘴93。圖中94係設置於基台91之排液口,被連接於未圖示出之排液管路。此液體去除部9例如代替液體去除部7A~7C,被設置於各杯體31a~31c間及待機區域67。於此例子中,進行顯影處理用之顯影液噴嘴90係具備於斜方向開口為圓形之吐出口97,介由基部96而被連接於已經敘述的臂體64,與顯影液噴嘴6同樣地可以進行升降及朝橫方向的移動。Further, the liquid removing portion can be configured as shown in Figs. 14(a) and (b). The liquid removing portion 9 is provided with a liquid receiving portion 92 having a triangular shape in a side view on the base 91. Further, the base 91 is provided with a cleaning liquid nozzle 93 for discharging the cleaning liquid such as pure water obliquely. In the figure, 94 is provided at the liquid discharge port of the base 91, and is connected to a drain line (not shown). The liquid removal unit 9 is provided between the cups 31a to 31c and the standby area 67, for example, instead of the liquid removal units 7A to 7C. In this example, the developing solution nozzle 90 for performing development processing is provided with a discharge port 97 having a circular opening in the oblique direction, and is connected to the arm body 64 described above via the base portion 96, similarly to the developing solution nozzle 6. It can be moved up and down and moved in the horizontal direction.

例如顯影液噴嘴90從橫方向移動靠近設置於杯體31a~31c間之液體接受部92時,顯影液的液滴D與液體接受部92接觸,流過液體接受部92而朝基台91落下。進而,洗淨液從洗淨液噴嘴93被朝顯影液噴嘴90吐出,藉由該洗淨液,液滴D被洗去。然後被洗走的液體從噴嘴90的下端流至液體接受部92,落下該基台91,該落下的排液從排液口94被去除。此液體去除部9也可以代替液體去除部液體去除部7C而設置於待機區域67。另外,於此例子中,也可以不設置洗淨液噴嘴93,只使和液體接受部92接觸的液滴流至該液體接受部92的下方,來進行從顯影液噴嘴6之液滴的去除。For example, when the developer nozzle 90 moves from the lateral direction to the liquid receiving portion 92 provided between the cups 31a to 31c, the droplet D of the developer comes into contact with the liquid receiving portion 92, flows through the liquid receiving portion 92, and falls toward the base 91. Further, the cleaning liquid is discharged from the cleaning liquid nozzle 93 toward the developing liquid nozzle 90, and the liquid droplet D is washed away by the cleaning liquid. Then, the washed liquid flows from the lower end of the nozzle 90 to the liquid receiving portion 92, drops the base 91, and the dropped liquid discharge is removed from the liquid discharge port 94. The liquid removal unit 9 may be provided in the standby area 67 instead of the liquid removal unit liquid removal unit 7C. Further, in this example, the cleaning liquid nozzle 93 may be omitted, and only the liquid droplets in contact with the liquid receiving portion 92 may flow below the liquid receiving portion 92 to remove the liquid droplets from the developing liquid nozzle 6. .

作為吐出洗淨液之噴嘴93,為了獲得關於顯影液噴嘴90之高洗淨效果來提高液滴D的去除效果,例如可以使用混合洗淨液之液體與氣體,使產生洗淨液的霧氣,將該霧氣予以噴出之所謂2流體噴嘴。另外,為了那樣地提高去除效果的目的,例如也可以使用吐出加上1MHz程度的超音波之洗淨液之所謂Megasonic噴嘴。另外,也代替顯影液噴嘴90,對於已經敘述的顯影液噴嘴6,使用此液體去除部9。As the nozzle 93 for discharging the cleaning liquid, in order to obtain a high cleaning effect with respect to the developer nozzle 90, the effect of removing the droplet D can be improved. For example, a liquid and a gas of the mixed cleaning liquid can be used to generate a mist of the cleaning liquid. A so-called two-fluid nozzle that ejects the mist. In addition, for the purpose of improving the removal effect as described above, for example, a so-called Megasonic nozzle that discharges a cleaning liquid of ultrasonic waves of about 1 MHz may be used. Further, in place of the developer nozzle 90, the liquid removing portion 9 is used for the developer nozzle 6 already described.

雖針對本發明之顯影裝置的適用例子做了說明,但例如對於抗蝕劑塗布裝置等之其他的液體處理裝置,也可以使用本發明。在此情形,可以防止來自處理液噴嘴之處理液,基於該處理液對處理前及處理後基板之落下,產生微粒,使得基板處理的面內均勻性降低。其結果為,可以防止良率之降低。Although the application example of the developing device of the present invention has been described, the present invention can also be applied to other liquid processing apparatuses such as a resist coating apparatus. In this case, it is possible to prevent the treatment liquid from the treatment liquid nozzle from being dropped on the substrate before and after the treatment based on the treatment liquid, so that the in-plane uniformity of the substrate treatment is lowered. As a result, it is possible to prevent a decrease in yield.

以下,說明組裝有上述之顯影裝置2之塗布、顯影裝置101。第15圖係表示於塗布顯影裝置101連接有曝光裝置C4之抗蝕劑圖案形成系統的平面圖,第16圖為同一系統的斜視圖。另外,第17圖係塗布、顯影裝置101之縱剖面圖。於此塗布、顯影裝置101設置有載體區塊C1,交付臂112從被載置於該載置台111上之密閉型的載體110取出晶圓W而交付至處理區塊C2,交付臂112從處理區塊C2接受處理完畢的晶圓W而回到載體110。載體110係包含多數片的晶圓W,各晶圓W依序被搬運至處理區塊C2。Hereinafter, the coating and developing device 101 in which the developing device 2 described above is incorporated will be described. Fig. 15 is a plan view showing a resist pattern forming system to which the exposure developing device 101 is connected with the exposure device C4, and Fig. 16 is a perspective view of the same system. Further, Fig. 17 is a longitudinal sectional view of the coating and developing device 101. The coating and developing device 101 is provided with a carrier block C1, and the delivery arm 112 takes out the wafer W from the sealed carrier 110 placed on the mounting table 111 and delivers the wafer W to the processing block C2, and the delivery arm 112 is processed. The block C2 receives the processed wafer W and returns to the carrier 110. The carrier 110 includes a plurality of wafers W, and each wafer W is sequentially transported to the processing block C2.

前述處理區塊C2係如第16圖所示般,於此例子中,其構成係將:進行顯影處理用之第1區塊(DEV層)B1、進行形成於抗蝕劑膜之下層的反射防止膜的形成處理用之第2區塊(BCT層)B2、進行抗蝕劑膜的塗布用之第3區塊(COT層)B3、進行形成於抗蝕劑膜的上層側之反射防止膜的形成用之第4區塊(ITC層)B4從下依序予以層積。The processing block C2 is as shown in Fig. 16. In this example, the configuration is such that the first block (DEV layer) B1 for development processing is subjected to reflection formed on the lower layer of the resist film. The second block (BCT layer) B2 for preventing the film formation process, the third block (COT layer) B3 for applying the resist film, and the anti-reflection film formed on the upper layer side of the resist film The fourth block (ITC layer) B4 for the formation is layered sequentially from the bottom.

處理區塊C2的各層,於平面視圖係同樣地被形成。如以第3區塊(COT層)B3為例來說明時,COT層B3係藉由:作為塗布膜形成抗蝕劑膜用之抗蝕劑膜形成模組、及構成進行以此抗蝕劑膜形成模組所進行的處理之前處理及後處理用之加熱、冷卻系統之處理模組群之支架單元U1~U4、及設置於前述抗蝕劑膜形成模組與加熱、冷卻系統之處理模組群之間,且在此等之間進行晶圓W的交付之搬運臂A3所構成。The layers of the processing block C2 are similarly formed in the plan view. When the third block (COT layer) B3 is taken as an example, the COT layer B3 is formed by forming a resist film forming resist film for a resist film as a coating film, and constituting the resist. The holder unit U1 to U4 of the processing module group of the heating and cooling system for processing and post-processing of the film forming module, and the processing module provided in the resist film forming module and the heating and cooling system The transport arm A3 is configured to transport the wafer W between the groups.

前述支架單元U1~U4係沿著搬運臂A3移動的搬運區域R1而排列,個別藉由前述之加熱模組、冷卻模組被層積來構成。加熱模組係具備加熱被載置的晶圓用之加熱板,冷卻模組係具備冷卻被載置的晶圓用的冷卻板。The holder units U1 to U4 are arranged along the conveyance area R1 in which the conveyance arm A3 moves, and are separately formed by laminating the above-described heating module and cooling module. The heating module includes a heating plate for heating the mounted wafer, and the cooling module includes a cooling plate for cooling the placed wafer.

關於第2區塊(BCT層)B2、第4區塊(ITC層)B4,係分別被設置於相當於前述抗蝕劑膜形成模組之反射防止膜形成模組、保護膜形成模組,於此等模組中,代替抗蝕劑,作為處理液,除了反射防止膜形成用之藥液、保護膜形成用之藥液分別被供給至晶圓W外,是和COT層B3同樣的構成。The second block (BCT layer) B2 and the fourth block (ITC layer) B4 are respectively provided in an anti-reflection film forming module and a protective film forming module corresponding to the resist film forming module. In the above-mentioned modules, the chemical solution for forming the anti-reflection film and the chemical solution for forming the protective film are supplied to the wafer W instead of the resist, and the same configuration as the COT layer B3. .

關於第1區塊(DEV層)B1,係於一個之DEV層B1內,2段地層積有對應抗蝕劑膜形成模組之顯影模組113,各顯影模組113係分別相當於已經敘述的顯影裝置2,於共通的框體內包含3座的顯影處理部或已經敘述的各噴嘴。另外,於DEV層B1設置有構成進行此顯影模組113的前處理及後處理用之加熱、冷卻系統的處理模組群之支架單元U1~U4。然後,於DEV層B1內,在此等2段的顯影模組、及前述加熱、冷卻系統之處理模組設置有搬運晶圓W用之搬運臂A1。即對於2段的顯影模組,搬運臂A1為被共通化之構成。此搬運臂A1係相當於前述的基板搬運手段。The first block (DEV layer) B1 is connected to a developing module 113 corresponding to a resist film forming module in two DEV layers B1, and each developing module 113 is equivalent to already described. The developing device 2 includes three development processing units or respective nozzles described in the common housing. Further, the DEV layer B1 is provided with holder units U1 to U4 constituting a processing module group for performing heating and cooling systems for pre-processing and post-processing of the developing module 113. Then, in the DEV layer B1, the transfer module for transporting the wafer W is provided in the two stages of the developing module and the processing module of the heating and cooling system. That is, in the two-stage developing module, the transport arm A1 is configured to be common. This transport arm A1 corresponds to the above-described substrate transport means.

進而於處理區塊C2,如第15及第17圖所示般,設置有支架單元U5,來自載體區塊C1之晶圓W被依序搬運至前述支架單元U5的一個交付單元,例如第2區塊(BCT層)B2之對應的交付單元CPL2。第2區塊(BCT層)B2內之搬運臂A2,係從此交付單元CPL2接受晶圓W,搬運至各單元(反射防止膜形成模組及加熱、冷卻系統之處理單元群),利用此等單元於晶圓W形成反射防止膜。Further, in the processing block C2, as shown in FIGS. 15 and 17, a holder unit U5 is provided, and the wafer W from the carrier block C1 is sequentially transported to one delivery unit of the holder unit U5, for example, the second unit. The corresponding delivery unit CPL2 of the block (BCT layer) B2. The transport arm A2 in the second block (BCT layer) B2 receives the wafer W from the delivery unit CPL2, and transports it to each unit (the anti-reflection film forming module and the processing unit group of the heating and cooling system). The unit forms an anti-reflection film on the wafer W.

之後,晶圓W被搬運至支架單元U5之交付單元BF2、交付臂D1、支架單元U5之交付單元CPL3,於該處,例如被溫度調整為23℃後,介由搬運臂A3而被搬入第3區塊(COT層)B3,藉由抗蝕劑膜形成模組來形成抗蝕劑膜。進而晶圓W經過搬運臂A3→支架單元U5的交付單元BF3→交付臂D1,被交付至支架單元U5之交付單元BF3。另外,形成有抗蝕劑膜之晶圓W,也有藉由第4區塊(ITC層)B4來形成保護膜的情形。在此情形時,晶圓W係介由交付單元CPL4而被交付至搬運臂A4,保護膜形成後,藉由搬運臂A4而被交付至交付單元TRS4。Thereafter, the wafer W is transported to the delivery unit BF2 of the gantry unit U5, the delivery arm D1, and the delivery unit CPL3 of the cradle unit U5, where it is, for example, temperature-adjusted to 23° C., and then carried in via the transport arm A3. The 3 block (COT layer) B3 forms a resist film by a resist film forming module. Further, the wafer W is delivered to the delivery unit BF3 of the rack unit U5 via the transport arm A3 → the delivery unit BF3 of the rack unit U5 → the delivery arm D1. Further, the wafer W on which the resist film is formed may have a protective film formed by the fourth block (ITC layer) B4. In this case, the wafer W is delivered to the transport arm A4 via the delivery unit CPL4, and after the protective film is formed, it is delivered to the delivery unit TRS4 by the transport arm A4.

另一方面,在DEV層B1內之上部,設有從被設置於支架單元U5之交付單元CPL11直接搬運晶圓W至設置於支架單元U6的交付單元CPL12用之專用的搬運手段之穿梭臂115。形成有抗蝕劑膜或進而保護膜之晶圓W,介由交付臂D1從交付單元BF3、TRS4被交付至收授單元CPL11,從此處藉由穿梭臂115而直接被搬運至支架單元U6的交付單元CPL12,而被取入介面區塊C3。另外,第11圖中之被賦予CPL的交付單元係兼為調溫用之冷卻單元,被賦予BF之交付單元係兼為可以載置複數片的晶圓W之緩衝單元。On the other hand, in the upper portion of the DEV layer B1, a shuttle arm 115 for transporting the wafer W directly from the delivery unit CPL11 provided in the holder unit U5 to a dedicated transport means for the delivery unit CPL12 provided in the holder unit U6 is provided. . The wafer W on which the resist film or the protective film is formed is delivered from the delivery unit BF3, TRS4 to the receiving unit CPL11 via the delivery arm D1, from which it is directly carried to the holder unit U6 by the shuttle arm 115. The unit CPL12 is delivered and is taken into the interface block C3. In addition, the delivery unit to which the CPL is given in FIG. 11 is also a cooling unit for temperature adjustment, and the delivery unit to which the BF is provided is also a buffer unit in which a plurality of wafers W can be placed.

接著,晶圓W藉由介面臂116被搬運至曝光裝置C4,於此處進行特定的曝光處理後,被載置於支架單元U6的交付單元TRS6而回到處理區塊C2。返回之晶圓W藉由第1區塊(DEV層)B1進行顯影處理,藉由搬運臂A1被交付至支架單元U5之交付單元TRS1。之後,介由交付臂112而返回載體110。Next, the wafer W is transported to the exposure device C4 via the interface arm 116, where it is subjected to a specific exposure process, and then placed on the delivery unit TRS6 of the holder unit U6 to return to the processing block C2. The returned wafer W is developed by the first block (DEV layer) B1, and delivered to the delivery unit TRS1 of the rack unit U5 by the transport arm A1. Thereafter, the carrier 110 is returned via the delivery arm 112.

(評估試驗1)(Evaluation Test 1)

確認於顯影液噴嘴6中,從其下端至哪種程度之下方為止下垂的液滴D會落下。結果,液滴的大小為1mm、2mm、3mm之情形時,並沒有引起液滴的落下,但液滴的大小成為4mm時,確認到從顯影液噴嘴6的前端落下。然後,依據此結果,顯影液噴嘴6被收容於待機部66時,將該顯影液噴嘴6的下端和液體去除部7C的距離h2設定為2mm,接著,使顯影液噴嘴6對於液體去除部7C上升,形成從顯影液噴嘴的下端往下側下垂2mm強之液滴。然後,使顯影液噴嘴6下降,收容於待機部66後,使顯影液噴嘴6上升,觀察液滴之有無。將使此顯影液噴嘴6上升之液滴的形成和顯影液噴嘴6之對於待機部66的收容重複進行50次。It is confirmed that the liquid droplet D which hangs down from the lower end to the lower side of the developing solution nozzle 6 falls. As a result, when the size of the droplets was 1 mm, 2 mm, or 3 mm, the droplets were not dropped. However, when the size of the droplets was 4 mm, it was confirmed that the droplets were dropped from the tip end of the developer nozzle 6. Then, when the developer nozzle 6 is housed in the standby unit 66, the distance h2 between the lower end of the developer nozzle 6 and the liquid removal portion 7C is set to 2 mm, and then the developer nozzle 6 is applied to the liquid removal portion 7C. Ascending, a droplet of 2 mm strong from the lower end of the developer nozzle to the lower side is formed. Then, the developer nozzle 6 is lowered, and after being stored in the standby unit 66, the developer nozzle 6 is raised to observe the presence or absence of the liquid droplets. The formation of the droplets for raising the developer nozzle 6 and the storage of the developer nozzle 6 for the standby unit 66 were repeated 50 times.

評估試驗1的結果,每次顯影液噴嘴6下降時,液滴從顯影液噴嘴6的下端被去除。由此試驗,如上述的實施型態般,顯示了藉由於顯影裝置2設置液體去除部7C,可以進行液滴的去除。另外,雖然顯影液噴嘴6接近的方向不同,但由此實驗,即使在液體去除部7A、7B中,也可以預測從顯影液噴嘴6可以有效進行液滴D的去除。As a result of the evaluation of the test 1, the droplets were removed from the lower end of the developer nozzle 6 each time the developer nozzle 6 was lowered. As a result of the above-described embodiment, it is shown that the liquid droplets can be removed by providing the liquid removing portion 7C by the developing device 2. Further, although the developing liquid nozzles 6 are in different directions, it has been experimentally possible to predict that the liquid droplets D can be effectively removed from the developing solution nozzles 6 even in the liquid removing portions 7A and 7B.

(評估試驗2)(Evaluation Test 2)

以顏料將液體去除部7C予以染色,進行和評估試驗1同樣的試驗,調查顯影液噴嘴6是否被顏料所污染。結果,並無顏料附著於顯影液噴嘴6。因此,得知從顯影液噴嘴6附著於液體去除部7C之液滴,並沒有再度附著於顯影液噴嘴6,而藉由液體去除部7C被去除。由此試驗,也如上述之實施型態般,得知於顯影裝置設置液體去除部7C為有效,另外,可以預測設置液體去除部7A、7B為有效。The liquid removing portion 7C was dyed with a pigment, and the same test as in the evaluation test 1 was carried out to investigate whether or not the developing solution nozzle 6 was contaminated with the pigment. As a result, no pigment adheres to the developer nozzle 6. Therefore, it is known that the droplets adhering to the liquid removing portion 7C from the developing solution nozzle 6 are not attached to the developing solution nozzle 6, and are removed by the liquid removing portion 7C. According to the above-described embodiment, it is known that the liquid removing unit 7C is provided in the developing device, and it is possible to predict that the liquid removing portions 7A and 7B are effective.

D...液滴D. . . Droplet

L...顯影液L. . . Developer

W...晶圓W. . . Wafer

2...顯影裝置2. . . Developing device

21a~21c...顯影處理部21a~21c. . . Development processing unit

22a~22c...旋轉夾頭22a~22c. . . Rotating chuck

23a~23c...旋轉軸23a~23c. . . Rotary axis

24a~24c...旋轉驅動機構24a~24c. . . Rotary drive mechanism

30a~30c...開口部30a~30c. . . Opening

31a~31c...杯體31a~31c. . . Cup

32a~32c...傾斜部32a~32c. . . Inclined portion

33a~33c...液體接受部33a~33c. . . Liquid receiving unit

34a~34c...排氣口34a~34c. . . exhaust vent

35a~35c...排氣管35a~35c. . . exhaust pipe

36a~36c...排氣擋板36a~36c. . . Exhaust baffle

4a~4c...複合噴嘴部4a~4c. . . Compound nozzle

41a~41c...純水噴嘴41a~41c. . . Pure water nozzle

42a~42c...N2 氣體噴嘴42a~42c. . . N 2 gas nozzle

43a~43c...供給管路43a~43c. . . Supply line

44a~44c...供給管路44a~44c. . . Supply line

45a~45c...流量控制部45a~45c. . . Flow control department

46a~46c...流量控制部46a~46c. . . Flow control department

5A...顯影液供給源5A. . . Developer supply

5B...純水供給源5B. . . Pure water supply

5C...N2 氣體供給源5C. . . N 2 gas supply source

51a~51c...待機部51a~51c. . . Standby unit

52a~52c...待機區域52a~52c. . . Standby area

6...顯影液噴嘴6. . . Developer nozzle

61...吐出口61. . . Spit

65...驅動機構65. . . Drive mechanism

66...待機部66. . . Standby unit

67...待機區域67. . . Standby area

7A~7C...液體去除部7A~7C. . . Liquid removal unit

100...控制部100. . . Control department

第1圖係關於本發明之實施型態的顯影裝置之概略圖。Fig. 1 is a schematic view showing a developing device according to an embodiment of the present invention.

第2圖係前述顯影裝置之斜視圖。Fig. 2 is a perspective view of the developing device.

第3圖係前述顯影裝置的平面圖。Fig. 3 is a plan view of the aforementioned developing device.

第4圖係設置於前述顯影裝置的顯影液噴嘴及待機部的斜視圖。Fig. 4 is a perspective view showing a developer nozzle and a standby unit provided in the developing device.

第5圖係表示前述顯影液噴嘴與液體去除部之位置關係的說明圖及前述顯影液噴嘴的下方側斜視圖。Fig. 5 is an explanatory view showing a positional relationship between the developing solution nozzle and the liquid removing portion, and a lower side perspective view of the developer liquid nozzle.

第6圖係表示顯影液藉由前述顯影液噴嘴而供給至晶圓之樣子的說明圖。Fig. 6 is an explanatory view showing a state in which a developing solution is supplied to a wafer by the developing solution nozzle.

第7圖係表示於顯影液噴嘴之移動路徑中,顯影液噴嘴的液滴被去除之樣子的說明圖。Fig. 7 is an explanatory view showing a state in which droplets of the developer nozzle are removed in the moving path of the developer nozzle.

第8圖係表示於待機部中,顯影液噴嘴的液滴被去除之樣子的說明圖。Fig. 8 is an explanatory view showing a state in which droplets of the developer nozzle are removed in the standby unit.

第9圖係表示藉由前述顯影裝置之顯影工程的作用圖。Fig. 9 is a view showing the action of the developing process by the developing device.

第10圖係表示藉由前述顯影裝置之顯影工程的作用圖。Fig. 10 is a view showing the action of the developing process by the developing device.

第11圖係表示藉由前述顯影裝置之顯影工程的作用圖。Fig. 11 is a view showing the action of the developing process by the developing device.

第12圖係表示前述顯影裝置的其他的顯影工程之作用圖。Fig. 12 is a view showing the action of other development projects of the developing device.

第13圖係表示液體去除部之其他的構成說明圖。Fig. 13 is a view showing another configuration of the liquid removing unit.

第14圖係表示液體去除部的進而其他構成的說明圖。Fig. 14 is an explanatory view showing still another configuration of the liquid removing portion.

第15圖係具備有前述顯影裝置之塗布、顯影裝置的平面圖。Fig. 15 is a plan view showing a coating and developing device including the developing device.

第16圖係前述塗布、顯影裝置的斜視圖。Fig. 16 is a perspective view of the coating and developing device.

第17圖係前述塗布、顯影裝置的縱剖平面圖。Fig. 17 is a longitudinal sectional plan view of the coating and developing apparatus.

第18圖係表示液滴從顯影液噴嘴垂下之樣子的說明圖。Fig. 18 is an explanatory view showing a state in which a liquid droplet hangs from a developer nozzle.

2...顯影裝置2. . . Developing device

21a~21c...顯影處理部21a~21c. . . Development processing unit

22a~22c...旋轉夾頭22a~22c. . . Rotating chuck

23a~23c...旋轉軸23a~23c. . . Rotary axis

24a~24c...旋轉驅動機構24a~24c. . . Rotary drive mechanism

30a~30c...開口部30a~30c. . . Opening

31a~31c...杯體31a~31c. . . Cup

32a~32c...傾斜部32a~32c. . . Inclined portion

33a~33c...液體接受部33a~33c. . . Liquid receiving unit

34a~34c...排氣口34a~34c. . . exhaust vent

35a~35c...排氣管35a~35c. . . exhaust pipe

36a~36c...排氣擋板36a~36c. . . Exhaust baffle

4a~4c...複合噴嘴部4a~4c. . . Compound nozzle

41a~41c...純水噴嘴41a~41c. . . Pure water nozzle

42a~42c...N2 氣體噴嘴42a~42c. . . N 2 gas nozzle

43a~43c...供給管路43a~43c. . . Supply line

44a~44c...供給管路44a~44c. . . Supply line

45a~45c...流量控制部45a~45c. . . Flow control department

46a~46c...流量控制部46a~46c. . . Flow control department

5A...顯影液供給源5A. . . Developer supply

5B...純水供給源5B. . . Pure water supply

5C...N2 氣體供給源5C. . . N 2 gas supply source

51a~51c...待機部51a~51c. . . Standby unit

52a~52c...待機區域52a~52c. . . Standby area

6...顯影液噴嘴6. . . Developer nozzle

66...待機部66. . . Standby unit

67...待機區域67. . . Standby area

7A~7C...液體去除部7A~7C. . . Liquid removal unit

100...控制部100. . . Control department

Claims (13)

一種液體處理裝置,其特徵為具備:於上側形成有開口部的杯體中,設置水平地保持基板的基板保持部所構成,且於各橫方向被配置為一列之複數個液體處理部;及對於此等複數個液體處理部被共用化,對基板供給處理液體用之處理液體噴嘴;及設置於前述液體處理部之列的延長線上,使處理液體噴嘴待機用之待機部;及於前述液體處理部之各上方區域和前述待機部之間,使前述液體處理噴嘴依循液體處理部之列移動用之移動手段;於前述杯體的開口部間,被設置於處理液體噴嘴的移動路徑的下方側,與從藉由前述移動手段被移動的處理液體噴嘴垂下的液滴接觸,將該液滴從處理液體噴嘴予以去除用之液體去除部;及洗淨液供給部,對位於杯體之開口部間的前述移動路徑並從一個液體處理部朝向其他液體處理部橫方向移動之中途的該處理液體噴嘴,供給洗淨液進行洗淨,以藉由前述液體去除部從處理液體噴嘴去除該洗淨液的方式設置於前述液體去除部。 A liquid processing apparatus comprising: a plurality of liquid processing units each of which is disposed in a row in a horizontal direction in a cup body having an opening formed on an upper side thereof; and a substrate holding portion that horizontally holds the substrate; a processing liquid nozzle for supplying a processing liquid to the substrate, and a standby portion for waiting for the processing liquid nozzle to be placed on the extension line of the liquid processing unit; and the liquid Between each upper region of the processing portion and the standby portion, the liquid processing nozzle moves the moving means for moving the liquid processing portion; and the opening of the cup is disposed below the moving path of the processing liquid nozzle a side, a liquid removing portion for removing the liquid droplet from the processing liquid nozzle in contact with the liquid droplet suspended from the processing liquid nozzle moved by the moving means; and a cleaning liquid supply portion facing the opening of the cup body The processing liquid nozzle in the middle of the moving path between the portions and moving from one liquid processing portion to the other liquid processing portion in the lateral direction Supplying a cleaning solution washed embodiment, the liquid to be removed by the treatment liquid nozzle portion is removed from the cleaning liquid disposed in the liquid removing unit. 如申請專利範圍第1項所記載之液體處理裝置,其中前述處理液體噴嘴,係具備:使前述處理液體吐出於斜下方之吐出口。 The liquid processing apparatus according to the first aspect of the invention, wherein the processing liquid nozzle includes a discharge port for discharging the processing liquid obliquely downward. 如申請專利範圍第1或2項所記載之液體處理裝置,其中前述液體去除部,係由彈性材料所構成。 The liquid processing apparatus according to claim 1 or 2, wherein the liquid removing portion is made of an elastic material. 如申請專利範圍第1項所記載之液體處理裝置,其中前述液體去除部,進而被設置於前述待機部。 The liquid processing apparatus according to claim 1, wherein the liquid removing unit is further provided in the standby unit. 如申請專利範圍第1項所記載之液體處理裝置,其中具備:對位於前述待機部之處理液體噴嘴供給洗淨液用之洗淨液供給部。 The liquid processing apparatus according to claim 1, further comprising: a cleaning liquid supply unit for supplying a cleaning liquid to the processing liquid nozzle located in the standby unit. 如申請專利範圍第1項所記載之液體處理裝置,其中前述液體去除部,為了藉由毛細管現象將液滴吸收於其內部而予以去除,於其表面具有多數的凹部。 The liquid processing apparatus according to claim 1, wherein the liquid removing portion is removed in order to absorb the liquid droplets by capillary action, and has a plurality of concave portions on the surface thereof. 如申請專利範圍第6項所記載之液體處理裝置,其中前述凹部,係朝向上方開口且從上方往下方變寬來構成。 The liquid processing apparatus according to claim 6, wherein the concave portion is configured to open upward and widen from the upper side to the lower side. 如申請專利範圍第1項所記載之液體處理裝置,其中前述液體去除部,係為了藉由毛細管現象將液滴吸收於其內部而予以去除,由多孔質材料所構成。 The liquid processing apparatus according to the first aspect of the invention, wherein the liquid removing unit is formed by absorbing a liquid droplet by a capillary phenomenon and is formed of a porous material. 如申請專利範圍第1項所記載之液體處理裝置,其中前述處理液體,係顯影液,前述基板係於其表面塗布有抗蝕劑且已被曝光者。 The liquid processing apparatus according to claim 1, wherein the processing liquid is a developing solution, and the substrate is coated on a surface thereof with a resist applied thereto. 一種液體處理方法,其特徵為具備:於上側形成有開口部的杯體中,設置水平地保持基板的基板保持部所構成,且從對於在各橫方向被配置為一列之複數個液體處理部被共用化之處理液體噴嘴,對前述基板供給處理液體之液體處理方法;及 為了從設置於前述液體處理部之列的延長線上,使處理液體噴嘴待機用之待機部往構成前述複數個液體處理部之一個液體處理部之上方區域,對該一個液體處理部之基板供給處理液,而藉由移動手段,使前述液體處理噴嘴依循液體處理部之列移動之工程;接下來,處理液體噴嘴不會返回前述待機部,為了將處理液供給至構成前複數個液體處理部之其他液體處理部的基板,而使該處理液體噴嘴朝向該其他液體處理部之上方區域依循前述列移動之工程;及接下來,在前述處理液體噴嘴往橫方向移動至其他液體處理部的中途,於前述杯體的開口部間,藉由洗淨液供給部將洗淨液供給至該處理液體噴嘴來洗淨該處理液體噴嘴,使被設置於具備前述洗淨液供給部且處理液體噴嘴的移動路徑的下方側之液體去除部和從藉由前述移動手段被移動之處理液體噴嘴垂下的洗淨液的液滴接觸之工程;及將與前述液體去除部接觸的液滴藉由該液體去除部,從處理液體噴嘴予以去除之工程。 A liquid processing method comprising: a cup holding body in which an opening is formed on the upper side, and a substrate holding portion that holds the substrate horizontally, and a plurality of liquid processing units arranged in a row in each lateral direction a liquid processing method for supplying a processing liquid to the substrate; The substrate supply processing for the one liquid processing unit is performed in a region above the liquid processing unit that constitutes the plurality of liquid processing units from the standby portion for waiting for the processing liquid nozzle from the extension line provided in the liquid processing unit. a liquid, wherein the liquid processing nozzle moves in accordance with the movement of the liquid processing unit by moving means; then, the processing liquid nozzle does not return to the standby portion, and the processing liquid is supplied to the plurality of liquid processing portions before the formation. a substrate of the other liquid processing unit, wherein the processing liquid nozzle is moved toward the upper portion of the other liquid processing unit in accordance with the movement of the column; and then, the processing liquid nozzle moves to the middle of the other liquid processing unit in the lateral direction, Between the openings of the cup body, the cleaning liquid supply unit supplies the cleaning liquid to the processing liquid nozzle to clean the processing liquid nozzle, and is provided in the cleaning liquid supply unit and the processing liquid nozzle. a liquid removing portion on a lower side of the moving path and a liquid discharging nozzle that is moved from the moving means by the aforementioned moving means The construction of the cleaning liquid drop contact; and removing the portion in contact with the droplets of the liquid by the liquid removing unit, to be removed from the treatment liquid nozzle engineering. 如申請專利範圍第10項所記載之液體處理方法,其中對前述基板供給處理液體之工程,係從處理液體噴嘴的吐出口對斜下方供給前述處理液體之工程。 The liquid processing method according to claim 10, wherein the process of supplying the processing liquid to the substrate is a process of supplying the processing liquid obliquely downward from a discharge port of the processing liquid nozzle. 如申請專利範圍第10項所記載之液體處理方法,其中,前述處理液體為顯影液,前述基板係於其表面塗布有抗蝕劑且已被曝光者。 The liquid processing method according to claim 10, wherein the processing liquid is a developing solution, and the substrate is coated on a surface thereof with a resist applied thereto. 一種記憶媒體,係記憶有對於基板進行液體處理 之液體處理裝置所使用的電腦程式之記憶媒體,其特徵為:前述電腦程式,係用以實施申請專利範圍第10~12項所記載之液體處理方法者。 A memory medium that memorizes the liquid processing of a substrate The memory medium of the computer program used in the liquid processing apparatus is characterized in that the computer program is used to implement the liquid processing method described in claim 10 to 12.
TW099104432A 2009-02-13 2010-02-11 Liquid handling devices, liquid handling methods and memory media TWI454318B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2009031889A JP2010186974A (en) 2009-02-13 2009-02-13 Liquid treatment device, liquid treatment method, and storage medium

Publications (2)

Publication Number Publication Date
TW201100177A TW201100177A (en) 2011-01-01
TWI454318B true TWI454318B (en) 2014-10-01

Family

ID=42609251

Family Applications (1)

Application Number Title Priority Date Filing Date
TW099104432A TWI454318B (en) 2009-02-13 2010-02-11 Liquid handling devices, liquid handling methods and memory media

Country Status (4)

Country Link
JP (1) JP2010186974A (en)
KR (1) KR101530959B1 (en)
CN (1) CN101807514B (en)
TW (1) TWI454318B (en)

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4893799B2 (en) * 2009-10-23 2012-03-07 東京エレクトロン株式会社 Developing device, developing method, and storage medium
JP5474853B2 (en) 2011-03-08 2014-04-16 東京エレクトロン株式会社 Liquid processing apparatus, liquid processing method, and recording medium on which a computer program for executing the liquid processing method is recorded
JP5293790B2 (en) * 2011-09-22 2013-09-18 東京エレクトロン株式会社 Liquid processing apparatus, liquid processing method, and storage medium
TWI544291B (en) 2012-05-22 2016-08-01 斯克林半導體科技有限公司 Development processing device
CN102937779A (en) * 2012-11-22 2013-02-20 上海集成电路研发中心有限公司 Developing liquid spraying railway machine and spraying method of developing liquid
JP5867462B2 (en) * 2013-07-26 2016-02-24 東京エレクトロン株式会社 Liquid processing equipment
CN104347352B (en) * 2013-07-31 2018-05-29 细美事有限公司 A kind of substrate board treatment and substrate processing method using same
JP5934161B2 (en) * 2013-09-09 2016-06-15 武蔵エンジニアリング株式会社 Nozzle and liquid material discharge apparatus including the nozzle
KR102223764B1 (en) * 2013-12-27 2021-03-05 세메스 주식회사 Apparatus and Method for treating substrate
CN104952765B (en) * 2014-03-26 2017-10-03 斯克林集团公司 Substrate board treatment, nozzle and substrate processing method using same
JP6680096B2 (en) * 2016-06-13 2020-04-15 東京エレクトロン株式会社 Liquid processing apparatus, liquid processing method, and storage medium
JP7112884B2 (en) 2018-05-24 2022-08-04 東京エレクトロン株式会社 LIQUID TREATMENT APPARATUS, LIQUID TREATMENT METHOD, AND COMPUTER-READABLE RECORDING MEDIUM
JP7236318B2 (en) 2019-04-26 2023-03-09 東京エレクトロン株式会社 LIQUID PROCESSING APPARATUS AND LIQUID PROCESSING METHOD
CN113759675A (en) * 2020-06-05 2021-12-07 长鑫存储技术有限公司 Semiconductor device and method of operating the same

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0430521A (en) * 1990-05-28 1992-02-03 Dainippon Screen Mfg Co Ltd Rotary type apparatus for surface treatment for substrate
JPH1167622A (en) * 1997-08-11 1999-03-09 Dainippon Screen Mfg Co Ltd Developing device
JP2002124465A (en) * 1994-09-29 2002-04-26 Tokyo Electron Ltd Developer
JP2008135679A (en) * 2006-10-25 2008-06-12 Tokyo Electron Ltd Liquid treatment apparatus and method, and storage medium

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4011040B2 (en) * 1997-04-10 2007-11-21 大日本スクリーン製造株式会社 Developing apparatus and developing method
US6770424B2 (en) * 2002-12-16 2004-08-03 Asml Holding N.V. Wafer track apparatus and methods for dispensing fluids with rotatable dispense arms

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0430521A (en) * 1990-05-28 1992-02-03 Dainippon Screen Mfg Co Ltd Rotary type apparatus for surface treatment for substrate
JP2002124465A (en) * 1994-09-29 2002-04-26 Tokyo Electron Ltd Developer
JPH1167622A (en) * 1997-08-11 1999-03-09 Dainippon Screen Mfg Co Ltd Developing device
JP2008135679A (en) * 2006-10-25 2008-06-12 Tokyo Electron Ltd Liquid treatment apparatus and method, and storage medium

Also Published As

Publication number Publication date
KR20100092881A (en) 2010-08-23
KR101530959B1 (en) 2015-06-23
TW201100177A (en) 2011-01-01
JP2010186974A (en) 2010-08-26
CN101807514A (en) 2010-08-18
CN101807514B (en) 2011-12-28

Similar Documents

Publication Publication Date Title
TWI454318B (en) Liquid handling devices, liquid handling methods and memory media
US10297473B2 (en) Liquid processing apparatus
KR101215705B1 (en) Coating apparatus, coating method, coating developing apparatus and computer readable medium
KR100897428B1 (en) Substrate cleaning apparatus and substrate cleaning method
KR101670095B1 (en) Liquid processing apparatus
US20090070946A1 (en) Apparatus for and method of processing substrate
JP5996425B2 (en) Cleaning jig and cleaning method for cleaning substrate processing apparatus, and substrate processing system
JP5913167B2 (en) Liquid processing apparatus and cleaning method
KR102482211B1 (en) Substrate processing apparatus, substrate processing method, and storage medium
JP2008077764A (en) Method of cleaning magnetic head slider, manufacturing method, and cleaning device
TWI629741B (en) Substrate liquid processing device
KR20100103377A (en) Developing device, developing method and storage medium
TW201836036A (en) Substrate processing apparatus, substrate processing method and recording medium
JP2017188665A (en) Substrate processing apparatus and substrate processing method
JP2007053154A (en) Cleaning device for mask substrate, and cleaning method for mask substrate using the device
JP5293790B2 (en) Liquid processing apparatus, liquid processing method, and storage medium
JP6473357B2 (en) Substrate processing equipment
JP6203893B2 (en) Liquid processing apparatus and cleaning method
JP2018147979A (en) Substrate processing device
JP2001230185A (en) Method and apparatus for developing
JP2018129476A (en) Substrate processing device
JP7221375B2 (en) Substrate processing brush cleaning method and substrate processing apparatus
KR102378337B1 (en) Apparatus and Method for treating substrate
JP2018049918A (en) Evaluation sample manufacturing method, evaluation sample manufacturing device, and substrate processing device
JP2006024963A (en) Cleaning treatment apparatus