TWI376014B - Ruthenium layer formation for copper film deposition - Google Patents

Ruthenium layer formation for copper film deposition Download PDF

Info

Publication number
TWI376014B
TWI376014B TW093123386A TW93123386A TWI376014B TW I376014 B TWI376014 B TW I376014B TW 093123386 A TW093123386 A TW 093123386A TW 93123386 A TW93123386 A TW 93123386A TW I376014 B TWI376014 B TW I376014B
Authority
TW
Taiwan
Prior art keywords
gas
substrate
cyclooctadiene
layer
bis
Prior art date
Application number
TW093123386A
Other languages
Chinese (zh)
Other versions
TW200509303A (en
Inventor
Mei Chang
Seshadri Ganguli
Nirmalya Maity
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW200509303A publication Critical patent/TW200509303A/en
Application granted granted Critical
Publication of TWI376014B publication Critical patent/TWI376014B/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76874Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroless plating
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y30/00Nanotechnology for materials or surface science, e.g. nanocomposites
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating

Description

Γ376014 玖、發明說明: 【發明所屬之技術領域】 本發明係有關於貴金屬層形成的方法,更明確地說, 關於用於铜積體電路之釕層形成方法。 【先前技術】 次四分之一微米多層金屬化為下一代超大型積體電路 (VLSI)及巨大型積體電路(ULSI)半導體裝置之主要技術之 一。為此技術主導部份之多層内連線需要填充形成在高深 寬比孔徑中之接點、導孔、線路及其他部件。這些部件之 可靠成形,對於VLSI& ULSI與對於後續持續增加在個別 基材及晶片上之部件密度及品質係相當重要。 因為當電路密度增加時,接點、逡a . 町茌點、導孔、線路及其他部 件與其間之介電材料之宽唐城人· 竹心見度,.恩口可能降低到低於約25〇奈 米’而介電層之厚度仍俘拉音暂丁傲 '、 ^ W保持貫質不變,因而造成部件之深 寬比增加,即其1¾度哈以官序t 〇没眛以覓度比增加。很多習知沉 中,當深寬比超出6,1昧, 印6 . 1時,已經具有困難填充結構者听 寬比超出10:1時,更巌會。阳山 1 田冰 更威重。因此,已經有大量之努力你 針對具有深寬比為6: 1或更高 刀係 構的成形。 "更…寬比的無孔隙奈米級結 另外*部件寬度降低時,裝置電流通常保持 增加,這造成此等部件之# $ 个燹或 1件之電流密度增加。因為鋁 阻率、對多數介電材料優良附著性、容易圖型化低電 純度形式取得銘的.能力,所以元素…合 =高 3 Γ376014 在半導體裝置中,形成導孔及線路之傳統金屬。然而,鋁 具有較其他導電金屬(例如銅)高之電阻率。鋁同時也電 遷移現象,造成會在導體中形成孔隙。 銅及銅合金具有較鋁為低之電阻率,同時,相較於鋁 之較高抗電遷移性。這些特徵對於支持在高階積體電路之 高電流密度及高裝置速度相當重要。銅同時也有良好導熱 率。因此,銅變成在半導體基材上,填充次四分之一微米 之高深寬比内連線部件的一選擇金屬。Γ376014 玖, DESCRIPTION OF THE INVENTION: TECHNICAL FIELD The present invention relates to a method of forming a noble metal layer, and more particularly to a method of forming a tantalum layer for a copper integrated circuit. [Prior Art] The second quarter micron multilayer metallization is one of the main technologies of the next generation ultra large integrated circuit (VLSI) and giant integrated circuit (ULSI) semiconductor devices. The multilayer interconnects that are dominant in this technology need to be filled with contacts, vias, traces, and other components formed in the high aspect ratio aperture. The reliable formation of these components is important for VLSI & ULSI and for subsequent enhancement of component density and quality on individual substrates and wafers. Because when the circuit density increases, the joints, 逡a. 茌 茌 points, guide holes, lines and other components and the dielectric material between them are wide Tangcheng people, bamboo visibility, the mouth may be reduced to less than about 25〇奈' and the thickness of the dielectric layer is still captured, and the sound is still unchanged. The W maintains a constant quality, which results in an increase in the aspect ratio of the part, that is, its 13⁄4 degree is not in the official order. The twist ratio is increased. Many of the conventional sinks, when the aspect ratio exceeds 6, 1昧, and 6.1, when the difficulty of filling the structure is greater than 10:1, it is even more difficult. Yangshan 1 Tian Bing is more powerful. Therefore, there has been a lot of effort in shaping your knife system with an aspect ratio of 6:1 or higher. "More...width-free, non-porous nanoscale junctions. *When the component width is reduced, the device current is usually kept increasing, which causes the current density of #$燹 or 1 of these components to increase. Because of the aluminum resistivity, excellent adhesion to most dielectric materials, and the ability to easily image low-purity forms, the element...h = high 3 Γ376014 In semiconductor devices, the conventional metal for vias and traces is formed. However, aluminum has a higher electrical resistivity than other conductive metals such as copper. Aluminum also undergoes electromigration, causing pores to form in the conductor. Copper and copper alloys have a lower resistivity than aluminum and, at the same time, have higher electromigration resistance than aluminum. These features are important to support high current densities and high device speeds in high-order integrated circuits. Copper also has good thermal conductivity. Thus, copper becomes a selective metal on the semiconductor substrate that fills the quarter-micron high aspect ratio interconnect components.

例如ίε、始、銘、鎮及鍵等之貴金屬薄膜也可以用作 為銅導孔及線路之下層。此等抗腐蝕及氧化之貴金屬可以 提供一平滑表面,隨後使用例如電化學電鍍(ECP)製程,沉 積一銅種層在其上。For example, noble metal films such as ίε, Shi, Ming, Zhen and keys can also be used as copper vias and underlying layers. These corrosion-resistant and oxidized precious metals can provide a smooth surface, followed by deposition of a copper seed layer thereon using, for example, an electrochemical plating (ECP) process.

貴金屬通常使用化學氣相沉積(CVD)製程或物理氣相 沉積(PVD)製程加以沉積。不好的是,使用CVD及/或PVD 製程沉積於高深寬比内連線部件上之貴金屬一般具有較差 之步階覆蓋率(例如沉積一非連續材料層)。貴金屬材料層 之較差之步階覆蓋率可能使得後續使用ECP製程所沉積之 銅種層變得不均勻。 因此,於本技藝中,有需要一種將貴金屬沉積於高深 寬比内連線部件,且具有良好步階覆蓋率的方法。 【發明内容】 本案係關於一種形成可用於高深寬比内連線部件之貴 金屬層的方法。該貴金屬層係使用一循環沉積製程,例如 4 1376014 原子層沉積(ALD)加以形成。循環沉積製程包含在一基材 結構上交替地吸附一含貴金屬的前驅物及一還原氣體。所 吸附之該内含貴金屬的前驅物與所吸附的該還原氣體反 應,以在基材上形成貴金屬層。適合的貴金屬包含例如釕、 Ιε、钻、銘、鎳及鍵等。 貴金屬層成形係相容於積體電路製程。於一積體電路 製程中,貴金屬層可以作為一銅内連線中之一銅種層的下 層。對於這類實施例,一較佳製程順序包含提供一基材, 該基材上之一或多個介電層中具有一内連線圖案。該内連 線圖案包含一同形沉積於其上之阻障層。一貴金屬層(例如 釕)被同形沉積在該阻障層上。藉由交替地吸附一含貴金屬 層及一還原氣體在基材上,以循環沉積製程沉積貴金屬 層。隨後,鋼内連線係藉由沉積一銅種層在貴金屬層上, 然後,以大塊銅金屬填充内連線加以完成。 於一實施例中,提供一種在基材上,形成一膜的方法, 其包含將該基材置放在處理腔室内,並且,藉由依序地化 學吸附單層含釕化合物及一還原氣體在基材上,以形成該 釕層,而在該基材之至少一部份上形成一釕層》 於另一實施例中,提供在一種積體電路製程用基材上 形成一釕層的方法,其包含:將基材置放在一處理腔室内, 其中該處理腔室係與一配氣系統流體相通;由該配氣系統 將含釕化合物輸送至處理腔室;化學吸附一含釕層在基材 上;由配氣系統輸送一還原氣體至處理腔室及將還原氣體 與含釕層反應,以在基材上形成釕層。 5 1376014 於另一實施例中,提供一種在一基材表面形成一含釕 層的方法,該方法包含:a)將基材表面曝露至含釕化合物, 以在基材表面形成·-含釕層;b)以沖洗氣體沖洗該腔室; c)將一還原氣體與含釕層反應;及d)以沖洗氣體沖洗該腔 室。 於另一實施例中,提供一種在基材上形成一釕層的方 法,其包含:置放一基材於一處理腔室内,並藉由依序化 學吸附單層含釕化合物及還原氣體以在該基材的至少一部 份上形成釕層。該方法更包含處理腔室,該處理腔室包含 裝有該基材之一基材支撐件;一腔室蓋,該腔室蓋的中心 部份包含一通道,且該腔室蓋包含由該通道延伸至腔室蓋 的週邊部份的一底面,該底面之大小形狀實質覆蓋該基 材;連接至該通道的一或多個閥,:一或多個氣體源,該 等氣體源連接至每個閥及一反應區,該反應區定義在腔室 蓋及基材之間.,該反應區體積很小。 於另一實施例中,提供一種在積體電路製程用之基材 上形成一釕層之方法,該方法包含:將基材置放在一處理 腔室内;藉由將基材曝露至雙(2,4-二甲基戊二烯基)釕,化 學吸附一含釕層在基材上,;沖洗處理腔室;將含釕層曝 露至一試劑;以及將該試劑與含釕層反應,以在基材上形 成釕層。 於另一實施例中,提供一種在用於積體電路製程之基 材上形成一釕層的方法,該方法包含:將基材置放在一處 理腔室内;將基材曝露至一試劑及一含雙(2,4-二甲基戊 6 1376014 二烯基)釕之處理氣體的順序脈衝;在試劑及處理氣體的 順序脈衝之間沖洗處理腔室;以及將雙(2,4 -二甲基戊二 烯基)釕還原,以在基材上形成釕層。 於另一實施例中,提供一種在用於積體電路製程之基 材上形成一釘層的方法,該方法包含:將基材置放在一處 理腔室内;將該基材曝露至一試劑及一含釕化合物處理氣 體之順序脈衝,該含釕化合物係選自由:三(2,2,6,6-四甲 基-3,5-庚二酮酸)釕,雙(2,4-二曱基戊二烯基)釕,二羰基 戊二烯基釕,乙醯丙酮酸釕,(2,4 -二甲基戊二烯基)釕(環 戊二烯基),雙(2,2,6,6-四曱基-3,5 -庚二酮酸)釕(1,5 -環辛 二烯),(2,4-二曱基戊二烯基)釕(甲基環戊二烯基),(1,5-環辛二烯)釕(環戊二烯基),(1,5 -環辛二烯)釕(曱基環戊二 烯基),(1,5-環辛二烯)釕(乙基環戊二烯基),(2,4-二甲基 戊二烯基)釕(乙基環戊二烯基),(2,4-二甲基戊二烯基)釕 (異丙基環戊二烯基),雙(Ν,Ν-二甲基1,3-四甲基二醯亞胺 酸)釕(1,5-環辛二烯),雙(Ν,Ν-二曱基1,3-二甲基二醯亞胺 酸)釕(1,5-環辛二烯),(6-C6H6)釕(1,3-環己二烯),雙(烯 丙基)釕(1,5-環辛二烯),雙(1,1-二曱基-2-胺基乙氧基酸) 釕(1,5-環辛二烯),雙(1,1-二曱基-2-胺基乙基胺基酸)釕 (1,5 -環辛二烯)及其衍生物及其混合物所構成之群組;於試 劑及處理氣體之順序脈衝間沖洗該處理腔室;及還原該含 釕化合物,以在基材上形成釕詹。 【實施方式】 7 1376014 第1圖顯示可用以執行依據本發明實施例之積體電路 製程之處理腔室10之剖面圖。處理腔室1〇内通常含有一 基材支撐托架48,該基材支撐托架48用以支撐一基材(未 顯示)。該基材支撐托架48可利用位移機構48a在處理腔 室10内於垂直方向移動。 取決於該特定製程,基材可以在沉積前或沉積時被加 熱至想要之溫度。例如,基材支撐托架48可以使用—内建 加熱件52A加以加熱。基材支禮托架^可以藉由 二-交流電源52之電流至加熱元件52a,而作電阻性加 :二而基材(未顯示)隨後又被托架48所加熱。或者,基材 架48可以使用例如燈(未顯示)之輻射加熱器加 rnn vh λ 禮托架钟内,/,一入奶卿时-代-被内藏於該基材支 之,田产 '乂習知方式’監視托架48之溫度。所量 交流電源52, 以控制用以加熱元件52A之 特定製裎夕冑得基材溫度可以被維持或控制於適用於該 <所需溫度。 在處St Μ也被用以將處理腔室10抽真空,並维持 處理腔室0内之壓力。處理氣體經由氣體歧管34弓丨入 歧管34係’該歧^係位在基材支撑托架48上。氣體 各種處理痛縣至一氣體面板(未顯示),該面板控制及供給 ^體給該處理腔室1 〇。Precious metals are typically deposited using a chemical vapor deposition (CVD) process or a physical vapor deposition (PVD) process. Unfortunately, precious metals deposited on high aspect ratio interconnect components using CVD and/or PVD processes generally have poor step coverage (e.g., depositing a layer of discontinuous material). Poor step coverage of the precious metal material layer may cause the copper seed layer deposited by subsequent ECP processes to become uneven. Accordingly, there is a need in the art for a method of depositing precious metals in high aspect ratio interconnect components with good step coverage. SUMMARY OF THE INVENTION The present invention is directed to a method of forming a precious metal layer that can be used for high aspect ratio interconnect components. The noble metal layer is formed using a cyclic deposition process such as 4 1376014 Atomic Layer Deposition (ALD). The cyclic deposition process comprises alternately adsorbing a noble metal-containing precursor and a reducing gas on a substrate structure. The noble metal-containing precursor adsorbed reacts with the adsorbed reducing gas to form a noble metal layer on the substrate. Suitable precious metals include, for example, ruthenium, osmium, drill, imprint, nickel, and bonds. The precious metal layer forming system is compatible with the integrated circuit process. In an integrated circuit process, the noble metal layer can serve as the lower layer of a copper layer in a copper interconnect. For such embodiments, a preferred process sequence includes providing a substrate having an interconnect pattern in one or more dielectric layers on the substrate. The interconnect pattern includes a barrier layer deposited isomorphously thereon. A layer of noble metal (e.g., tantalum) is deposited isomorphically on the barrier layer. The noble metal layer is deposited by a cyclic deposition process by alternately adsorbing a noble metal-containing layer and a reducing gas on the substrate. Subsequently, the steel interconnect is completed by depositing a copper seed layer on the precious metal layer and then filling the interconnect with a large piece of copper metal. In one embodiment, a method of forming a film on a substrate, comprising placing the substrate in a processing chamber, and sequentially chemically adsorbing a single layer of a ruthenium-containing compound and a reducing gas are provided Forming the ruthenium layer on the substrate to form a ruthenium layer on at least a portion of the substrate. In another embodiment, a method of forming a ruthenium layer on a substrate for an integrated circuit process is provided The method comprises: placing a substrate in a processing chamber, wherein the processing chamber is in fluid communication with a gas distribution system; the gas distribution system transports the ruthenium-containing compound to the processing chamber; and chemically adsorbing a ruthenium-containing layer On the substrate; a reducing gas is delivered from the gas distribution system to the processing chamber and the reducing gas is reacted with the ruthenium containing layer to form a ruthenium layer on the substrate. 5 1376014 In another embodiment, there is provided a method of forming a ruthenium-containing layer on a surface of a substrate, the method comprising: a) exposing a surface of the substrate to a ruthenium-containing compound to form a ruthenium on the surface of the substrate a layer; b) rinsing the chamber with a flushing gas; c) reacting a reducing gas with the ruthenium containing layer; and d) rinsing the chamber with a flushing gas. In another embodiment, a method of forming a germanium layer on a substrate, comprising: placing a substrate in a processing chamber, and sequentially adsorbing a single layer of a germanium-containing compound and a reducing gas in sequence A layer of germanium is formed on at least a portion of the substrate. The method further includes a processing chamber comprising a substrate support member mounted on the substrate; a chamber cover, the central portion of the chamber cover including a channel, and the chamber cover includes The passage extends to a bottom surface of the peripheral portion of the chamber cover, the bottom surface having a size substantially covering the substrate; one or more valves connected to the passage, one or more gas sources, the gas sources being connected to Each valve and a reaction zone are defined between the chamber cover and the substrate. The reaction zone is small in volume. In another embodiment, a method of forming a germanium layer on a substrate for an integrated circuit process is provided, the method comprising: placing a substrate in a processing chamber; exposing the substrate to a double 2,4-Dimethylpentadienyl), chemically adsorbing a ruthenium-containing layer on a substrate; rinsing the processing chamber; exposing the ruthenium-containing layer to a reagent; and reacting the reagent with the ruthenium-containing layer, To form a layer of germanium on the substrate. In another embodiment, a method of forming a germanium layer on a substrate for an integrated circuit process is provided, the method comprising: placing a substrate in a processing chamber; exposing the substrate to a reagent and a sequential pulse of a process gas containing bis(2,4-dimethylpenta -6 1376014 dienyl) hydrazine; rinsing the processing chamber between sequential pulses of reagents and process gases; and bis (2,4 - 2 Methylpentadienyl) is reduced to form a ruthenium layer on the substrate. In another embodiment, a method of forming a nail layer on a substrate for an integrated circuit process is provided, the method comprising: placing a substrate in a processing chamber; exposing the substrate to a reagent And a sequential pulse of a ruthenium-containing compound treatment gas selected from the group consisting of: tris(2,2,6,6-tetramethyl-3,5-heptanedionate) ruthenium, bis(2,4- Dimercapto pentadienyl) hydrazine, dicarbonyl pentadienyl hydrazine, hydrazine acetylacetonate, (2,4-dimethylpentadienyl) fluorene (cyclopentadienyl), bis (2, 2,6,6-tetradecyl-3,5-heptanedionate) ruthenium (1,5-cyclooctadiene), (2,4-didecylpentadienyl) fluorene (methylcyclopentane) Dienyl), (1,5-cyclooctadiene) anthracene (cyclopentadienyl), (1,5-cyclooctadiene) anthracene (fluorenylcyclopentadienyl), (1,5- Cyclooctadiene) oxime (ethylcyclopentadienyl), (2,4-dimethylpentadienyl)anthracene (ethylcyclopentadienyl), (2,4-dimethylpentane) Alkenyl) hydrazine (isopropylcyclopentadienyl), bis(indenyl, hydrazine-dimethyl1,3-tetramethyldiimide) hydrazine (1,5-cyclooctadiene), double (Ν , Ν-dimercapto 1,3-dimethyl bis-imido acid) hydrazine (1,5-cyclooctadiene), (6-C6H6) hydrazine (1,3-cyclohexadiene), double ( Allyl) hydrazine (1,5-cyclooctadiene), bis(1,1-dimercapto-2-aminoethoxy acid) hydrazine (1,5-cyclooctadiene), double (1 , 1-dimercapto-2-aminoethylamino acid) hydrazine (1,5-cyclooctadiene) and its derivatives and mixtures thereof; in the sequence of reagents and process gases Flushing the processing chamber; and reducing the cerium-containing compound to form a ruthenium on the substrate. [Embodiment] 7 1376014 FIG. 1 shows a cross-sectional view of a processing chamber 10 that can be used to perform an integrated circuit process in accordance with an embodiment of the present invention. The processing chamber 1A typically includes a substrate support bracket 48 for supporting a substrate (not shown). The substrate support bracket 48 is movable in the vertical direction within the processing chamber 10 by the displacement mechanism 48a. Depending on the particular process, the substrate can be heated to the desired temperature before or during deposition. For example, the substrate support bracket 48 can be heated using the built-in heating element 52A. The substrate support tray can be resistively added by the current of the two-AC power source 52 to the heating element 52a. The substrate (not shown) is then heated by the carrier 48. Alternatively, the substrate holder 48 may use a radiant heater such as a lamp (not shown) plus a rnn vh λ ritual bracket clock, /, when entering the milk cleavage - generation - is contained in the substrate, the field ' The conventional method of 'monitoring the temperature of the bracket 48. The amount of AC power source 52 is controlled to control the temperature of the substrate used to heat element 52A. The substrate temperature can be maintained or controlled for the desired temperature. St Μ is also used to evacuate the processing chamber 10 and maintain the pressure within the processing chamber 0. The process gas is drawn into the manifold 34 via the gas manifold 34. The system is positioned on the substrate support bracket 48. Gas The various treatments are from Guanxian to a gas panel (not shown), which controls and supplies the body to the processing chamber.

氣體 s S 控制器(未辟-:體歧管34之適當控制及調整係藉由質流 ’’·不)及一微處理器控制器70加以執行。氣體歧 8 101376014 管34允許多數處理氣體引入並均勻地分佈在處理腔室 之中。另外,氣體管34可以視需要加熱,以防止反應氣 凝結在歧管内。 氣體歧管34包含多個電子控制閥(未顯示)。於此所 之這些電子控制閥表示任何可藉由閥開啟及閉合循環, 供快速及精確氣體流至處理腔室1 0内之控制閥,該循環 圍由約0.0 1秒至約1 0秒,較佳約由0.0 5秒至約2秒, 好是由約0.1秒至約1秒。 微處理器控制器70可為任意形式之通用電腦處理 (CPU),該電腦處理器可用於控制各種腔室及副處理器 工業設定。電腦也可以使用任意適當記憶體,例如隨機 取記憶體、唯讀記憶體、軟碟機、光碟機、硬碟機或其 形式之本機或遠端數位儲存裝置。各種支援電路可以連 至該CPU,以習知方式支援該處理器。所需軟體程式可 儲存在記憶體中或由遠端之第二CPU所執行。 執行軟體程式以啟始處理程式或程序。當執行軟體 式時,可將通用電腦轉變為可控制腔室運作的特定程序 腦,以執行腔室處理。例如,軟體程式可以用以精確地 電子控制閥的啟動,用以依據本發明執行處理順序。或名 軟體程式也可以執行於硬體中,作為特定應用積體電路 其他類型之硬體實施,或軟體或硬體的組合。 第2圖為一腔室80實施例之剖面圖,其包含一配氣 備 1 3 0,適用於循環沉積,例如原子層沉積或快速化學 相沉積。腔室8 0之詳細說明係在同一受讓人之美國專利 體 用 提 範 最 器 之 存 他 接 以 程 電 控 Ϊ 或 設 氣 中 9 Γ376014 請公開第20030079686號及同一受讓人申請於2002年1〇 月25曰之美國專利申請第1〇/281〇79號名為’,用於原子層 沉積之配氣設備”加以說明,該等案係併入作為參考。在此 所稱之原子層沉積(ALD)及快速化學氣相沉積係指依序弓丨 入反應劑,以在基材結構上沉積一薄層。可重覆依序彳丨入 反應劑之步驟以沉積多個薄層,藉此形成所需厚度之共形 層。腔室80亦可適用於其他沉積技術。 腔室80包含一腔室主體82,其具有側壁84及一底部 86。腔室80内之狹縫閥88提供一出入口,使機械手臂(未 不出)可由腔室80輸送並取回基材90,該基材90為例如 2 00 mm或30 0 mm半導體晶圓或玻璃基板。 在腔室80中’基材支撐件92以基材接收面91支樓基 材90。基材支撐件92安裝至一抬舉馬達U4,以使基材支 撑件92及安裝於其上之基材9〇升降。連接至抬舉馬達n 之抬舉板116被安裝至腔室80中,並使穿過基材支律件 92可動式安裝之銷120升降。銷12〇可在基材支撐件μ 之表面上使基材90升降。基材支撐件92可以包含一真空 吸盤 '一靜電吸盤、或一夾持環,用以於處理時將基材 9〇固定至基材支撐件92上。 可加熱基材支撐件92,以加熱放置於其上之基材9〇。 例如,基材支撐件92可以使用一内藏加熱元件(例如電阻 加熱器)加熱,或使用輻射熱(例如安裝在基材支撐件Μ 上之加熱燈)加熱。可在基材支撐件92上安裝沖洗環1 1 2, 以定義一沖洗通道1 2 4,該沖洗通道丨2 4提供沖洗氣體至 10 1376014 基材90之週邊部,以防止沉積於其上。 一配氣設備130被安裝在腔室主體82上部份,以提供 氣體(例如處理氣體及/或沖洗氣體)至腔室80。一真空 系統1 7 8係與一抽氣通道1 7 9相通,以由腔室8 0抽空任意 氣體,並協助維持腔室80之抽氣區166内之所需壓力或所 需壓力範圍。 於一實施例中,如第1及2圖所示之腔室允許處理氣 體及/或沖洗氣體經由配氣設備1 3 0,以垂直於基材9 0之 平面的方式進入腔室80。因此,基材90表面被對稱地曝 露於氣體下,以允許在基材上形成均勻膜層。處理氣體於 一脈衝中,包含一含釕前驅物,於另一脈衝中,包含一還 原氣體。 繪於第2圖之腔室80產生較第1圖所示之腔室10為 均勻之膜。同時,腔室80用一較處理腔室10為小之循環 時間,因為相較於腔室10,腔室8 0花用較少時間沖洗及 較少時間以前驅物摻雜晶圓至飽和。較少摻雜時間是很重 要的,因為很多含釕化合物具有蒸氣壓力較低之固有特 徵。低蒸氣壓力表示每單位時間及溫度下載氣所含前驅物 之飽和量較少,因此,相較於以高蒸氣壓力之傳統前驅物 (例如 TiCl4),需要更多時間以含釕化合物(例如雙(2,4-二 甲基戊二烯基)釕)來飽和該晶圓表面。因此,腔室10可以 約1秒或更少時間摻雜含釕化合物,而腔室8 0可以約0.2 秒或更少時間摻雜相同含釕化合物。 於一實施例中,配氣設備1 3 0包含一腔室蓋1 3 2。該 11 1-376014 腔室蓋132包含—擴張通道134及一底面ι6〇,該擴張通 道134由該腔室蓋132之中心部份延伸,—該底面16〇由 擴張通道134延伸至腔室蓋132之週邊部份。該底面ι6〇 被作成大小及形狀以整個地覆蓋住安置在基材支撐件92 上之基材90。擴張通道134有氣體入口 136A、136B,以 提供來自兩類似閥142A、142B之氣體流。由閥142A,142B 流出之氣體流可以一起提供及/或分開提供。 於一架構中’閥142A、142B被連接至不同之反應氣 體源’但較佳連接至相同之沖洗氣體源。例如,閥142 a 係連接至反應氣體源1 3 8而閥1 42B係連接至反應氣體源 139,而閥142A、142B均連接至沖洗氣體源140。每一閥 142A、142B均包含一輸送管路143A、143B (分別具有一 閥座組件144A、144B)及包含一沖洗管路145A、145B(分 別具有一閥座組件146A、146B)。輸送管路143A、143B 係與反應氣體源138、139相通並與擴張通道134之氣體入 口 136A、136B相通。輸送管路143A、143B之閥座組件 1 44 A、144B控制來自反應氣體源138、139至擴張通道134 之反應氣體流。沖洗管路1 4 5 A、1 4 5 B係與沖洗氣體源1 4 0 相通並與輸送管路 143A、143B之閥座組件 144A、144B 下游的輸送管路143A、143B相交叉。沖洗管路145A、145B 之閥座組件146A、146B控制由沖洗氣體源140至輸送管 路143 A、143B之沖洗氣體流。若一載氣被用以輸送來自 反應氣體源138、139之反應氣體,則最好使用同一種氣體 作為載氣及沖洗氣體(即使用氬氣作為載氣及沖洗氣體)。 12 1376014 每一閥座组件144A、144B、146A、146B可以包含一 膜片及一閥座。膜片可以偏壓方式開閉或以致動方式開 閉。膜片可以為氣動或電動。氣動閥之例子包含由Fujiken 及Veriflow所購得之氣動閥。電動閥之例子包含由Fujiken 所購得之電動閥》程式編輯邏輯控制器148A、148B可以 連接至閥142A、142B,以控制閥142A、142B之閥座組件 144A、144B ' 146A、146B的膜片》氣動閥也可以低至約 0.020秒之時間週期提供氣體脈衝。電動閥也可以低至約 0.00 5秒之時間週期提供氣體脈衝。電動閥通常需要使用 一驅動器’該驅動器連接至該閥與該程式編輯邏輯控制器 之間。 閥142A、142B可以為無滯留容積閥,以當閥之閥座 組件144A、144B閉合時,允許來自輸送管路143A、143B 之反應氣體的沖洗。例如,沖洗管路1 4 5 A、1 4 5 B可以定 位在輸送管路143A、143B之閥座組件144A、144B附近。 當閥座組件1 44A、1 44B閉合時,沖洗管路1 45A、1 45B 可以提供沖洗氣體以沖洗輸送管路1 4 3 A、1 4 3 B »於所示 實施例中,沖洗管路1 4 5 A、1 4 5 B係定位略微離開輸送管 路143A、143B之閥座組件144A、144B,使得當開啟時, 沖洗氣體不會直接被輸送至閥座組件1 44A、1 44B。於此 所用之無滯留容積閥係定義為一個滯留容積小到可忽略的 閥(即不必為完全無滯留容積)。 每個閥142A' 142B可適用於提供反應氣體138、139 及沖洗氣體14 0的一組合氣流及/或個別氣流。參照閥 13 的人由闊142A所提供之反應氣體138及沖洗氣體140 路’。氣流之一實例包含來自沖洗氣體源i 4〇經由沖洗管 45 A之沖洗氣體的連續氣流及來自反應氣體源1 3 8經The gas s S controller (not properly - the appropriate control and adjustment of the body manifold 34 is performed by mass flow '') and a microprocessor controller 70. Gas Disambiguation 8 101376014 Tube 34 allows most of the process gas to be introduced and evenly distributed throughout the process chamber. Alternatively, the gas tube 34 can be heated as needed to prevent the reaction gas from condensing within the manifold. Gas manifold 34 includes a plurality of electronically controlled valves (not shown). The electronically controlled valves herein represent any control valve that can be flowed into the processing chamber 10 by a valve opening and closing cycle, which cycle is from about 0.01 to about 10 seconds. Preferably, it is from about 0.05 seconds to about 2 seconds, preferably from about 0.1 second to about 1 second. Microprocessor controller 70 can be any form of general purpose computer processing (CPU) that can be used to control various chamber and sub-processor industrial settings. The computer can also use any suitable memory, such as a random access memory, a read only memory, a floppy disk drive, a compact disk drive, a hard disk drive, or the like, or a remote digital storage device. Various support circuits can be connected to the CPU to support the processor in a conventional manner. The required software program can be stored in the memory or executed by the remote second CPU. Execute a software program to start processing a program or program. When executing software, the general purpose computer can be turned into a specific program brain that can control the operation of the chamber to perform chamber processing. For example, a software program can be used to accurately electronically control the activation of a valve for performing a processing sequence in accordance with the present invention. Or the software program can also be executed in hardware, as a specific application integrated circuit, other types of hardware implementation, or a combination of software or hardware. Figure 2 is a cross-sectional view of an embodiment of a chamber 80 that includes a gas distribution apparatus 130 for cyclic deposition, such as atomic layer deposition or rapid chemical phase deposition. The detailed description of the chamber 80 is based on the same method of the United States patents used by the same assignee. The electronic control unit or the air supply unit 9 Γ 376014. Please disclose the No. 20030079686 and the same assignee application in 2002. U.S. Patent Application Serial No. 1/281,79, entitled "A Gas Distribution Apparatus for Atomic Layer Deposition", which is incorporated herein by reference, is incorporated herein by reference. Layer deposition (ALD) and rapid chemical vapor deposition refer to the sequential injection of a reactant to deposit a thin layer on the substrate structure. The steps of re-injecting the reactants can be repeated to deposit a plurality of thin layers. Thereby forming a conformal layer of the desired thickness. The chamber 80 can also be adapted for other deposition techniques. The chamber 80 includes a chamber body 82 having a side wall 84 and a bottom portion 86. The slit valve in the chamber 80 88 provides an access opening such that the robotic arm (not shown) can be transported from chamber 80 and retrieved from substrate 90, which is, for example, a 200 mm or 30 mm semiconductor wafer or glass substrate. 'Substrate support 92 with substrate receiving surface 91 support substrate 90. Substrate support 92 The motor U4 is lifted up to lift and lower the substrate support member 92 and the substrate 9 mounted thereon. The lift plate 116 connected to the lift motor n is mounted into the chamber 80 and passes through the substrate. The member 92 can be lifted and lowered by the movable mounting pin 120. The pin 12 can lift and lower the substrate 90 on the surface of the substrate support member μ. The substrate support member 92 can include a vacuum chuck 'an electrostatic chuck, or a clamping ring. The substrate 9 is fixed to the substrate support 92 during processing. The substrate support 92 can be heated to heat the substrate 9 placed thereon. For example, the substrate support 92 can be used internally. The heating element (e.g., an electric resistance heater) is heated or heated using radiant heat (e.g., a heating lamp mounted on the substrate support Μ). A flushing ring 1 1 2 can be mounted on the substrate support 92 to define a rinsing channel. 1 2 4, the flushing channel 丨24 provides a flushing gas to the periphery of the 10 1376014 substrate 90 to prevent deposition thereon. A gas distribution device 130 is mounted on the chamber body 82 to provide gas ( For example, processing gas and/or flushing gas) to chamber 80. A vacuum The system 1 7 8 is in communication with an extraction passage 179 to evacuate any gas from the chamber 80 and assist in maintaining the desired pressure or desired pressure range within the pumping zone 166 of the chamber 80. In the example, the chambers shown in Figures 1 and 2 allow the process gas and/or flushing gas to enter the chamber 80 via the gas distribution device 130 in a manner perpendicular to the plane of the substrate 90. Thus, the substrate The surface of the 90 is symmetrically exposed to the gas to allow a uniform film layer to be formed on the substrate. The process gas contains a ruthenium-containing precursor in one pulse and a reducing gas in the other pulse. The chamber 80, depicted in Fig. 2, produces a uniform film than the chamber 10 shown in Fig. 1. At the same time, chamber 80 uses a smaller cycle time than processing chamber 10 because chamber 80 spends less time rinsing and less time before the wafer is doped to saturation than chamber 10. Less doping time is important because many cerium-containing compounds have inherent characteristics of lower vapor pressure. The low vapor pressure means that the amount of precursor contained in the charge gas per unit time and temperature is less, so more time is required to contain the ruthenium compound (for example, double) than the conventional precursor with high vapor pressure (for example, TiCl4). (2,4-Dimethylpentadienyl) ruthenium) to saturate the surface of the wafer. Therefore, the chamber 10 may be doped with the antimony-containing compound in about 1 second or less, and the chamber 80 may be doped with the same antimony-containing compound in about 0.2 second or less. In one embodiment, the gas distribution device 130 includes a chamber cover 132. The 11 1-376014 chamber cover 132 includes an expansion passage 134 and a bottom surface ι6 〇 extending from a central portion of the chamber cover 132 - the bottom surface 16 延伸 extends from the expansion passage 134 to the chamber cover The surrounding part of 132. The bottom surface ι6〇 is sized and shaped to entirely cover the substrate 90 disposed on the substrate support 92. The expansion passage 134 has gas inlets 136A, 136B to provide a flow of gas from two similar valves 142A, 142B. The gas streams exiting the valves 142A, 142B may be provided together and/or separately. In one architecture, 'valves 142A, 142B are connected to different reactive gas sources' but are preferably connected to the same source of flushing gas. For example, valve 142a is coupled to reactive gas source 138 and valve 1 42B is coupled to reactive gas source 139, while valves 142A, 142B are coupled to purge gas source 140. Each valve 142A, 142B includes a delivery line 143A, 143B (having a valve seat assembly 144A, 144B, respectively) and a flush line 145A, 145B (having a valve seat assembly 146A, 146B, respectively). The transfer lines 143A, 143B are in communication with the reactive gas sources 138, 139 and are in communication with the gas inlets 136A, 136B of the expansion passage 134. The valve seat assemblies 1 44 A, 144B of the transfer lines 143A, 143B control the flow of reactant gases from the reactive gas sources 138, 139 to the expansion channels 134. The flushing line 1 4 5 A, 1 4 5 B is in communication with the flushing gas source 1 4 0 and intersects the conveying lines 143A, 143B downstream of the valve seat assemblies 144A, 144B of the conveying lines 143A, 143B. The valve seat assemblies 146A, 146B of the flush lines 145A, 145B control the flow of flushing gas from the purge gas source 140 to the transfer lines 143 A, 143B. If a carrier gas is used to transport the reactant gases from the reactive gas sources 138, 139, it is preferred to use the same gas as the carrier gas and the flushing gas (i.e., using argon as the carrier gas and flushing gas). 12 1376014 Each valve seat assembly 144A, 144B, 146A, 146B can include a diaphragm and a valve seat. The diaphragm can be opened or closed in a biased manner or opened and closed in an actuated manner. The diaphragm can be pneumatic or electric. Examples of pneumatic valves include pneumatic valves available from Fujiken and Veriflow. An example of an electric valve includes an electric valve purchased by Fujiken. The program editing logic controllers 148A, 148B can be coupled to the valves 142A, 142B to control the diaphragms of the valve seat assemblies 144A, 144B ' 146A, 146B of the valves 142A, 142B. The pneumatic valve can also provide a gas pulse for a period of time as low as about 0.020 seconds. The electric valve can also provide a gas pulse for a period of time as low as about 0.005 seconds. Electric valves typically require the use of a drive that is connected between the valve and the program editing logic controller. Valves 142A, 142B may be unretained volume valves to allow flushing of reactant gases from delivery lines 143A, 143B when valve seat assemblies 144A, 144B are closed. For example, the flush lines 1 4 5 A, 1 4 5 B can be positioned adjacent the valve seat assemblies 144A, 144B of the transfer lines 143A, 143B. When the valve seat assembly 1 44A, 1 44B is closed, the flushing lines 1 45A, 1 45B can provide flushing gas to flush the delivery line 1 4 3 A, 1 4 3 B » In the illustrated embodiment, the flushing line 1 The 4 5 A, 1 4 5 B-series are positioned slightly away from the valve seat assemblies 144A, 144B of the delivery lines 143A, 143B such that when opened, the flushing gas is not directly delivered to the valve seat assemblies 1 44A, 1 44B. The no-retention volume valve used herein is defined as a valve with a small retention volume that is negligible (i.e., does not have to be completely free of residual volume). Each valve 142A' 142B can be adapted to provide a combined gas stream and/or individual gas streams of reactive gases 138, 139 and flushing gas 140. The person who refers to the valve 13 is supplied by the reaction gas 138 and the flushing gas 140 of the wide 142A. An example of a gas stream comprising a continuous stream of flushing gas from a flushing gas source i4 via a flushing tube 45A and a source of reactive gas from the source

、送^路i43A之反應氣體脈衝。沖洗氣體的連續流可 以藉,洗管路⑷A之間座組件146A的膜片保持開啟 乂提供。來自反應氣體源138之反應氣體脈衝可以藉由 ^閉輸送管路143A之閥座組件⑷八的膜片加以提供。參 ’、閥142A ’由間142A所提供之反應氣體138及沖洗氣體 140的個別氣流之一實例包含來自沖洗氣體源1 4〇經由沖 洗B路1 4 5 A之沖洗氣體脈衝,及來自反應氣體源丨3 8經 由輸送官路143A之反應氣體脈衝。沖洗氣體脈衝可以藉 由開閉輪送管路1 4 5 A之閥座組件1 4 6 A的膜片加以提供, 來自反應氣體源1 3 8之反應氣體脈衝可以藉由開閉輸送管 路143A之閥座組件144A的膜片加以提供。And send the reaction gas pulse of i43A. The continuous flow of flushing gas can be borrowed, and the diaphragm of the seat assembly 146A between the wash line (4) A remains open. The reaction gas pulse from the reactive gas source 138 can be provided by a diaphragm of the valve seat assembly (4) eight of the closed delivery line 143A. An example of an individual gas stream of the reaction gas 138 and the flushing gas 140 provided by the valve 142A', the valve 142A' includes a flushing gas pulse from the flushing gas source 14 through the flushing B channel 1 4 5 A, and the reaction gas. The source 丨 3 8 is pulsed by the reaction gas of the transport official road 143A. The flushing gas pulse can be supplied by a diaphragm of the valve seat assembly 1 4 6 A of the opening and closing wheel line 1 4 5 A, and the reaction gas pulse from the reaction gas source 1 38 can be opened and closed by the valve of the conveying line 143A. A diaphragm of the seat assembly 144A is provided.

閥14 2A、142B之輸送管路143A、143B可以經由氣 體導管150A、150B連接至氣體入口 136A、136B。氣體導 管150A、15 0B可以與閥142A、142B為一體或分開。於 —態樣中,閾142A、142B係連接相當接近擴張通道1 34, 以減少輸送管路143A、143B與在閥14 2A、14 2B與氣體 入口 136A、136B間之氣體導管150A、150B之不必要容 積。 於第2圖中,擴張通道134包含一通道’其有一内徑, 該内徑係由擴張通道134之上部份137至鄰近蓋I32底面 WO之擴張通道134的下部份135逐漸增加。 14 Γ376014 於一特定實施例中,適用於處理200mm直徑基材之腔 室的擴張通道134之内徑在擴張通道134之上部份137係 於約0.2吋(0.5 1公分)至約1 .0吋(2.5 4公分),更好是於約 0.3吋(0.76公分)至約0.9吋(2.29公分),最好是於約0.3 吋(0.7 6公分)至約0.5吋(1 · 2 7公分)之間;及在擴張通道 1 3 4之下部份1 3 5係於約0.5吋(1 · 2 7公分)至約3 _ 0吋(7 · 6 2 公分),更好是於约0.75吋(1.91公分)至约2.5吋(6.35公 分),最好是在約1.1吋(2.79吋)至約2.0吋(5.08公分)之 間。 於另一特定實施例中,適用於處理300mm直徑基材之 腔室的擴張通道134之内徑在擴張通道134之上部份137 係於約0.2吋(0.5 1公分)至約1.0吋(2.5 4公分),更好是於 約0.3吋(0.76公分)至約0.9吋(2.29公分),最好是於約 0.3吋(0 · 7 6公分)至約 0 · 5吋(1 · 2 7公分)之間;及對於一 300mm基材,在擴張通道134之下部份135係於約0.5吋 (1.27公分)至約3.0(7.62公分),較佳在0.75吋(1.91公分) 至約2 · 5吋(6 · 3 5公分),最好是在約1.2吋(3.0 5吋)至約 2.2吋(5 · 5 9公分)之間。一般而言,上述尺寸可以應用至一 擴張通道,以提供於約500 seem至約3000 seem之總氣體 流量。 於其他特定實施例中,尺寸可以加以改變,以容許特 定氣流通過。一般而言,較大氣體流將需要較大直徑之擴 張通道。於一實施例中,該擴張通道134可為一截頭之圓 錐形(包含類似戴頭圓錐之形狀)。不管氣體被提供朝向擴 15 1376014 張通道1 3 4之壁面或直接向下朝向基材,當氣體流流經擴 張通道 134,由於氣體的膨脹而使氣體流速度降低。氣體 流速度降低會降低了氣體流將吹落吸附在基材 90之表面 上之反應劑的可能性。 不想要為理論所束缚,吾人相信由擴張通道134之上 部份137至擴張通道134之下部份135逐漸增加擴張通道 134的直徑,可減少氣體通過擴張通道134時之絕熱膨脹, 以助於控制氣體的溫度。例如,經由氣體入口 1 3 6 A、1 3 6 B 進入擴張通道134之氣體的突然絕熱膨脹可能造成在氣體 溫度之下降,這可能造成氣體的凝結並形成微粒。另一方 面,依據本發明實施例之逐漸擴張通道1 3 4中氣體的絕熱 膨脹較少。因此,更多熱可以被傳送進出氣體,所以,氣 體的溫度可以容易地藉由控制氣體的周遭溫度(即控制腔 室蓋132之溫度)加以控制。逐漸擴張通道可以包含一或多 個錐形内表面,例如直線錐面、凹面、凸面或其組合,或 包含一或多個錐形内表面部份(即一部份錐形及一部份非 錐形)。 於一實施例中,氣體入口 136A、136B係位在擴張通 道1 3 4之上部份1 3 7附近。於其他實施例中,一或多數氣 體入口可以沿著擴張通道1 3 4之長度定位在上部份1 3 7及 下部份1 3 5之間。 於第2圖中,例如程式化個人電腦、工作站電腦等之 控制單元1 8 0可以連接至腔室8 0,以控制處理條件。例如, 可設置控制單元1 8 0以在基材處理順序之不同階段中,經 16 Γ376014 由閥142A、142B控制來自氣體源138、139、140之各種 處理氣體及沖洗氣體流。如所示,控制單元180包含一中 央處理單元(CPU) 1 82、支援電路184及包含相關控制軟體 1 8 3之記憶體1 8 6。 控制單元180可以為任一形式之通用電腦處理器,其 可以於工業設定,以控制各種腔室及副處理器。CPU182 可以使用任意適當之記憶體 1 8 6,例如隨機存取記憶體、 唯讀記憶體、軟碟機、光碟機、硬碟機或其他任意形式之 數位儲存,不論是本機或遠端的。各種支援電路可以連接 至CPU 1 82,用以支援腔室1 00。控制單元1 80也可以連接 至另一控制器,該控制器係定位鄰近於個別腔室元件,例 如閥142A、142B之程式編輯邏輯控制器148A、148B。於 控制單元1 8 0及腔室8 0之各種其他元件間之雙向通訊係經 由大量信號纜線來處理,該等纜線一起被稱為信號匯流排 188,其中部份顯示於第2圖中。除了經由閥142A、142B 之程式編輯邏輯控制器1 4 8 A、1 4 8 B控制來自氣體源1 3 8、 1 3 9、1 40之處理氣體及沖洗氣體外,控制單元1 8 0也可以 架構以負責用於晶圓處理之其他活動之自動控制一如晶圓 運送、溫度控制、腔室抽氣等其他活動,其部份係說明如 形成貴金屬層 以下將說明用於高深寬比内連線部件之貴金屬層形成 方法。貴金屬層係使用一循環沉積製程加以沉積。該循環 17 Γ376014 沉積製包含交替地吸附一内含貴金屬之前驅物及一還原# 體在一基材結構上。該内含貴金屬之前驅物鱼 1 兜切兴遇原氣體進 行反應,以在基材上形成責金屬層。適冬之主 田 貝金屬可以包 含例如釕、鈀、鉑、鈷 '鎳 '及铑等等,齡 干乂狂為釕。釕層 具有小於500埃之厚度’較佳為由約10埃至約1〇〇埃最 佳為約3 0埃。 、 第3圖圖示一處理順序1 〇〇,該處理順序丨〇〇說明用 以沉積矽層之各種步驟。這些步驟可在類似於以上參考第 1及2圖所述之處理腔至中執行。如於步驟所厂、 基材被提供至該處理室。該基材可為例如夕基材,該石夕 基材具有一内連線圖案定義於形成在該矽基材上之一戍多 數介電.材料層中。處理腔室條件例如溫度及壓力係被調整 以促進處理氣體吸附在基材上’促成内含貴金屬之前驅物 (例如一戊釕)及還原氣體的反應。一般而言,對於貴金屬 層沉積,基材應維持在低於約500。(:,較佳為約2〇〇t至約 400°C之範圍’更佳為約35〇t »處理腔室壓力維持在約〇」 托耳至約80托耳之範圍,較佳為約1托耳至約1〇托耳之 範圍。可以約o.〇iSccm至約2〇sccm之流率提供内含貴金 屬之前驅物,較佳為約〇 lsccm至約5sccm ’更加為約 0‘lsccm至約lsccm。可以約lsccm至約10〇sccm之流率提 供還原氣體’較佳為約l〇sccm至約50sccm。 於需要恒定載氣流之一實施例中,,如步驟1 04所示 在處理腔室之内建立載氣流。可選用適當載氣以便同時當 作用以處理腔室移除揮發反應劑及/或副產物之沖洗氣 18 1376014 體。可使用例如氦(He)、氬(A〇、氮(Νη、— /ττ、 、2)、H (Η2)、及其組 合等載氣或沖洗氣體。沖洗氣體脈衝持 *續一段預定時間, 例如由約0 .〇 1秒至約1 〇秒之範圍,較 试往為約0_07秒至約 2秒,更佳為約〇. 1秒至約1秒。可 " Μ約 500sccm至約 5000SCCm間之流率提供載氣及沖洗氣 " 萌’對於200mm基 材較佳為約500sccm至約2500sccm, 肀於300mm基材軔 佳為約 10〇〇sccm 至約 5000sccm。 參照步驟1 06,在載氣流建立於處 史么思今二 處理腔室後,一内含 貝金屬之則驅物脈衝被加入至載氣流 -詞表…處理腔室或載氣流中之1 =二=衝 秒至 更佳為約 屬之前驅物之脈衝持續一段預定時間,例:質;内含貝金 約1 〇秒之範圍,較佳A 由約0·0 1 权住马約〇 . 0 5秒至 0.1秒至約1秒β ^ 1 · 5秒 内含貴金屬之前驅物 切』以包含例如釕、 及铑等等之貴金屬。 纪、鉑、鈷、鎳、 適當含釕前驅物包含:三 酸)釕’雙(2,4-二甲基戊二:2’6’6·四▼基_3,5-庚二酮 乙醯丙酮酸釕,(2,4_二甲基、土)釕,二羰基戊二烯基釕, (2,2,6’6_四甲基_3,5庚二^ ?二稀基)舒(環戊二稀基),雙 甲基戊二烯基)釕(甲基環黾)釕(1,5-環辛二烯),(2,4·二 戊二烯基)’(ls5_環辛二烯烯基),(1,5·環辛二烯)釕(環 辛二烯)釕(乙基環戊二烯義釘(甲基環戊二烯基),(1,5-環 基環戊二烯基),(2,4·二甲)(2,4-二甲基戊二烯基)釕(乙 基),三(2,2,6,6、四甲基_3基戊二烯基)釕(異丙基環戊二烯 ,'庚二酮酸)釘、雙(Ν,Ν-二f基 19 1376014 1,3-四甲基二醯亞胺酸)釕(1,5-環辛二烯)’雙(乂>|_二甲基 1,3 - —曱基一酿亞胺酸)釘(1,5 -環辛二烤),雙(稀丙基)釕 (1,5-環辛二稀)’(776-C6H6)釕(ι,3-環己二烯),雙(!,卜二 曱基-2-胺基乙氧基酸)釕(1,5-環辛二烯),雙二甲基- 2-胺基乙基胺基酸)釕(1,5 -環辛二稀)及其衍生物及其混合 物》適當含鈀前驅物包含:雙(烯丙基)鈀,雙(2_甲基烯丙 基)鈀及(環戊二烯基)(烯丙基)鈀等等。適當含鉑前驅物包 含:二甲基(環辛二烯)鉑,三甲基(環成二烯基)鉑三甲 基(曱基環戊二烯基)鉑,環戊二烯基(烯丙基)鉑,甲基(羰 基)環戊二烯基鉑,三甲基(乙醯丙酮)鉑,及雙(乙醢丙酮 酸)鉑等等。適當含鈷前驅物包含:雙(環戊二烯基)鈷,(環 戊二烯基)(環己二烯基)鈷,環戊二烯基(1,3_己二烯基) 鈷,(環丁二烯基)(環戊二烯基)鈷,雙(甲基環戊二烯基) 鈷,(環戊二烯基)(5-甲基環戊二烯基)鈷及雙(乙烯戊甲 基環戊二烯基)鈷等等。適當含鎳前驅物包含雙(甲基環戊 一烯基)鎳等等。適當含铑前驅物包含:雙(羰基)(環戊二 烯基)铑,雙(丙烯)铑,雙(羰基乙基環戊二烯基)铑及雙 (幾基)(甲基環戊二烯基)铑。 内含貴金屬之前驅物之脈衝時間段係取決於若干因 素,諸如所用處理腔室之容積量、所連接之真空系統及所 用之反應劑之揮發性/反應性。例如,(1)大容積處理腔室 可此需要較長時間以穩定例如載氣/沖洗氣體流及溫度等 處理條件,因此需要較長脈衝時間;(2)較低的處理氣體流 率也需要較久時間來穩定處理條件,因此需要較長之脈衝 20 Γ376014 時間;及(3)較低腔室壓Λ主_疮 王力表不處理氣楚 快,因此需要較長之脈衝時間。一般兩Delivery lines 143A, 143B of valves 14 2A, 142B may be coupled to gas inlets 136A, 136B via gas conduits 150A, 150B. The gas conduits 150A, 150B may be integral or separate from the valves 142A, 142B. In the aspect, the thresholds 142A, 142B are connected relatively close to the expansion passages 134 to reduce the delivery conduits 143A, 143B and the gas conduits 150A, 150B between the valves 14 2A, 14 2B and the gas inlets 136A, 136B. Necessary volume. In Fig. 2, the expansion channel 134 includes a channel 'having an inner diameter which is gradually increased from the upper portion 137 of the expansion channel 134 to the lower portion 135 of the expansion channel 134 adjacent the bottom surface WO of the cover I32. 14 Γ 376014 In a particular embodiment, the inner diameter of the expansion channel 134 suitable for processing a chamber of a 200 mm diameter substrate is about 0.2 吋 (0.5 1 cm) to about 1.0 above the expansion channel 134.吋 (2.5 4 cm), more preferably from about 0.3 吋 (0.76 cm) to about 0.9 吋 (2.29 cm), preferably from about 0.3 吋 (0.7 6 cm) to about 0.5 吋 (1 · 2 7 cm) And between the expansion channel 1 3 4 and the portion 1 3 5 are between about 0.5 吋 (1 · 2 7 cm) to about 3 _ 0 吋 (7 · 6 2 cm), more preferably about 0.75 吋(1.91 cm) to about 2.5 吋 (6.35 cm), preferably between about 1.1 吋 (2.79 吋) to about 2.0 吋 (5.08 cm). In another particular embodiment, the inner diameter of the expansion channel 134 suitable for processing a chamber of 300 mm diameter substrate is about 0.2 吋 (0.5 1 cm) to about 1.0 吋 (2.5) above the expansion channel 134. 4 cm), more preferably about 0.3 吋 (0.76 cm) to about 0.9 吋 (2.29 cm), preferably about 0.3 吋 (0 · 7 6 cm) to about 0 · 5 吋 (1 · 2 7 cm) Between; and for a 300 mm substrate, the portion 135 below the expansion channel 134 is between about 0.5 吋 (1.27 cm) to about 3.0 (7.62 cm), preferably 0.75 吋 (1.91 cm) to about 2 5 吋 (6 · 3 5 cm), preferably between about 1.2 吋 (3.0 5 吋) to about 2.2 吋 (5 · 5 9 cm). In general, the above dimensions can be applied to an expansion passage to provide a total gas flow of from about 500 seem to about 3000 seem. In other particular embodiments, the dimensions can be varied to allow a particular airflow to pass. In general, larger gas streams will require larger diameter expansion channels. In one embodiment, the expansion channel 134 can be a truncated cone (including a shape like a head cone). Regardless of whether the gas is supplied towards the wall of the 13 1376014 channel 1 3 4 or directly downward toward the substrate, as the gas stream flows through the expansion channel 134, the gas flow velocity is reduced due to the expansion of the gas. The reduced gas flow rate reduces the likelihood that the gas stream will blow off the reactants adsorbed on the surface of the substrate 90. Without wishing to be bound by theory, it is believed that the portion 135 above the expansion channel 134 to the lower portion 135 of the expansion channel 134 gradually increases the diameter of the expansion channel 134, which reduces the adiabatic expansion of the gas as it passes through the expansion channel 134. Control the temperature of the gas. For example, sudden adiabatic expansion of the gas entering the expansion passage 134 via the gas inlets 1 3 6 A, 1 3 6 B may cause a drop in gas temperature, which may cause condensation of the gas and formation of particulates. On the other hand, the adiabatic expansion of the gas in the gradually expanding passage 134 according to the embodiment of the present invention is small. Therefore, more heat can be transferred into and out of the gas, so the temperature of the gas can be easily controlled by controlling the ambient temperature of the gas (i.e., controlling the temperature of the chamber cover 132). The progressively expanding channel may comprise one or more tapered inner surfaces, such as a linear tapered surface, a concave surface, a convex surface, or a combination thereof, or one or more tapered inner surface portions (ie, a partial tapered portion and a partial non- Cone). In one embodiment, the gas inlets 136A, 136B are positioned adjacent the portion 137 above the expansion passage 134. In other embodiments, one or more gas inlets may be positioned between the upper portion 137 and the lower portion 135 along the length of the expansion channel 134. In Fig. 2, a control unit 180 such as a stylized personal computer, workstation computer or the like can be connected to the chamber 80 to control the processing conditions. For example, control unit 180 can be configured to control various process gases and purge gas streams from gas sources 138, 139, 140 by valves 142A, 142B at different stages of the substrate processing sequence. As shown, the control unit 180 includes a central processing unit (CPU) 182, a support circuit 184, and a memory 186 including associated control software 183. Control unit 180 can be any form of general purpose computer processor that can be industrially set to control various chambers and sub-processors. The CPU 182 can use any suitable memory, such as a random access memory, a read only memory, a floppy disk drive, a compact disk drive, a hard disk drive, or any other form of digital storage, whether local or remote. . Various support circuits can be connected to the CPU 1 82 to support the chamber 100. Control unit 180 can also be coupled to another controller that locates program editing logic controllers 148A, 148B adjacent to individual chamber components, such as valves 142A, 142B. The two-way communication between the control unit 180 and various other components of the chamber 80 is handled by a plurality of signal cables, which are collectively referred to as a signal bus 188, some of which are shown in FIG. . In addition to controlling the process gas and flushing gas from the gas sources 1 3 8 , 1 3 9 , 1 40 via the program editing logic controllers 1 4 8 A, 1 4 8 B of the valves 142A, 142B, the control unit 1 800 can also The architecture is responsible for the automatic control of other activities for wafer processing, such as wafer transport, temperature control, chamber pumping, etc., some of which are explained as forming a precious metal layer below which will be used for high aspect ratio interconnects. A method of forming a precious metal layer of a component. The precious metal layer is deposited using a cyclic deposition process. The cycle 17 Γ 376014 deposition system comprises alternately adsorbing a precursor containing precious metal and a reducing body on a substrate structure. The pre-existing precious metal prey fish 1 is treated with a raw gas to form a metal layer on the substrate. The main body of winter, Tianbei metal can contain, for example, bismuth, palladium, platinum, cobalt 'nickel' and bismuth, etc. The tantalum layer has a thickness of less than 500 angstroms, preferably from about 10 angstroms to about 1 angstrom, preferably about 30 angstroms. Figure 3 illustrates a processing sequence 1 〇〇 which illustrates the various steps used to deposit the germanium layer. These steps can be performed in a process chamber similar to that described above with reference to Figures 1 and 2. As in the step, the substrate is supplied to the processing chamber. The substrate can be, for example, an enamel substrate having an interconnect pattern defined in a plurality of dielectric layers of material formed on the tantalum substrate. The processing chamber conditions, e.g., temperature and pressure, are adjusted to promote adsorption of the process gas onto the substrate' to promote the reaction of the noble metal precursor (e.g., monopentamethylene) and the reducing gas. In general, the substrate should be maintained below about 500 for precious metal layer deposition. (:, preferably in the range of from about 2 Torr to about 400 ° C, more preferably about 35 Torr). The processing chamber pressure is maintained in the range of from about Torr to about 80 Torr, preferably about The range from 1 Torr to about 1 Torr. The noble metal precursor can be supplied at a flow rate of about 〇iSccm to about 2 〇sccm, preferably about sclsccm to about 5sccm and more about 0'lsccm. Up to about 1 sccm. The reducing gas may be supplied at a flow rate of from about 1 sccm to about 10 〇 sccm, preferably from about 1 〇 sccm to about 50 sccm. In one embodiment where a constant carrier gas flow is required, as shown in step 104 A carrier gas flow is established within the chamber. A suitable carrier gas may be used to simultaneously act as a flushing gas 18 1376014 for processing the chamber to remove volatile reactants and/or by-products. For example, helium (He), argon (A) may be used. a carrier gas or a flushing gas such as nitrogen (Νη, - /ττ, 2), H (Η2), and combinations thereof. The flushing gas pulse is held for a predetermined period of time, for example, from about 0.1 to about 1 second. The range of seconds is about 0_07 seconds to about 2 seconds, more preferably about 1 second to about 1 second. It can be " about 500sccm to about 5000SCCm The flow rate between the carrier gas and the flushing gas is preferably about 500 sccm to about 2500 sccm for a 200 mm substrate and about 10 〇〇 sccm to about 5000 sccm for a 300 mm substrate. Referring to step 1 06, The carrier gas flow is established after the processing chamber of the second and second processing chambers. A pulse containing the shellfish is added to the carrier gas flow - the vocabulary...1 in the processing chamber or carrier gas flow. Preferably, the pulse of the precursor is for a predetermined period of time, for example: quality; containing a range of about 1 sec., preferably A is about 0·0 1 to live in Mayo. 0 5 seconds to 0.1 seconds Up to about 1 second, β ^ 1 · 5 seconds containing noble metal precursors to contain precious metals such as ruthenium, osmium, etc. 、, platinum, cobalt, nickel, appropriate yttrium-containing precursors include: tri-acid 钌Bis(2,4-dimethylpentane: 2'6'6·tetrahyl- 3,5-heptanedione acetophenone pyruvate, (2,4-dimethyl, ruthenium), dicarbonyl Pentadienyl ruthenium, (2,2,6'6-tetramethyl-3,5-glycolide-di-diyl)-shu (cyclopentadienyl), bismethylpentadienyl) Base ring 黾) 钌 (1,5-cyclooctadiene), (2, 4·dipentadienyl)'(ls5_cyclooctadienyl), (1,5·cyclooctadiene)indole (cyclooctadiene)钌 (ethylcyclopentadiene) Cyclopentadienyl), (1,5-cyclopentadienyl), (2,4-dimethyl)(2,4-dimethylpentadienyl)anthracene (ethyl), three ( 2,2,6,6, tetramethyl-3-ylpentadienyl) guanidine (isopropylcyclopentadiene, 'glydione acid) nail, bis (Ν, Ν-dif group 19 1376014 1, 3-tetramethyldimethylene imidate) bismuth (1,5-cyclooctadiene) 'bis(乂>|_dimethyl 1,3 - fluorenyl-i-imino) nail (1, 5 - cyclooctane bake), bis (dipropyl) hydrazine (1,5-cyclooctane dilute) '(776-C6H6) 钌 (ι, 3-cyclohexadiene), double (! , bis-indenyl-2-aminoethoxy acid) hydrazine (1,5-cyclooctadiene), bisdimethyl-2-ethylaminoethyl amide) ruthenium (1,5-cyclooctane Dilute) and its derivatives and mixtures thereof. Suitable palladium-containing precursors include: bis(allyl)palladium, bis(2-methylallyl)palladium and (cyclopentadienyl)(allyl) Palladium and so on. Suitable platinum-containing precursors include: dimethyl (cyclooctadiene) platinum, trimethyl (cyclodienyl) platinum trimethyl (decylcyclopentadienyl) platinum, cyclopentadienyl (ene) Propyl)platinum, methyl (carbonyl)cyclopentadienyl platinum, trimethyl(acetonitrile)platinum, and bis(acetylpyruvyl)platinum and the like. Suitable cobalt-containing precursors include: bis(cyclopentadienyl)cobalt, (cyclopentadienyl)(cyclohexadienyl)cobalt,cyclopentadienyl(1,3-hexadienyl)cobalt, (cyclobutadienyl)(cyclopentadienyl)cobalt, bis(methylcyclopentadienyl)cobalt, (cyclopentadienyl)(5-methylcyclopentadienyl)cobalt and bis( Ethylene pentylcyclopentadienyl) cobalt and the like. Suitable nickel-containing precursors include bis(methylcyclopentenyl) nickel and the like. Suitable ruthenium-containing precursors include: bis(carbonyl)(cyclopentadienyl)anthracene, bis(propylene)anthracene, bis(carbonylethylcyclopentadienyl)anthracene and bis(mono)(methylcyclopentane) Alkenyl) 铑. The pulse period of the precursor containing the precious metal depends on several factors such as the volume of the processing chamber used, the vacuum system to which it is connected, and the volatility/reactivity of the reactants used. For example, (1) large volume processing chambers may take longer to stabilize processing conditions such as carrier gas/flush gas flow and temperature, thus requiring longer pulse times; (2) lower process gas flow rates are also required Longer time to stabilize the processing conditions, so a longer pulse of 20 Γ 376,014 times is required; and (3) lower chamber pressure is not processed, so a longer pulse time is required. Generally two

理條件以使内含貴金屬 J 獨之則堪物脈衝 物,使得至少單層内含眚冬屬 貝金屬之前驅物 隨後,殘留在腔室中之诉4 1<過量内含貴金屬 載氣流配合上纟2系'^以由處理腔室 於步驟108中’在過量内含貴金屬 氣流由處理腔室沖出後,一還原氣體脈 中》該還原氣體脈衝亦持續一段預定時 原氣體脈衝之時間段應足夠長,以吸附 體在内含貴金屬之前驅物上。還原氣體 時間,例如由約〇.01秒至10秒之範圍 至約2秒,更佳為約〇.1秒至約丨秒。 體被載氣流由處理腔室沖出。適當還原_ 如A或原子H)、氨(Nh〇、矽烷(siH4) 三矽烷(Si3H8)、四矽烷(Si4Hi〇)、二曱基 基石夕烧(SiCH6)、乙基矽烷(SiC2H8)、氣 氯矽炫(Cl2SlH3)、六氣二矽烷(Si2Cl6)、 硼烷、四硼烷、五硼烷、三乙基硼烷、 合物。 步驟104至108包含沉積一貴金屬 例。對於此一實施例,提供一恒定載氣 交替之脈衝時段及非脈衝時段調變該恆 載氣流在脈衝時段中交替地包含内含貴 L由處理腔室排出更 1言’選擇有利的處 提供足夠量之前驅 被吸附在基材上。 之别驅物藉由恆定 移除β 之則驅物已經為載 衝被加入至載氣流 間。—般而言,還 至少單層之還原氣 脈衝持續一段預定 ’較佳為約〇 . 1秒 隨後’過量還原氣 I體可以包含氫(例 、二矽烷(Si2H6)、 k 矽烷(SiC2H6)、甲 矽烷(ClSiH3)、二 蝴院、二棚烧、三 及其衍生物及其組 層之循環的一實施 流至處理腔室,以 定載氣流,該恆定 金屬之前驅物及還 21 1376014 原氣體,而在非脈衝時段中只包含載氣流。 每個内含貴金屬之前驅物脈衝及還原氣體脈衝可持續 相同時間。即,内含貴金屬之前驅物脈衝的持續時間可以 與還原氣體脈衝的持續時間相同。對於此—實施例,内含 貴金屬之前驅物脈衝的時間段(τ〇係等於還原氣體脈衝之 時間段(τ2)。 或者’每個内含貴金屬之前驅物脈衝及還原氣體脈衝 可持續不同時間》即’内含貴金屬之前驅物脈衝的持續時 間可以短於或長於用於還原氣體脈衝的持續時間。對於此 一實施例,内含貴金屬之前驅物脈衝的時間段係不同 於還原氣體脈衝之時間段(τ2)。 另外,於每個内含貴金屬之前驅物脈衝與還原氣體脈 衝間之非脈衝時段也可持續相同時間。即,在每個内含貴 金屬之前驅物脈衝與每個還原氣體脈衝間之非脈衝時段的The condition is such that the noble metal contained therein is a pulsating substance, so that at least the single layer contains the precursor of the genus Besinium, and then remains in the chamber, and the excess contains the precious metal carrier gas.纟2 is a period in which the reducing gas pulse continues for a predetermined period of the original gas pulse by the processing chamber in step 108 after the excess of the precious metal gas stream is flushed out of the processing chamber. It should be long enough to adsorb the body on the precursor containing the precious metal. The reducing gas time is, for example, from about 01.01 second to 10 seconds to about 2 seconds, more preferably from about 〇.1 second to about 丨 second. The body is flushed out of the processing chamber by the carrier gas stream. Appropriate reduction _ such as A or atom H), ammonia (Nh 〇, decane (siH4) trioxane (Si3H8), tetraoxane (Si4Hi〇), dimercapto-based sulphur (SiCH6), ethyl decane (SiC2H8), gas Chloroquinone (Cl2SlH3), hexa-dioxane (Si2Cl6), borane, tetraborane, pentaborane, triethylborane, compound. Steps 104 to 108 include the deposition of a precious metal. For this embodiment Providing a constant carrier gas alternate pulse period and non-pulse period modulation. The constant carrier gas flow alternately contains a noble L in the pulse period, and is discharged from the processing chamber to provide a sufficient amount to provide a sufficient amount before being driven. Adsorbed on the substrate. The insulator is added to the carrier gas stream by a constant removal of β. In general, at least a single layer of reducing gas pulse continues for a predetermined period of time. After about 1 second, the 'excessive reducing gas I body may contain hydrogen (for example, dioxane (Si2H6), k decane (SiC2H6), formane (ClSiH3), two sheds, two sheds, three derivatives thereof And an implementation of the cycle of its group flow to the processing chamber to fix the carrier gas The constant metal precursor and the 21 1376014 raw gas, and only the carrier gas flow in the non-pulsing period. Each of the noble metal precursor pulse and the reducing gas pulse can last for the same time. The duration of the object pulse may be the same as the duration of the reducing gas pulse. For this embodiment, the period of time during which the noble metal precursor pulse is contained (τ〇 is equal to the period of the reducing gas pulse (τ2). Or 'each The noble metal precursor pulse and the reducing gas pulse may last for different times, ie, the duration of the precious metal precursor pulse may be shorter or longer than the duration for the reducing gas pulse. For this embodiment, the inclusion The period of the precursor pulse of the noble metal is different from the period of the reducing gas pulse (τ2). In addition, the non-pulsing period between the pulse of the precursor and the pulse of the reducing gas before each precious metal is contained may also last for the same time. a non-pulsing period between the precursor pulse and each reducing gas pulse before each noble metal is contained

理腔室。 或者, 於每個内含貴金屬之前驅物脈衝與還原 氣體脈The chamber. Or, before each precious metal contains a precursor pulse and a reducing gas pulse

前驅物脈衝間之非脈衝時間段。 。對於此一實施例,在内含 22 1376014 (T3)不同於在還原氣體脈衝與内含貴金 脈衝的時間^ 之非脈衝的時間段(Τ 4)。於非脈衝時間段二前,物脈衝β 氣流被提供至處理腔室。 /、有值定;| 另外,對於每個沉積循環,每個内含& 脈衝、還原氣體脈衝及其間之非脈 二金屬之前驅物 間…此實施例,内含貴金屬之前:二之續時相同時 (Τι)還原風體脈衝的時間段(Τ2)、内含 間段Non-pulse period between precursor pulses. . For this embodiment, the inclusion 22 1376014 (T3) is different from the non-pulsing period (Τ 4) of the time at which the reducing gas pulse and the precious gold pulse are contained. Prior to the non-pulse period two, the object pulse beta gas stream is provided to the processing chamber. /, has a value; | In addition, for each deposition cycle, each contains & pulse, reducing gas pulse and the non-pulp bimetallic precursor between them... This example, before containing precious metals: two continued When the time is the same (Τι), the time period (Τ2) of the wind body pulse is reduced, and the inner interval is included.

衝及還原氣體脈衝間之非脈衝時間段⑸及=驅物脈 每-^Γ ㈣脈衝間之非脈衝時間段(TO對於 中,内含眚么S 弟'儿積循環(C,) 環(C2 C: 驅物脈衝之時間段㈤與後續沉積循 相同時::::貴金屬之前驅物脈衝的時間段㈤持續 體脈衝盘在^ 在第一沉積循環(C1)中,每個還原氣 間分別^後Γ貴金屬之前驅物及還原氣體間之非脈衝時 内含責金儿積循環(C2〜cn)之每個還原㈣脈衝及在 相同:間屬之前驅物及還原氣體脈衝間之非脈衝時段持續Non-pulsing time period between the pulse of the rushing and reducing gas (5) and = pulsing of the pulse of the material per ^^ Γ (4) Non-pulse period between the pulses (TO for the middle, containing the S ' ' 儿 环 环 (C,) ring ( C2 C: The time period of the pulse of the precursor (5) is the same as the subsequent deposition cycle:::: the period of the precursor pulse of the noble metal (5) The continuous pulse disk is in the first deposition cycle (C1), each reducing gas Reducing (4) pulses and the same in each non-pulse time between the precursor of the precious metal and the reducing gas (C2~cn) Pulse period lasts

s者該貝金屬沉積製程之一或多數沉積循環中 含責金Μ ι 1 Π 之則驅物脈衝、還原氣體脈衝與其間之非脈衝 又中至少之_ π、 1 以具有不同持續時間。對於此一實施 或多個内合杳 3貝金屬之前驅物之脈衝的時間段(Τ )、 氣體脈衝之睥Μ & ^還原 ^ 町曰Ί段(丁2)、内含貴金屬之前驅物脈衝及 乳體脈衝問夕北β “ 項、 非脈衝時間段(Τ'3)及還原氣體及内含貴金 23 Γ376014 之前驅物之脈衝間之非脈衝時 叫呀間段(1%)可以在循環沉積絮 程之—或多數沉積循環中,罝右 八有不同之值。例如,在第— 沉積循環(C丨)中,内含貴金屬 ~ 屬之前驅物脈衝之時間段 可以長於或短於在後續沉積循瑷 ]) ▲ 償僱環(C2 Cn)中之内含貴金 之則驅物脈衝之一或多數時間 德心 (0。同樣地,在第-沉 積循裱(C丨)中之還原氣體脈衝之 衝之持續時間及内含貴金屬之 則驅物及還原氣體脈衝間之非 觸之 在德蟢 F脈衝時間可以相不同於 在後續沉積循環(C2.._Cn)中之還原 久 延原軋體脈衝之持續時 内含責金屬之前驅物及還原氣體脈衝間之非脈衝時間^及 :考步禅η。’在每―沉積循環(…。…: 一尽度之貴金屬將形成在基材上。取 )後 可此需要後續沉積循環以達到所 水, 厚度。®此,重複步驟 104至108直到完成所需厚度之責金 戈驟 ^ j, eF, M 屬層為止。隨後,當 疋成所需厚度之貴金屬層時,如步驟 邵112所不停止該製赵。 於如第4圖所述之另一製程中,眚 貝贫屬層沉積循 括内含貴金屬之前驅物、還原氣體及沖洗氣體的個別 衝。對於此-實施例’ 1¾貴金屬層沉積順序2〇〇包含、 一基材給該處理腔室(步驟202)、摇板贫 ^ " )如供第—脈衝之沖洗氣 體至處理腔室(步驟204)、提供—脈衝之内 貝金屬之前 驅物至處理腔室(步驟206)、提供第二脈 /r况氣體給 處理腔室(步驟208)、提供一還原氣體脈衝至處理腔室, 驟210),然後根據是否已達到所需厚度之眚 ’ 只m屬層(步驟 212)’來決定要重覆步驟2〇4至210或停止沉積製程(步驟 214) 〇 24 Γ376014 如同上述參照第3圖所討論,内含貴金屬之前 還原氣體及沖 '洗氣體之每:一脈衝之時間段可具有相 同之持續時間。或者’貴金屬沉積製程中,在一或 積循環中’内含責金屬之前驅物、還原氣體及沖洗 一或多數脈衝之相關時間段可以具有不同持續時間 於第3-4 ®中’責金屬沉積循環係被描繪為以 金屬之刖躁物脈衝開始,然後提供還原氣體脈衝。 貝金屬層 >儿積循環可以以還原氣體脈衝開始然後 含貴金屬之前驅物脈衝。 在一個示例性製程中,於第2圖之處理腔室80 子層沉積法沉積一釕層於一基材(例如3 〇〇mm),包 氣體源138,以約O.Olsccm至約5sccm,較佳為約( 至約1 s c c m之流率經由閥1 4 2 a提供例如雙(2,4 -二 二炼基)釕之含釕化合物脈衝,由於反應區164之較 (相較於第1圖之腔室8 ),脈衝持續時間約為1 · 5 少’例如約0.1秒或更少,及低至約〇. 〇 5秒或更少 雙硼烷(BZH6)之還原氣體脈衝可以由氣體源139 lsccm至約80sccm之流率,較佳由約1 〇sccm至約 之流率’經由閥1 42B,持續約2秒或更少,約1秒邊 或0.1秒或更少,這是由於反應區164之小容積之 氬沖洗氣體以約 500sccm至約 5000sccm間,較 1 5 0 0 s c c m至約3 5 0 0 s c c m間之流率,持續由氣體源 供經閥142八、1428。雙(2,4-二甲基戊二烯基)釕 B2H6脈衝間之時間可以約0.5秒或更少,例如約〇 驅物、 同或不 多數沉 氣體之 〇 内含貴 或者, 提供内 内以原 含:由 ).1 seem 甲基戊 小容積 秒或更 。例如 ,以約 5 Oseem ,更少, 故。一 佳於約 140提 脈衝及 .1秒或 25 1376014 更少,並低至0.07秒或更少,這是由於反應區164之 容積之故。相信以反應劑氣體及/或沖洗氣體,以低 0.0 1 6秒之脈衝時間係足夠以填充反應區,對於用於 晶圓(例如200mm)之反應區164則使用更短脈衝時間 熱器溫度較佳被維持在於約200°C至約400°C之間,較 3 5 0 °C,腔室壓力在約1 · 0至約1 0托耳間,較佳約4托 此製程每次循環可提供厚度約0.5埃至約1.0埃之釕 可重覆製程直到完成所需厚度為止。 於一實施例中,釕層被沉積為約5 0埃或更小之側 蓋。於另一實施例中,釕層被沉積為約2 0埃或更小之 覆蓋。於另一實施例中,釕層被沉積為約1 0埃或更小 壁覆蓋中。具有厚度約10埃或更小之釕層在本案中被 是足以作為附著銅沉積之下層(即種層)並防止銅擴:) 阻障層)。於一態樣中,可使用一薄釕下層以利於填充 高深寬比之次微米(例如少於 0.1 5微米)及更小部件 然,也可以使用具有大於5 0埃之側壁覆蓋層。於一實 中,釕被沉積為一種層。於另一實施例中,釕被沉積 阻障層。 銅内連線之成形 第5 A-5 C圖顯示在加入本發明之貴金屬層之銅内 製程的不同階段的基材剖面圖。例如,第5 A圖顯示 300的剖面圖,金屬接觸304及介電層302形成於該 3 0 0上。基材3 0 0可以包含一例如矽、鍺或砷化鎵之 更小 至約 較小 。加 佳約 耳。 層。 壁覆 側壁 之側 認為 “即 具有 。當 施例 為一 連線 基材 基材 半導 26 1376014 體材料。介電層302可以包含一絕緣材料,例如氧化 氮化矽等等。金屬接觸304可以包含銅等等。可在介 302中定義孔徑304H,以在金屬接觸304上提供開口 以使用傳統微影及蝕刻技術在介電層 302中定義 304H ° 一阻障層306可以形成於定義在介電層302中之 304H中。阻障層306可以包含一或多數含财火金屬廣 如鈦、氮化欽、组、氮化组、铸及II化鶴等等。例如 使用以四氯化鈦與氨反應之化學氣相沉積(CVD)製 ALD製程沉積氮化鈦。 參照第5 B圖,一貴金屬層3 0 8 (例如釕)被形成在 層306上。該貴金屬層係使用上述第3至4圖之循環 技術加以形成。貴金屬層之厚度係取決於予以製造之 結構加以變化。通常貴金屬層之厚度係低於1 〇 〇埃, 係於約10埃至約6 0埃之間。於一實施例中,一釕層 約3 0埃之厚度。 隨後,參照第5 C圖,孔徑3 04H可以被填入銅3 以完成銅内連線。銅310可以使用一或多種適當沉積 加以形成。於一實施例中,例如,可使用C V D製程將 層形成於釕層上,隨後以電化學電鍍(ECP)製程沉積主 以填入内連線。於另一實施例中,一銅種層係經由物 相沉積(PVD)沉積,隨後,一無電銅電鍍被用以沉積 主體填料。於另一實施例中,釕層作用為種層,其上 以ECP或無電電鍍,沉積銅主體填料。 6夕或 電層 〇 孔徑 孔徑 ,例 ,可 程或 阻障 沉積 裝置 較佳 具有 10, 製程 銅種 體銅 理氣 一銅 直接 27 1376014 執行數個整合程序以在内連線中形戍—訂層。於一實施 例中,後續步驟有:a)預清洗該基材;障層(例 如以ALD沉積TaN); c)以ALD沉積舒:及釣以ECp或 Cu-PVD隨後ECP的方式沉積銅。於另—實施例中後續 步驟包含:a)沉積一阻障層(例如ALD _ TaN) ; b)沖孔步 驟;Ο以ALD沉積釘;及d)以ECP或cu_pvD隨後ECP 的方式沉積銅。於另一實施例中,後續步驟包含:3)以ald 沉積釕;b)冲孔步驟;c)以ALD沉積釕;及d)以ECp或 Cu-PVD隨後ECP的方式沉積銅。於另—實施例中後續 步驟包含:a)以ALD沉積釕;b)沖孔步驟;〇以ALD沉積 釕;及d)以ECP沉積銅。於另一實施例中,後續步驟包含: a)預清洗基材;b)以ALD沉積釕;及c)以EcP或Cu_PvD 隨後ECP的方式沉積銅。 預清洗步驟包含清洗或純化導孔的方法,例如移除在 導孔底部之殘留物(例如碳)或將氧化鋼還原為銅金屬。沖 孔步驟包含由導孔底部移除材料(例如阻障層)之方法,以 將導體層(例如銅)曝露出。衝孔步驟的進一步揭示係描 述於同一受讓人之美國專利第6,498,〇91號案中,該案係 併入作為參考。衝孔步驟係進行於處理腔室中,例如阻障 腔室或清潔腔室中。於本發明之實施例中,清洗步驟及衝 孔步驟係被應用至釕阻障層。整體方法之進一步揭示係說 明於同一受讓人之申請於2003年6月13日名為,,用於鋼金 屬化之氮化钽ALD之整合,,之美國臨時申請案第 6〇/47 8,663號中,該案係併入作為參考。 28 1376014 儘管前述内容係針對本發明之較佳實施例,但可 脫離本發明之基本範圍的情況下設計本發明之其他及 步實施例,且本發明之範圍由隨後的申請專利範圍決 【圖式簡單說明】 因此,可詳細理解本發明之上述特徵結構之方式 上文簡要概述之本發明之更特定描述),可參照圖示於 圖式中的實施例而得到。 然而,應注意到,附加圖式僅圖示本發明之典型 例,因此不應被視為本發明範圍之限制,因為本發明 許其他同等有效之實施例。 第1圖為可用以執行本發明之循環沉積製程之處 室的剖面圖; 第2圖為可用以執行本發明之循環沉積製程之另 理腔室的剖面圖; 第3圖圖示使用依據本發明一實施例之循環沉積 之貴金屬層的製程; 第4圖圖示使用依據本發明另一實施例之循環沉 術之貴金屬的製程;及 第5 A-5C圖為一積體電路製程之剖面圖。 【主要元件符號說明】 在不 進一 € 〇 (即 附加 實施 可允 理腔 一處 技術 積技 10 處理腔室 18 真空泵 34 氣體歧管 48 托架 29 1376014 48A 位移機構 50Α 溫度感應器 52 交流電源 52Α 加熱元件 70 微處理器控制器 80 腔室 82 腔室主體 84 側壁 86 底部 88 狹縫閥 90 基材 91 接收面 92 支撐件 114 抬舉馬達 116 抬舉板 118 抬舉馬達 120 銷 122 沖洗環 124 沖洗通道 130 配氣設備 132 腔室蓋 134 擴張通道 135 下部份 137 上部份 136A,B 氣體入口 138 反應劑氣體源 139 反應劑氣體源 140 沖洗氣體源 142A , B 閥 143Α > Β 配氣管路 1 4 4 A,B 閥座組件 1 4 5 A,Β 沖洗管路 1 4 6 A,B 閥座組件 150A,B 氣體導管 160 底面 166 抽氣區 178 真空系統 179 抽氣通道 180 控制單元 182 中央處理單元 183 控制軟體 184 支援電路 186 記憶體 300 基材 302 介電層 304 金屬接觸 3 0 4 Η 孔徑 306 阻障層In one of the shell metal deposition processes or in the majority of the deposition cycle, the charge pulse, the reducing gas pulse and the non-pulse between them are at least _π, 1 for different durations. For the period of time (Τ) of the pulse of the precursor of the three or three internal metals, the gas pulse 睥Μ & ^ reduction ^ 曰Ί 曰Ί section (D 2), containing precious metal precursors Pulse and milk pulse, the north β “term, non-pulse period (Τ'3) and reducing gas and the precious gold containing 23 Γ376014, the non-pulse between the pulses of the precursor is called the middle section (1%) In the cyclic deposition process—or most of the deposition cycle—the right octet has different values. For example, in the first deposition cycle (C丨), the period of the noble metal-containing precursor pulse can be longer or shorter. In the subsequent deposition cycle]) ▲ The compensation ring (C2 Cn) contains one of the pulse pulses or most of the time (0. Similarly, in the first deposition cycle (C丨) The duration of the pulse of the reducing gas pulse and the non-contact between the pulse of the noble metal and the reducing gas pulse can be different from the reduction in the subsequent deposition cycle (C2.._Cn). Long-lasting original rolling body pulse duration, containing metal precursors and reducing gases Non-pulse time between pulses ^ and: test step η η. 'In each - deposition cycle (....: a good degree of precious metal will be formed on the substrate. Take) can then need a subsequent deposition cycle to reach the water , thickness. ® This, repeat steps 104 to 108 until the required thickness is completed. The j, eF, M genus layer. Then, when the precious metal layer of the desired thickness is formed, as in step Shao 112 The system is stopped. In another process as described in Fig. 4, the deposition of the poor layer of the mussels includes individual impregnations of precious metal precursors, reducing gases and flushing gases. For this example - 13⁄4 precious metal The layer deposition sequence 2〇〇 includes, a substrate is supplied to the processing chamber (step 202), and the rocking plate is depleted, such as a rinsing gas for the first pulse to the processing chamber (step 204), provided within the pulse The shell metal precursor is directed to the processing chamber (step 206), providing a second pulse/r condition gas to the processing chamber (step 208), providing a reducing gas pulse to the processing chamber, step 210), and then depending on whether The required thickness is only 'm layer (step 212)' Repeat steps 2〇4 to 210 or stop the deposition process (step 214) 〇24 Γ376014 As discussed above with reference to Figure 3, each of the reducing gas and the rinsing gas before the precious metal is contained: a period of one pulse may have For the same duration, or in the 'precious metal deposition process, the relevant time period for the precursor, reducing gas, and rinsing one or more pulses in the one or more cycles may have different durations in Section 3-4 ® The middle metal deposition cycle is depicted as starting with a metal squid pulse and then providing a pulse of reducing gas. The shell metal layer > the gas accumulation cycle can begin with a pulse of reducing gas and then contain a noble metal precursor pulse. In an exemplary process, a sub-layer deposition process of the processing chamber 80 of FIG. 2 deposits a layer of germanium on a substrate (eg, 3 〇〇mm), a gas source 138, from about 0.01 cmcm to about 5 sccm. Preferably, about (a flow rate to about 1 sccm provides a pulse of a ruthenium containing compound such as bis(2,4-di-diethyl) ruthenium via a valve 1 4 2 a, due to the comparison of the reaction zone 164 (compared to the first The chamber of the figure 8) has a pulse duration of about 1.25 less 'e.g. about 0.1 second or less, and as low as about 〇. 〇 5 seconds or less of dibrane (BZH6) reducing gas pulse can be gas The flow rate of the source 139 lsccm to about 80 sccm, preferably from about 1 〇sccm to about the flow rate 'via valve 1 42B, lasts about 2 seconds or less, about 1 second side or 0.1 second or less, due to The small volume of argon purge gas from reaction zone 164 is maintained at a flow rate between about 500 sccm and about 5000 sccm, and between 1500 sccm and about 3,500 sccm, continuously supplied by gas source through valve 142 VIII, 1428. The time between 2,4-dimethylpentadienyl)B2H6 pulses may be about 0.5 seconds or less, for example, about ruthenium, the same or not most of the gas is expensive. Who provided the original inner comprising: a) .1 seem small volume methylpentyl seconds or less. For example, take about 5 Oseem, less, so. A better than about 140 pulses and .1 seconds or 25 1376014 are less, and as low as 0.07 seconds or less due to the volume of the reaction zone 164. It is believed that with a reactant gas and/or a flushing gas, a pulse time of 0.016 seconds is sufficient to fill the reaction zone, and for a reaction zone 164 for a wafer (eg, 200 mm), a shorter pulse time is used. Preferably, it is maintained between about 200 ° C and about 400 ° C. The chamber pressure is between about 1.00 and about 10 Torr, preferably about 4 Torr, per cycle. A reproducible process having a thickness of from about 0.5 angstroms to about 1.0 angstroms is provided until the desired thickness is achieved. In one embodiment, the tantalum layer is deposited as a side cover of about 50 angstroms or less. In another embodiment, the tantalum layer is deposited to a coverage of about 20 angstroms or less. In another embodiment, the tantalum layer is deposited in a wall coverage of about 10 angstroms or less. A layer having a thickness of about 10 angstroms or less is sufficient in this case as an underlying layer of copper deposition (i.e., seed layer) and prevents copper from expanding:) barrier layer). In one aspect, a thin underlayer may be used to facilitate filling of sub-micron (e.g., less than 0.15 micron) and smaller features of high aspect ratio, and sidewall cladding having greater than 50 angstroms may also be used. In one real, helium is deposited as a layer. In another embodiment, the germanium is deposited with a barrier layer. Formation of copper interconnects Fig. 5A-5C shows a cross-sectional view of the substrate at various stages of the process of adding the precious metal layer of the present invention. For example, Figure 5A shows a cross-sectional view of 300 with metal contacts 304 and dielectric layer 302 formed on the 300. Substrate 300 may comprise, for example, ruthenium, osmium or gallium arsenide as small as about less. Add a good ear. Floor. The side of the wall covering side wall is considered to have "that is, when the embodiment is a connecting substrate substrate semi-conducting 26 1376014 body material. The dielectric layer 302 may comprise an insulating material such as yttria-nitride or the like. The metal contact 304 may Copper or the like is included. The aperture 304H can be defined in the dielectric 302 to provide an opening on the metal contact 304 to define 304H in the dielectric layer 302 using conventional lithography and etching techniques. A barrier layer 306 can be formed in the definition In 304H of the electrical layer 302. The barrier layer 306 may comprise one or more of a metal containing a rich metal such as titanium, nitride, group, nitride, cast and II, etc. For example, titanium tetrachloride is used. A titanium oxide chemical vapor deposition (CVD) ALD process is used to deposit titanium nitride. Referring to Figure 5B, a noble metal layer 3 0 8 (e.g., germanium) is formed on layer 306. The noble metal layer uses the third The cycle technique of Figure 4 is formed. The thickness of the precious metal layer varies depending on the structure to be fabricated. Typically, the thickness of the precious metal layer is less than 1 Å and is between about 10 angstroms and about 60 angstroms. In one embodiment, a layer of about 30 angstroms Thickness. Subsequently, referring to Figure 5C, the aperture 3 04H can be filled with copper 3 to complete the copper interconnect. The copper 310 can be formed using one or more suitable depositions. In one embodiment, for example, a CVD process can be used. A layer is formed on the tantalum layer, followed by an electrochemical plating (ECP) process to deposit the master to fill the interconnect. In another embodiment, a copper seed layer is deposited by phase deposition (PVD), followed by Electroless copper plating is used to deposit the host filler. In another embodiment, the germanium layer acts as a seed layer on which EPC or electroless plating is performed to deposit a copper host filler. Or the barrier deposition device preferably has 10, the process copper seed copper liquefaction gas-copper directly 27 1376014 performs several integration procedures to form a layer in the interconnect. In an embodiment, the subsequent steps are: a) Pre-cleaning the substrate; barrier layer (eg, TaN deposited by ALD); c) depositing ALD with ALD: and depositing copper in the form of ECp or Cu-PVD followed by ECP. In another embodiment, the subsequent steps include: a) Depositing a barrier layer (eg ALD _ TaN); b) a hole step; Ο depositing the nail with ALD; and d) depositing copper in the manner of ECP or cu_pvD followed by ECP. In another embodiment, the subsequent steps include: 3) depositing ruthenium with ald; b) punching step; c) ALD deposition 钌; and d) depositing copper in the manner of ECp or Cu-PVD followed by ECP. In a further embodiment the subsequent steps comprise: a) depositing ruthenium by ALD; b) a punching step; and depositing ruthenium by ALD; d) depositing copper with ECP. In another embodiment, the subsequent steps comprise: a) pre-cleaning the substrate; b) depositing ruthenium in ALD; and c) depositing copper in the manner of EcP or Cu_PvD followed by ECP. The pre-cleaning step involves a method of cleaning or purifying the vias, such as removing residues (e.g., carbon) at the bottom of the vias or reducing the oxidized steel to copper metal. The punching step includes a method of removing material (e.g., a barrier layer) from the bottom of the via hole to expose the conductor layer (e.g., copper). Further disclosure of the puncturing step is described in the U.S. Patent No. The punching step is performed in a processing chamber, such as a barrier chamber or a cleaning chamber. In an embodiment of the invention, the cleaning step and the punching step are applied to the barrier layer. Further disclosure of the holistic method is illustrated by the same assignee application dated June 13, 2003, the integration of tantalum nitride ALD for steel metallization, U.S. Provisional Application No. 6/47 8,663 In the number, the case is incorporated by reference. 28 1376014 Although the foregoing is directed to the preferred embodiments of the present invention, other embodiments of the present invention may be devised without departing from the scope of the invention, and the scope of the invention is determined by the scope of the appended claims. BRIEF DESCRIPTION OF THE DRAWINGS The foregoing detailed description of the preferred embodiments of the invention may be However, it is to be noted that the appended drawings are merely illustrative of the invention and are not to be construed as limiting 1 is a cross-sectional view of a chamber that can be used to perform the cyclic deposition process of the present invention; FIG. 2 is a cross-sectional view of another chamber that can be used to perform the cyclic deposition process of the present invention; Process for recycling a precious metal layer of a cyclic deposition according to an embodiment; FIG. 4 is a view showing a process of using a noble metal according to another embodiment of the present invention; and FIG. 5A-5C is a profile of an integrated circuit process Figure. [Main component symbol description] Do not enter one 〇 (that is, additional implementation of the allowable cavity a technical accumulation 10 processing chamber 18 vacuum pump 34 gas manifold 48 bracket 29 1376014 48A displacement mechanism 50 Α temperature sensor 52 AC power 52 Α Heating Element 70 Microprocessor Controller 80 Chamber 82 Chamber Body 84 Side Wall 86 Bottom 88 Slit Valve 90 Substrate 91 Receiving Surface 92 Support 114 Lifting Motor 116 Lifting Plate 118 Lifting Motor 120 Pin 122 Flushing Ring 124 Flushing Channel 130 Gas distribution device 132 chamber cover 134 expansion channel 135 lower portion 137 upper portion 136A, B gas inlet 138 reactant gas source 139 reactant gas source 140 flushing gas source 142A, B valve 143 Α > Β gas distribution line 1 4 4 A, B Seat Assembly 1 4 5 A, 冲洗 Flush Line 1 4 6 A, B Seat Assembly 150A, B Gas Line 160 Bottom 166 Pumping Area 178 Vacuum System 179 Pumping Channel 180 Control Unit 182 Central Processing Unit 183 Control Software 184 Support Circuit 186 Memory 300 Substrate 302 Dielectric Layer 304 Metal Contact 3 0 4 Η Aperture 306 Barrier Layer

30 1376014 308 貴金屬層 3 10 銅30 1376014 308 precious metal layer 3 10 copper

3131

Claims (1)

1376014 拾、申請專利範圍: 1. 一種在一基材上形成一膜的方法,包1 將該基材置放在一處理腔室内,其中該處 一配氣設備,該配氣設備具有一擴張通道,設 道以使該基材曝露至一處理氣體,該處理氣體 化合物或一還原氣體; 由該擴張通道輸送該處理氣體;及 在一原子層沉積製程中,將該基材依序曝 化合物及該還原氣體,以在該基材之至少一部 釕層。 2. 如申請專利範圍第1項所述之方法, 洗氣體沖洗該處理腔室並進行該原子層沉積製 循環,該沉積循環包含依序輸送該含釕化合物 體、該還原氣體、及該沖洗氣體至該處理腔室 3. 如申請專利範圍第2項所述之方法, 化合物係選自由以下化合物.所組成之群組中 (2,2,6,6-四甲基-3,5-庚二酮酸)釕,雙(2,4-二曱 釕,二羰基戊二烯基釕,乙醯丙酮酸釕,(2,4-烯基)釕(環戊二烯基),雙(2,2,6,6-四甲基-3,5 釕(1,5-環辛二烯),(2,4-二曱基戊二烯基)釕(甲 基),(1,5-環辛二烯)釕(環戊二烯基),(1,5-環辛 卜以下步驟: 理腔室包含 置該擴張通 包含一含釕 露至該含釕 份上形成一 其中以一沖 程之一沉積 、該沖洗氣 〇 其中該含釕 ,包括:三 基戊二烯基) 二甲基戊二 -庚二酮酸) 基環戊二烯 二烯)釕(甲 32 Γ376014 基環戊二烯基),(1,5-環辛二烯)釕(乙基環戊二烯基),(2,4-二甲基戊二烯基)釕(乙基環戊二烯基),(2,4-二甲基戊二烯 基)釕(異丙基環戊二烯基),雙(N,N-二曱基1,3-四甲基二 醯亞胺酸)釕(1,5-環辛二烯),雙(Ν,Ν-二曱基1,3-二甲基二 醯亞胺酸)釘(1,5-環辛二烯),雙(烯丙基)釕(1,5-環辛二 烯),(t?6-C6H6)釕(1,3-環己二烯),雙(1,1-二甲基-2-胺基 乙氧基)釕(1,5-環辛二烯),雙(1,1-二甲基-2-胺基乙基胺基 酸)釕(1,5 -環辛二烯)及其衍生物與其混合物。 4. 如申請專利範圍第3項所述之方法,其中該還原 氣體包含一或多數氣體,其係選自氫、氨、矽烷、二矽烷、 二曱基矽烷、曱基矽烷、乙基矽烷、氯矽烷、二氯矽烷、 六氣二矽烷、硼烷、二硼烷、三硼烷、四硼烷、五硼烷、 三乙基硼烷、及其組合物所構成之群組中。 5. 如申請專利範圍第4項所述之方法,其中形成該 釕層的步驟係於約2 0 0 °C至約4 0 0 °C之一溫度範圍間實施。 6. 如申請專利範圍第4項所述之方法,其中形成該 釕層的步驟係於約0.1托耳至約80托耳之一壓力間實施。 7. 如申請專利範圍第2項所述之方法,其中該沖洗 氣體係由氦、氬、氫、氮、及其組合物所構成之群組中選 33 1376014 出。 8. 如申請專利範圍第6項所述之方法,其中該含釕 化合物係以脈衝方式被脈衝入該處理腔室中約 0.05秒至 約1. 5秒之久。 9. 如申請專利範圍第8項所述之方法,其中該還原 氣體係以脈衝方式進入該處理腔室約〇 · 1秒至約2秒之久。 10. 如申請專利範圍第7項所述之方法,其中該沖洗 氣體係以脈衝方式進入該處理腔室中約0.0 7秒至約1秒之 久0 11. 如_請專利範圍第4項所述之方法,其中該釕層 具有約1 0埃至約1 0 0埃之厚度。 12. 如_請專利範圍第1項所述之方法,其中該處理 氣體係以相對於該基材之一平面成垂直之方式輸送。 13. —種用於在積體電路製程之在一基材上形成一釕 層的方法,包含以下步驟: 將該基材置放在一處理腔室内,其中該處理腔室係與 一配氣系統流體相通,該配氣系統具有一中央擴張通道; 34 1376014 由該配氣系統輸送一含釕化合物至該處理腔室,其中 該含釕化合物係由該中央擴張通道以相對於該基材之一平 面成垂直之一氣流的方式輸送; 化學吸附一含釕層至該基材上; 由該配氣系統輸送一還原氣體至該處理腔室;及 將該還原氣體與該含釕層反應,以在該基材上形成該 钉層。 14. 如申請專利範圍第13項所述之方法,其中在該還 原氣體輸送前及輸送後係以一沖洗氣體沖洗該處理腔室。 15. 如申請專利範圍第14項所述之方法,其中該含釕 化合物係選自由下列化合物組成之群組中,包括三 (2,2,6,6-四甲基-3,5-庚二酮酸)釕,雙(2,4-二甲基戊二烯基) 釕,二羰基戊二烯基釕,乙醯丙酮酸釕,(2,4 -二曱基戊二 烯基)釕(環戊二烯基),雙(2,2,6,6-四甲基-3,5-庚二酮酸) 釕(1,5-環辛二烯),(2,4-二曱基戊二烯基)釕(甲基環戊二烯 基),(1,5-環辛二烯)釕(環戊二烯基),(1,5-環辛二烯)釕(甲 基環戊二烯基),(1,5-環辛二烯)釕(乙基環戊二烯基),(2,4-二甲基戊二烯基)釕(乙基環戊二烯基),(2,4-二甲基戊二烯 基)釕(異丙基環戊二烯基),雙(Ν,Ν-二曱基1,3-四甲基二 醯亞胺酸)釕(1,5-環辛二烯),雙(Ν,Ν-二曱基1,3-二甲基二 醯亞胺酸)钌(1,5 -環辛二烯),雙(烯丙基)釕(1,5-環辛二 1376014 烯),(77 6-C6H6)釕(1,3-環己二烯),雙(1,卜二甲基-2-胺基 乙氧基)釕(1,5-環辛二烯),雙(1,1-二曱基-2-胺基乙基胺基 酸)釕(1,5 -環辛二烯),及其衍生物與其混合物。 16. 如申請專利範圍第15項所述之方法,其中該還原 氣體包含一或多數氣體,其係選自由:氫、氨、矽烷、二 矽烷、二曱基矽烷、甲基矽烷、乙基矽烷、氯矽烷、二氯 矽烷、六氯二矽烷、硼烷、二硼烷、三硼烷、四碱烷、五 硼烷、三乙基硼烷、及其組合物所構成之群組。 17. 如申請專利範圍第16項所述之方法,其中形成該 釕層的步驟係於約2 0 0 °C至約4 0 0 °C之一溫度間實施。 18. 如申請專利範圍第16項所述之方法,其中形成該 釕層的步驟係於約0.1托耳至約8 0托耳之一壓力間實施。 1 9.如申請專利範圍第1 4項所述之方法,其中該沖洗 氣體係由氦、氬、氫、氮、及其組合物所構成之群組中選 出。 20.如申請專利範圍第18項所述之方法,其中該含釕 化合物係以脈衝方式進入該處理腔室中約0.0 5秒至約1. 5 秒之久。 36 Γ376014 21.如申請專利範圍第20項所述之方法,其中該還原 氣體係以脈衝方式進入該處理腔室中約0.1秒至約2秒之 久。 2 2. 如申請專利範圍第1 9項所述之方法,其中該沖洗 氣體係以脈衝方式進入該處理腔室中約0.0 7秒至約1秒之 久。 23. 如申請專利範圍第16項所述之方法,其中該釕層 具有約10埃至約100埃之厚度。 24. 一種於一處理腔室内之一基材上形成包含釕之一 層的方法,包含以下步驟: a) 將該基材表面曝露至一含釕化合物以在該基材表 面上形成一含釕層,該含舒化合物係由具有一中央擴張 通道之一配氣系統輸送; b) 以一沖洗氣體,沖洗該處理腔室; c) 由該中央擴張通道輸送一還原氣體,使該還原氣 體與該含钌層反應;及 d) 以該沖洗氣體沖洗該處理腔室。 25. 如申請專利範圍第24項所述之方法,其中該層係 37 1376014 藉由包含重覆步驟a)至d)的ALD製程循環加以形成。 26. 如申請專利範圍第25項所述之方法,其中該含釕 化合物係選自由以下化合物組成之群组中,包括三 (2,2,6,6-四f基-3,5-庚二酮酸)釕,雙(2,4-二甲基戊二烯基) 釕,二羰基戊二烯基釕,乙醯丙酮酸釕,(2,4-二曱基戊二 烯基)釕(環戊二烯基),雙(2,2,6,6-四甲基-3,5-庚二酮酸) 釕(1,5-環辛二烯),(2,4-二甲基戊二烯基)釕(甲基環戊二烯 基),(1,5 -環辛二烯)釕(環戊二烯基),(1,5 -環辛二烯)釕(曱 基環戊二烯基),(1,5-環辛二烯)釕(乙基環戊二烯基)’(2,4-二甲基戊二烯基)釕(乙基環戊二烯基),(2,4 -二甲基戊二烯 基)釕(異丙基環戊二烯基),雙(N,N-二甲基1,3-四曱基二 醯亞胺酸)釕(1,5-環辛二烯),雙(N,N-二曱基1,3-二甲基二 醯亞胺酸)釕(1,5-環辛二烯),雙(烯丙基)釕(1,5-環辛二 烯),(7? 6-C6H6)釕(1,3-環己二烯),雙(1,1-二曱基-2-胺基 乙氧基)釕(1,5-環辛二烯),雙(1,1-二甲基-2-胺基乙基胺基 酸)釕(1,5 -環辛二烯),及其衍生物與其混合物。 27. 如申請專利範圍第26項所述之方法,其中該還原 氣體包含一或多數氣體,其係選自由:氫、氨、矽烷、二 矽烷、二曱基矽烷、甲基矽烷、乙基矽烷、氣矽烷、二氣 矽烷、六氣二矽烷、硼烷、二硼烷、三硼烷、四硼烷、五 硼烷、三乙基硼烷、及其組合物所構成之群組。 38 1376014 28. 如申請專利範圍第27項所述之方法,其中形成該 層的步驟係於約2 0 0 °C至約4 0 0 °C之一溫度間實施。 29. 如_請專利範圍第27項所述之方法,其中形成該 層的步驟係於約0.1托耳至約80托耳之一壓力間實施。 30. 如申請專利範圍第25項所述之方法,其中該沖洗 氣體係由氦、氬、氫、氮、及其組合物所構成之群組中選 出。 31.如申請專利範圍第29項所述之方法,其中該含釕 化合物係以脈衝方式進入該處理腔室中約〇 . 〇 5秒至約1.5 秒之久。 3 2.如_請專利範圍第3 1項所述之方法,其中該還原 氣體係以脈衝方式進入該處理腔室中約0 · 1秒至約2秒之 久。 3 3 .如申請專利範圍第3 0項所述之方法,其中該沖洗 氣體係以脈衝方式進入該處理腔室中約0 · 0 7秒至約1秒之 久。 39 1376014 34. 如申請專利範圍第27項所述之方法,其中重覆步 驟a)至d)以形成厚度約10埃至約100埃之該含釕層。 35. 如申請專利範圍第24項所述之方法,其中該含釕 化合物係以相對於該基材之一平面成垂直之方式輸送。1376014 Picking up, patent application scope: 1. A method for forming a film on a substrate, the package 1 is placed in a processing chamber, where a gas distribution device has an expansion a channel, the substrate is exposed to a processing gas, the processing gas compound or a reducing gas; the processing gas is transported by the expansion channel; and the substrate is sequentially exposed to the compound in an atomic layer deposition process And the reducing gas to be at least one layer of the substrate. 2. The method of claim 1, wherein the washing chamber flushes the processing chamber and performs the atomic layer deposition cycle, the deposition cycle comprising sequentially transporting the cerium-containing compound body, the reducing gas, and the rinsing Gas to the processing chamber 3. As in the method of claim 2, the compound is selected from the group consisting of (2,2,6,6-tetramethyl-3,5- Hexanedione acid) bismuth, bis(2,4-difluorene, dicarbonylpentadienyl hydrazine, hydrazine acetonate, (2,4-alkenyl)anthracene (cyclopentadienyl), bis ( 2,2,6,6-tetramethyl-3,5 fluorene (1,5-cyclooctadiene), (2,4-didecylpentadienyl) fluorene (methyl), (1,5 -cyclooctadiene) fluorene (cyclopentadienyl), (1,5-cyclooctyl) the following steps: the chamber contains the expansion flux comprising a deuterium containing the deuterium to form one of the components One of the strokes is deposited, the rinse gas containing the ruthenium, including: trisylpentadienyl) dimethylpentane-heptanedionate)cyclopentadienyldiene) (32 Γ376014) Dienyl), (1,5-cyclooctane Alkenyl (ethylcyclopentadienyl), (2,4-dimethylpentadienyl)anthracene (ethylcyclopentadienyl), (2,4-dimethylpentadienyl) Anthracene (isopropylcyclopentadienyl), bis(N,N-dimercapto1,3-1,3-dimethyldiimide) hydrazine (1,5-cyclooctadiene), bis(Ν, Ν-dimercapto1,3-1,3-dimethylimidazolium) nail (1,5-cyclooctadiene), bis(allyl)indole (1,5-cyclooctadiene), (t ?6-C6H6) 钌(1,3-cyclohexadiene), bis(1,1-dimethyl-2-aminoethoxy) hydrazine (1,5-cyclooctadiene), double (1 , 1-dimethyl-2-aminoethylamino acid) hydrazine (1,5-cyclooctadiene) and derivatives thereof and mixtures thereof. 4. The method of claim 3, wherein the reducing gas comprises one or more gases selected from the group consisting of hydrogen, ammonia, decane, dioxane, dinonyl decane, decyl decane, ethyl decane, A group consisting of chlorodecane, dichlorodecane, hexa-dioxane, borane, diborane, triborane, tetraborane, pentaborane, triethylborane, and combinations thereof. 5. The method of claim 4, wherein the step of forming the layer of tantalum is carried out at a temperature ranging from about 200 °C to about 400 °C. 6. The method of claim 4, wherein the step of forming the layer of tantalum is carried out at a pressure of from about 0.1 Torr to about 80 Torr. 7. The method of claim 2, wherein the flushing gas system comprises 33 1376014 selected from the group consisting of helium, argon, hydrogen, nitrogen, and combinations thereof. 8. The method of claim 6, wherein the ruthenium containing compound is pulsed into the processing chamber for from about 0.05 seconds to about 1.5 seconds. 9. The method of claim 8, wherein the reducing gas system enters the processing chamber in a pulsed manner for about 1 second to about 2 seconds. 10. The method of claim 7, wherein the flushing gas system enters the processing chamber in a pulsed manner for about 0.07 seconds to about 1 second. 0. The method wherein the tantalum layer has a thickness of from about 10 angstroms to about 100 angstroms. 12. The method of claim 1, wherein the process gas system is delivered in a vertical manner relative to a plane of the substrate. 13. A method for forming a layer of germanium on a substrate in an integrated circuit process, comprising the steps of: placing the substrate in a processing chamber, wherein the processing chamber is associated with a gas distribution The system is in fluid communication, the gas distribution system having a central expansion channel; 34 1376014 from the gas distribution system to deliver a ruthenium containing compound to the processing chamber, wherein the ruthenium containing compound is from the central expansion channel relative to the substrate a plane is conveyed in a vertical flow; a chemical adsorption of a ruthenium containing layer to the substrate; a reducing gas is delivered from the gas distribution system to the processing chamber; and the reducing gas is reacted with the ruthenium containing layer, To form the nail layer on the substrate. 14. The method of claim 13, wherein the processing chamber is flushed with a flushing gas before and after the reducing gas is delivered. 15. The method of claim 14, wherein the cerium-containing compound is selected from the group consisting of tris(2,2,6,6-tetramethyl-3,5-g Diketo acid) bismuth, bis(2,4-dimethylpentadienyl) fluorene, dicarbonyl pentadienyl hydrazine, hydrazine acetylacetonate, (2,4-didecylpentadienyl) fluorene (cyclopentadienyl), bis(2,2,6,6-tetramethyl-3,5-heptanedionate) ruthenium (1,5-cyclooctadiene), (2,4-di (Pentapentadienyl) fluorene (methylcyclopentadienyl), (1,5-cyclooctadiene) fluorene (cyclopentadienyl), (1,5-cyclooctadiene) fluorene (methyl) Cyclopentadienyl), (1,5-cyclooctadiene) anthracene (ethylcyclopentadienyl), (2,4-dimethylpentadienyl)anthracene (ethylcyclopentadienyl) ), (2,4-dimethylpentadienyl)anthracene (isopropylcyclopentadienyl), bis(indenyl, fluorenyl-dimercapto1,3-1,3-diyl imienoic acid) (1,5-cyclooctadiene), bis(indenyl, fluorenyl-dimercapto1,3-1,3-dimethylimidazolium) hydrazine (1,5-cyclooctadiene), bis(allyl) ) 钌 (1,5-cyclooctane II 1376014 ene), (77 6-C6H6) 钌(1,3-cyclohexadiene), bis(1,b-dimethyl-2-aminoethoxy)indole (1,5-cyclooctadiene), bis(1,1-didecyl- 2-Aminoethylamino acid) hydrazine (1,5-cyclooctadiene), and derivatives thereof, and mixtures thereof. 16. The method of claim 15, wherein the reducing gas comprises one or more gases selected from the group consisting of hydrogen, ammonia, decane, dioxane, dinonyl decane, methyl decane, ethyl decane. a group consisting of chlorodecane, dichlorodecane, hexachlorodioxane, borane, diborane, triborane, tetralkane, pentaborane, triethylborane, and combinations thereof. 17. The method of claim 16, wherein the step of forming the layer of tantalum is carried out at a temperature of from about 200 ° C to about 400 ° C. 18. The method of claim 16, wherein the step of forming the layer of tantalum is carried out at a pressure of from about 0.1 Torr to about 80 Torr. The method of claim 14, wherein the flushing gas system is selected from the group consisting of helium, argon, hydrogen, nitrogen, and combinations thereof. The method of claim 18, wherein the ruthenium-containing compound enters the processing chamber in a pulsed manner for from about 0.05 seconds to about 1.5 seconds. The method of claim 20, wherein the reducing gas system enters the processing chamber in a pulsed manner for from about 0.1 second to about 2 seconds. 2. The method of claim 19, wherein the flushing gas system enters the processing chamber in a pulsed manner for from about 0.07 seconds to about one second. 23. The method of claim 16, wherein the layer of tantalum has a thickness of from about 10 angstroms to about 100 angstroms. 24. A method of forming a layer comprising tantalum on a substrate in a processing chamber, comprising the steps of: a) exposing the surface of the substrate to a germanium containing compound to form a germanium containing layer on the surface of the substrate The sulphate-containing compound is delivered by a gas distribution system having a central expansion channel; b) rinsing the processing chamber with a flushing gas; c) delivering a reducing gas from the central expansion channel to cause the reducing gas to The ruthenium containing reaction; and d) rinsing the processing chamber with the flushing gas. 25. The method of claim 24, wherein the layer 37 1376014 is formed by an ALD process cycle comprising repeating steps a) through d). 26. The method of claim 25, wherein the cerium-containing compound is selected from the group consisting of tris(2,2,6,6-tetraf-group-3,5-g Diketo acid) bismuth, bis(2,4-dimethylpentadienyl) fluorene, dicarbonyl pentadienyl hydrazine, hydrazine acetyl acetonate, (2,4-didecylpentadienyl) fluorene (cyclopentadienyl), bis(2,2,6,6-tetramethyl-3,5-heptanedionate) hydrazine (1,5-cyclooctadiene), (2,4-dimethyl (Pentapentadienyl) fluorene (methylcyclopentadienyl), (1,5-cyclooctadiene) fluorene (cyclopentadienyl), (1,5-cyclooctadiene) fluorene (fluorenyl) Cyclopentadienyl), (1,5-cyclooctadiene) anthracene (ethylcyclopentadienyl) '(2,4-dimethylpentadienyl)anthracene (ethylcyclopentadienyl) , (2,4-dimethylpentadienyl)anthracene (isopropylcyclopentadienyl), bis(N,N-dimethyl1,3-tetradecyldiimide) (1,5-cyclooctadiene), bis(N,N-dimercapto1,3-1,3-dimethylimidazolium) hydrazine (1,5-cyclooctadiene), bis(allyl) ) 钌 (1,5-cyclooctadiene), (7? 6-C6H6) 钌 (1,3- Hexadiene), bis(1,1-dimercapto-2-aminoethoxy)indole (1,5-cyclooctadiene), bis(1,1-dimethyl-2-amino group B Amino acid) hydrazine (1,5-cyclooctadiene), and derivatives thereof, and mixtures thereof. 27. The method of claim 26, wherein the reducing gas comprises one or more gases selected from the group consisting of hydrogen, ammonia, decane, dioxane, dinonyl decane, methyl decane, ethyl decane. a group of gas decane, dioxane, hexadioxane, borane, diborane, triborane, tetraborane, pentaborane, triethylborane, and combinations thereof. 38. The method of claim 27, wherein the step of forming the layer is carried out at a temperature between about 200 ° C and about 400 ° C. 29. The method of claim 27, wherein the step of forming the layer is performed between a pressure of from about 0.1 Torr to about 80 Torr. 30. The method of claim 25, wherein the flushing gas system is selected from the group consisting of helium, argon, hydrogen, nitrogen, and combinations thereof. 31. The method of claim 29, wherein the ruthenium containing compound is pulsed into the processing chamber for about 5 seconds to about 1.5 seconds. The method of claim 3, wherein the reducing gas system enters the processing chamber in a pulsed manner for from about 0.1 second to about 2 seconds. The method of claim 30, wherein the flushing gas system enters the processing chamber in a pulsed manner for from about 0.07 seconds to about one second. 39. The method of claim 27, wherein the steps a) to d) are repeated to form the ruthenium containing layer having a thickness of from about 10 angstroms to about 100 angstroms. 35. The method of claim 24, wherein the ruthenium containing compound is delivered in a perpendicular manner relative to a plane of the substrate. 36. 一種在一基材上形成一釕層的方法,包含:將該 基材置放在一處理腔室内,其中該處理腔室包含: 一基材支撐件,具有該基材; 一腔室蓋,在該腔室蓋之中心部份具有一中央擴張通 道,該腔室蓋並包含一底面,該底面由該中央擴張通道延 伸至該腔室蓋的一週邊部份,該底面之形狀大小係可實質 覆蓋該基材; 一或多數閥,連接至該中央擴張通道; 一或多數氣體源,連接至每一閥;及36. A method of forming a layer of tantalum on a substrate, comprising: placing the substrate in a processing chamber, wherein the processing chamber comprises: a substrate support having the substrate; a chamber a cover having a central expansion passage in a central portion of the chamber cover, the chamber cover and a bottom surface extending from the central expansion passage to a peripheral portion of the chamber cover, the shape of the bottom surface The substrate may substantially cover the substrate; one or more valves connected to the central expansion channel; one or more gas sources connected to each valve; 一反應區,定義於該腔室蓋及該基材之間,該反應區 包含一小容積;及 藉由依序化學吸附單層之一含釕化合物及一還原氣 體,而在該基材之至少一部份上形成該釕層。 3 7.如申請專利範圍第36項所述之方法,其中在每一 單層化學吸附後以一沖洗氣體沖洗該處理腔室。 40 1376014 38.如申請專利範圍第37項所述之方法,其中該含釕 化合物係選自由以下化合物所組成之群組中,包括三 (2,2,6,6-四甲基- 3,5-庚二酮酸)釕,雙(2,4-二曱基戊二烯基) 釕,二羰基戊二烯基釕,乙醯丙酮酸釕,(2,4-二曱基戊二 烯基)釕(環戊二烯基),雙(2,2,6,6-四曱基-3,5-庚二酮酸) 釕(1,5-環辛二烯),(2,4-二曱基戊二烯基)釕(曱基環戊二烯 基),(1,5 -環辛二烯)釕(環戊二烯基),(1,5-環辛二烯)釕(曱 基環戊二烯基),(1,5-環辛二烯)釕(乙基環戊二烯基),(2,4-二甲基戊二烯基)釕(乙基環戊二烯基),(2,4-二甲基戊二烯 基)釕(異丙基環戊二烯基),雙(Ν,Ν-二甲基1,3-四曱基二 醯亞胺酸)釕(1,5-環辛二烯),雙(Ν,Ν-二甲基1,3-二甲基二 醯亞胺酸)釕(1,5 -環辛二烯),雙(烯丙基)釕(1,5 -環辛二 烯),(?76-C6H6)釕(1,3-環己二烯),雙(1,1-二曱基-2-胺基 乙氧基)釕(1,5-環辛二烯),雙(1,1-二甲基-2-胺基乙基胺基 酸)釕(1,5-環辛二烯),及其衍生物與其混合物。 3 9.如申請專利範圍第3 8項所述之方法,其中該還原 氣體包含一或多數氣體,其係選自由:氫、氨、矽烷、二 石夕烧、二子基石夕炫、甲基石夕烧、乙基石夕烧、氯石夕烧、二氣 矽烷、六氣二矽烷、硼烷、二硼烷、三硼烷、四硼烷、五 硼烷、三乙基硼烷、及其組合物所構成之群組。 40.如申請專利範圍第39項所述之方法,其中形成該 41 1376014 釕層的步驟係於約2 0 0 °C至約4 Ο 0 °C之一溫度範圍間實施。 41.如申請專利範圍第39項所述之方法,其中形成該 釕層的步驟係於約0.1托耳至約8 0托耳之一壓力間實施。 42.如申請專利範圍第38項所述之方法,其中該沖洗 氣體係由氦、氬、氫、氮、及其組合物所構成之群組中選a reaction zone defined between the chamber cover and the substrate, the reaction zone comprising a small volume; and at least one of the monolayer containing a ruthenium compound and a reducing gas by sequential chemical adsorption The layer of germanium is formed on a portion. 3. The method of claim 36, wherein the processing chamber is flushed with a flushing gas after each single layer of chemisorption. 40. The method of claim 37, wherein the cerium-containing compound is selected from the group consisting of tris(2,2,6,6-tetramethyl- 3, 5-heptanedionate) bismuth, bis(2,4-didecylpentadienyl) fluorene, dicarbonyl pentadienyl hydrazine, hydrazine acetyl acetonate, (2,4-dimercapto pentadiene (钌) (cyclopentadienyl), bis(2,2,6,6-tetradecyl-3,5-heptanedionate) ruthenium (1,5-cyclooctadiene), (2,4 - Dimercapto pentadienyl) fluorenyl (fluorenylcyclopentadienyl), (1,5-cyclooctadiene) fluorene (cyclopentadienyl), (1,5-cyclooctadiene) fluorene (fluorenylcyclopentadienyl), (1,5-cyclooctadiene) fluorene (ethylcyclopentadienyl), (2,4-dimethylpentadienyl) fluorene (ethylcyclopentyl) Dienyl), (2,4-dimethylpentadienyl)anthracene (isopropylcyclopentadienyl), bis(indenyl, fluorenyl-dimethyltriphenyltetradecyldiimide) Acid) hydrazine (1,5-cyclooctadiene), bis(hydrazine, hydrazine-dimethyl1,3-dimethyldiimide) hydrazine (1,5-cyclooctadiene), double ( Allyl) hydrazine (1,5-cyclooctadiene), ( 76-C6H6) 钌(1,3-cyclohexadiene), bis(1,1-dimercapto-2-aminoethoxy)indole (1,5-cyclooctadiene), double (1, 1-Dimethyl-2-aminoethylamino acid) hydrazine (1,5-cyclooctadiene), and derivatives thereof, and mixtures thereof. 3. The method of claim 3, wherein the reducing gas comprises one or more gases selected from the group consisting of: hydrogen, ammonia, decane, two stone smoldering, two sub-stone shovel, methyl stone Xizhuan, ethyl zephyr, chlorite, dioxane, hexa-dioxane, borane, diborane, triborane, tetraborane, pentaborane, triethylborane, and combinations thereof a group of objects. 40. The method of claim 39, wherein the step of forming the 41 1376014 layer is performed between a temperature range of from about 200 ° C to about 4 ° C ° C. The method of claim 39, wherein the step of forming the layer of tantalum is carried out at a pressure of from about 0.1 Torr to about 80 Torr. 42. The method of claim 38, wherein the purge gas system is selected from the group consisting of helium, argon, hydrogen, nitrogen, and combinations thereof. 43.如申請專利範圍第41項所述之方法,其中該含釕 化合物係以脈衝方式進入該處理腔室中約〇 . 〇 5秒至約1 · 5 秒之久。 44.如申請專利範圍第43項所述之方法,其中該還原 氣體係以脈衝方式進入該處理腔室中約〇. 1秒至約2秒之 久。43. The method of claim 41, wherein the ruthenium containing compound is pulsed into the processing chamber for about 5 seconds to about 1.25 seconds. 44. The method of claim 43, wherein the reducing gas system enters the processing chamber in a pulsed manner for from about 1 second to about 2 seconds. 45.如申請專利範圍第42項所述之方法,其中該沖洗 氣體係以脈衝方式進入該處理腔室中約0 · 0 7秒至約1秒之 久。 4 6.如申請專利範圍第39項所述之方法,其中該釕層 具有約1 0埃至約1 0 0埃之厚度。 42 Γ376014 47. 如申請專利範圍第39項所述之方法,其中該含釕 化合物以依序脈衝的方式注入處理腔室内。 48. 如申請專利範圍第47項所述之方法,其中該含釕 化合物係以相對於該基材之一平面成垂直之方式輸送。 49. 一種用於積體電路製程之在一基材上形成一釕層 的方法,包含以下步驟: 將該基材置放在一處理腔室内; 藉由將基材曝露至雙(2,4 -二甲基戊二烯基)釕,以化學 吸附一含釕層在該基材上,其中雙(2,4-二曱基戊二烯基) 釕經由具有一中央擴張通道之一配氣系統輸送; 沖洗該處理腔室; 將該含釕層曝露至一試劑;及 將該試劑與該含釕層反應,藉以在基材上形成該釕層。 50. —種用於積體電路製程之在一基材上形成一釕層 的方法,包含以下步驟: 將該基材置放在一處理腔室内; 經由具有一中央擴張通道之一配氣系統,輸送一試劑 及一内含雙(2,4-二甲基戊二烯基)釕的處理氣體的順序脈 衝; 、 43 1376014 在該試劑及該處理氣體的順序脈衝間,沖洗該處理腔 室:及 還原該雙(2,4-二甲基戊二烯基)釕,以在該基材上形成 該釕層。 51. —種用於積體電路製程之在一基材上形成一釕層 的方法,其至少包含以下步驟: 將該基材置放在一處理腔室内; 經由具有一中央擴張通道之一配氣系統,輸送一試劑 及一包括含釕化合物之處理氣體的順序脈衝,該含釕化合 物係選自由三(2,2,6,6 -四甲基- 3,5-庚二酮酸)釕,雙(2,4-二曱基戊二烯基)釕,二羰基戊二烯基釕,乙醯丙酮酸釕, (2,4-二曱基戊二烯基)釕(環戊二烯基),雙(2,2,6,6-四曱基 -3,5-庚二酮酸)釕(1,5-環辛二烯),(2,4-二甲基戊二烯基) 釕(曱基環戊二烯基),(1,5-環辛二烯)釕(環戊二烯基), (1,5-環辛二烯)釘(甲基環戊二烯基),(1,5-環辛二烯)釕(乙 基環戊二烯基),(2,4-二曱基戊二烯基)釕(乙基環戊二烯 基),(2,4-二曱基戊二烯基)釕(異丙基環戊二烯基),雙 (N,N-二曱基1,3-四甲基二醯亞胺酸)釕(1,5-環辛二烯),雙 (N,N-二曱基1,3-二曱基二醯亞胺酸)釕(1,5-環辛二烯),(7? 6-C6H6)釕(1,3-環己二烯),雙(烯丙基)釕(1,5-環辛二烯), 雙(1,卜二甲基-2-胺基乙氧基)釕(1,5-環辛二烯),雙(1,1-二曱基-2 -胺基乙基胺基酸)釕(1,5 -環辛二烯),及其衍生物 44 1-376014 與其混合物所構成之群組中; 在該試劑及該處理氣體的順序脈衝間,沖洗該處理腔 室:及 還原該含釕化合物,以在該基材上形成該釕層。 45 Γ376014 柒、指定代表圖: (一) 、本案指定代表圖為:第2圖。 (二) 、本代表圖之元件代表符號簡單說明: 80 腔室 137 上部份 82 腔室主體 138、 139 反應劑氣; 84 側壁 140 沖洗氣體源 86 底部 142A,B 閥 88 狹缝閥 143 A,B 配氣管路 90 基材 144A,B 閥座組件 91 接收面 145A,B 沖洗管路 92 支撐件 146A,B 閥座組件 114、 118 抬舉馬達 150A,B 氣體導管 116 抬舉板 160 底面 120 銷 166 抽氣區 122 沖洗環 178 真空系統 124 沖洗通道 179 抽氣通道 130 配氣設備 180 控制單元 132 腔室蓋 182 中央處理單元 134 擴張通道 183 控制軟體 135 下部份 184 支援電路 136A,B 氣體入口 186 記憶體 捌 、本案若有化學式時 ,請揭示最能 特徵的化學式45. The method of claim 42, wherein the flushing gas system enters the processing chamber in a pulsed manner for from about 0.07 seconds to about one second. 4. The method of claim 39, wherein the enamel layer has a thickness of from about 10 angstroms to about 100 angstroms. The method of claim 39, wherein the ruthenium-containing compound is injected into the processing chamber in a sequential pulse manner. 48. The method of claim 47, wherein the ruthenium containing compound is delivered in a perpendicular manner relative to a plane of the substrate. 49. A method of forming a germanium layer on a substrate for an integrated circuit process, comprising the steps of: placing the substrate in a processing chamber; exposing the substrate to a double (2, 4) - dimethylpentadienyl) ruthenium to chemically adsorb a ruthenium containing layer on the substrate, wherein bis(2,4-dimercapto pentadienyl) oxime is coordinated via a gas having a central expansion channel System transporting; rinsing the processing chamber; exposing the ruthenium containing layer to a reagent; and reacting the reagent with the ruthenium containing layer to form the ruthenium layer on the substrate. 50. A method of forming a layer of germanium on a substrate for use in an integrated circuit process, comprising the steps of: placing the substrate in a processing chamber; via a gas distribution system having a central expansion channel Transmitting a reagent and a sequential pulse of a processing gas containing bis(2,4-dimethylpentadienyl) ruthenium; 43 1376014 rinsing the processing chamber between the reagent and the sequential pulse of the processing gas And reducing the bis(2,4-dimethylpentadienyl)anthracene to form the ruthenium layer on the substrate. 51. A method of forming a layer of tantalum on a substrate for use in an integrated circuit process, comprising at least the steps of: placing the substrate in a processing chamber; via having a central expansion channel a gas system for transporting a reagent and a sequential pulse comprising a treatment gas containing a ruthenium compound selected from the group consisting of tris(2,2,6,6-tetramethyl-3,5-heptanedionate) , bis(2,4-didecylpentadienyl) fluorene, dicarbonyl pentadienyl hydrazine, hydrazine acetyl acetonate, (2,4-dimercapto pentadienyl) fluorene (cyclopentadiene) (), bis(2,2,6,6-tetradecyl-3,5-heptanedionate) ruthenium (1,5-cyclooctadiene), (2,4-dimethylpentadienyl) ) fluorene (fluorenylcyclopentadienyl), (1,5-cyclooctadiene) fluorene (cyclopentadienyl), (1,5-cyclooctadiene) nail (methylcyclopentadienyl) ), (1,5-cyclooctadiene) fluorene (ethylcyclopentadienyl), (2,4-dimercapto pentadienyl) fluorene (ethylcyclopentadienyl), (2, 4-didecylpentadienyl) fluorene (isopropylcyclopentadienyl), bis(N,N-dimercapto1,3-1,3-diimide ) 钌 (1,5-cyclooctadiene), bis(N,N-dimercapto1,3-1,3-decyldiimide) hydrazine (1,5-cyclooctadiene), (7? 6-C6H6) 钌(1,3-cyclohexadiene), bis(allyl)indole (1,5-cyclooctadiene), bis(1,b dimethyl-2-aminoethoxy)钌(1,5-cyclooctadiene), bis(1,1-dimercapto-2-aminoethylamino) hydrazine (1,5-cyclooctadiene), and derivatives 44 thereof 1-376014 In the group consisting of the mixture thereof; rinsing the processing chamber between the reagent and the sequential pulses of the processing gas: and reducing the cerium-containing compound to form the ruthenium layer on the substrate. 45 Γ376014 柒, designated representative map: (1) The representative representative of the case is: Figure 2. (2) The symbol of the representative figure of this representative figure is a brief description: 80 chamber 137 upper part 82 chamber main body 138, 139 reactant gas; 84 side wall 140 flushing gas source 86 bottom 142A, B valve 88 slit valve 143 A , B gas distribution line 90 substrate 144A, B valve seat assembly 91 receiving surface 145A, B flushing line 92 support 146A, B valve seat assembly 114, 118 lifting motor 150A, B gas conduit 116 lifting plate 160 bottom surface 120 pin 166 Exhaust zone 122 Flushing ring 178 Vacuum system 124 Flushing channel 179 Venting channel 130 Gas distribution device 180 Control unit 132 Chamber cover 182 Central processing unit 134 Expansion channel 183 Control software 135 Lower part 184 Support circuit 136A, B Gas inlet 186 Memory 捌, if there is a chemical formula in this case, please reveal the most characteristic chemical formula.
TW093123386A 2003-08-04 2004-08-04 Ruthenium layer formation for copper film deposition TWI376014B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/634,662 US7264846B2 (en) 2002-06-04 2003-08-04 Ruthenium layer formation for copper film deposition

Publications (2)

Publication Number Publication Date
TW200509303A TW200509303A (en) 2005-03-01
TWI376014B true TWI376014B (en) 2012-11-01

Family

ID=34216303

Family Applications (1)

Application Number Title Priority Date Filing Date
TW093123386A TWI376014B (en) 2003-08-04 2004-08-04 Ruthenium layer formation for copper film deposition

Country Status (3)

Country Link
US (2) US7264846B2 (en)
TW (1) TWI376014B (en)
WO (1) WO2005020317A2 (en)

Families Citing this family (147)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW460942B (en) * 1999-08-31 2001-10-21 Mitsubishi Material Silicon CVD device, purging method, method for determining maintenance time for a semiconductor making device, moisture content monitoring device, and semiconductor making device with such moisture content monitoring device
US7494927B2 (en) 2000-05-15 2009-02-24 Asm International N.V. Method of growing electrical conductors
US7101795B1 (en) 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US9255329B2 (en) * 2000-12-06 2016-02-09 Novellus Systems, Inc. Modulated ion-induced atomic layer deposition (MII-ALD)
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US20090004850A1 (en) 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US7780785B2 (en) * 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7204886B2 (en) * 2002-11-14 2007-04-17 Applied Materials, Inc. Apparatus and method for hybrid chemical processing
US7081271B2 (en) 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US6972267B2 (en) 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
KR100476556B1 (en) * 2002-04-11 2005-03-18 삼성전기주식회사 Piezoelectric transformer, housing for piezoelectric transformer and manufacture thereof
US7910165B2 (en) * 2002-06-04 2011-03-22 Applied Materials, Inc. Ruthenium layer formation for copper film deposition
US7404985B2 (en) 2002-06-04 2008-07-29 Applied Materials, Inc. Noble metal layer formation for copper film deposition
US7264846B2 (en) * 2002-06-04 2007-09-04 Applied Materials, Inc. Ruthenium layer formation for copper film deposition
US7279423B2 (en) * 2002-10-31 2007-10-09 Intel Corporation Forming a copper diffusion barrier
US20050085031A1 (en) * 2003-10-15 2005-04-21 Applied Materials, Inc. Heterogeneous activation layers formed by ionic and electroless reactions used for IC interconnect capping layers
US7169706B2 (en) * 2003-10-16 2007-01-30 Advanced Micro Devices, Inc. Method of using an adhesion precursor layer for chemical vapor deposition (CVD) copper deposition
US20050095830A1 (en) * 2003-10-17 2005-05-05 Applied Materials, Inc. Selective self-initiating electroless capping of copper with cobalt-containing alloys
US7205233B2 (en) * 2003-11-07 2007-04-17 Applied Materials, Inc. Method for forming CoWRe alloys by electroless deposition
US20050161338A1 (en) * 2004-01-26 2005-07-28 Applied Materials, Inc. Electroless cobalt alloy deposition process
US20050170650A1 (en) * 2004-01-26 2005-08-04 Hongbin Fang Electroless palladium nitrate activation prior to cobalt-alloy deposition
JP2005314713A (en) * 2004-04-27 2005-11-10 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude Method for manufacturing ruthenium film or ruthenium oxide film
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
JP2006089790A (en) * 2004-09-22 2006-04-06 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude Method for producing film of noble metal, oxide film of noble metal, and silicide film of noble metal
US20060068098A1 (en) * 2004-09-27 2006-03-30 Tokyo Electron Limited Deposition of ruthenium metal layers in a thermal chemical vapor deposition process
US7270848B2 (en) * 2004-11-23 2007-09-18 Tokyo Electron Limited Method for increasing deposition rates of metal layers from metal-carbonyl precursors
US7279421B2 (en) 2004-11-23 2007-10-09 Tokyo Electron Limited Method and deposition system for increasing deposition rates of metal layers from metal-carbonyl precursors
US7442267B1 (en) * 2004-11-29 2008-10-28 Novellus Systems, Inc. Anneal of ruthenium seed layer to improve copper plating
US7429402B2 (en) * 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
JP4934595B2 (en) * 2005-01-18 2012-05-16 エーエスエム アメリカ インコーポレイテッド Reactor for thin film growth
US7265048B2 (en) * 2005-03-01 2007-09-04 Applied Materials, Inc. Reduction of copper dewetting by transition metal deposition
US7666773B2 (en) 2005-03-15 2010-02-23 Asm International N.V. Selective deposition of noble metal thin films
US8025922B2 (en) 2005-03-15 2011-09-27 Asm International N.V. Enhanced deposition of noble metals
US7273814B2 (en) * 2005-03-16 2007-09-25 Tokyo Electron Limited Method for forming a ruthenium metal layer on a patterned substrate
US7659203B2 (en) * 2005-03-18 2010-02-09 Applied Materials, Inc. Electroless deposition process on a silicon contact
US20060246699A1 (en) * 2005-03-18 2006-11-02 Weidman Timothy W Process for electroless copper deposition on a ruthenium seed
US20060251801A1 (en) * 2005-03-18 2006-11-09 Weidman Timothy W In-situ silicidation metallization process
US7651934B2 (en) 2005-03-18 2010-01-26 Applied Materials, Inc. Process for electroless copper deposition
US8197898B2 (en) * 2005-03-29 2012-06-12 Tokyo Electron Limited Method and system for depositing a layer from light-induced vaporization of a solid precursor
US7351285B2 (en) 2005-03-29 2008-04-01 Tokyo Electron Limited Method and system for forming a variable thickness seed layer
US7485338B2 (en) 2005-03-31 2009-02-03 Tokyo Electron Limited Method for precursor delivery
US7288479B2 (en) * 2005-03-31 2007-10-30 Tokyo Electron Limited Method for forming a barrier/seed layer for copper metallization
US7345184B2 (en) 2005-03-31 2008-03-18 Tokyo Electron Limited Method and system for refurbishing a metal carbonyl precursor
US7396766B2 (en) * 2005-03-31 2008-07-08 Tokyo Electron Limited Low-temperature chemical vapor deposition of low-resistivity ruthenium layers
US7632351B2 (en) * 2005-08-08 2009-12-15 E. I. Du Pont De Nemours And Company Atomic layer deposition processes for the formation of ruthenium films, and ruthenium precursors useful in such processes
US20070077750A1 (en) * 2005-09-06 2007-04-05 Paul Ma Atomic layer deposition processes for ruthenium materials
US20070054487A1 (en) * 2005-09-06 2007-03-08 Applied Materials, Inc. Atomic layer deposition processes for ruthenium materials
US20070071888A1 (en) * 2005-09-21 2007-03-29 Arulkumar Shanmugasundram Method and apparatus for forming device features in an integrated electroless deposition system
US7459395B2 (en) 2005-09-28 2008-12-02 Tokyo Electron Limited Method for purifying a metal carbonyl precursor
US7713876B2 (en) * 2005-09-28 2010-05-11 Tokyo Electron Limited Method for integrating a ruthenium layer with bulk copper in copper metallization
TWI329135B (en) 2005-11-04 2010-08-21 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
US8222746B2 (en) * 2006-03-03 2012-07-17 Intel Corporation Noble metal barrier layers
US20070207611A1 (en) * 2006-03-03 2007-09-06 Lavoie Adrien R Noble metal precursors for copper barrier and seed layer
US20070231489A1 (en) * 2006-03-29 2007-10-04 Tokyo Electron Limited Method for introducing a precursor gas to a vapor deposition system
US7858522B2 (en) * 2006-03-29 2010-12-28 Tokyo Electron Limited Method for reducing carbon monoxide poisoning in a thin film deposition system
US7297719B2 (en) * 2006-03-29 2007-11-20 Tokyo Electron Limited Method and integrated system for purifying and delivering a metal carbonyl precursor
US7432195B2 (en) * 2006-03-29 2008-10-07 Tokyo Electron Limited Method for integrating a conformal ruthenium layer into copper metallization of high aspect ratio features
US7892358B2 (en) * 2006-03-29 2011-02-22 Tokyo Electron Limited System for introducing a precursor gas to a vapor deposition system
US20070234956A1 (en) * 2006-04-05 2007-10-11 Dalton Jeremie J Method and apparatus for providing uniform gas delivery to a reactor
US7833358B2 (en) * 2006-04-07 2010-11-16 Applied Materials, Inc. Method of recovering valuable material from exhaust gas stream of a reaction chamber
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
KR101019875B1 (en) * 2006-06-30 2011-03-04 어플라이드 머티어리얼스, 인코포레이티드 Nanocrystal formation
EP1887102B1 (en) 2006-08-08 2020-04-08 L'AIR LIQUIDE, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Precursors having open ligands for ruthenium containing films deposition
US8278216B1 (en) 2006-08-18 2012-10-02 Novellus Systems, Inc. Selective capping of copper
US8053372B1 (en) 2006-09-12 2011-11-08 Novellus Systems, Inc. Method of reducing plasma stabilization time in a cyclic deposition process
US7871678B1 (en) 2006-09-12 2011-01-18 Novellus Systems, Inc. Method of increasing the reactivity of a precursor in a cyclic deposition process
US7473634B2 (en) * 2006-09-28 2009-01-06 Tokyo Electron Limited Method for integrated substrate processing in copper metallization
US7605078B2 (en) * 2006-09-29 2009-10-20 Tokyo Electron Limited Integration of a variable thickness copper seed layer in copper metallization
US20080078325A1 (en) * 2006-09-29 2008-04-03 Tokyo Electron Limited Processing system containing a hot filament hydrogen radical source for integrated substrate processing
WO2008042691A2 (en) * 2006-09-29 2008-04-10 Tokyo Electron Limited Processing system containing a hot filament hydrogen radical source for integrated substrate processing
US20080081464A1 (en) * 2006-09-29 2008-04-03 Tokyo Electron Limited Method of integrated substrated processing using a hot filament hydrogen radical souce
US7521379B2 (en) 2006-10-09 2009-04-21 Applied Materials, Inc. Deposition and densification process for titanium nitride barrier layers
KR100821082B1 (en) * 2006-12-15 2008-04-08 동부일렉트로닉스 주식회사 The fabricating method of semiconductor device
US7906175B2 (en) 2007-02-21 2011-03-15 Air Liquide Electronics U.S. Lp Methods for forming a ruthenium-based film on a substrate
US20080237860A1 (en) * 2007-03-27 2008-10-02 Tokyo Electron Limited Interconnect structures containing a ruthenium barrier film and method of forming
US20080242088A1 (en) * 2007-03-29 2008-10-02 Tokyo Electron Limited Method of forming low resistivity copper film structures
US7704858B2 (en) * 2007-03-29 2010-04-27 Intel Corporation Methods of forming nickel silicide layers with low carbon content
TWI426154B (en) * 2007-05-21 2014-02-11 Air Liquide New cobalt precursors for semiconductor applications
JP5461390B2 (en) 2007-05-21 2014-04-02 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード New metal precursors for semiconductor applications
US7994640B1 (en) 2007-07-02 2011-08-09 Novellus Systems, Inc. Nanoparticle cap layer
US8039379B1 (en) 2007-07-02 2011-10-18 Novellus Systems, Inc. Nanoparticle cap layer
US7829454B2 (en) * 2007-09-11 2010-11-09 Tokyo Electron Limited Method for integrating selective ruthenium deposition into manufacturing of a semiconductior device
US7678298B2 (en) 2007-09-25 2010-03-16 Applied Materials, Inc. Tantalum carbide nitride materials by vapor deposition processes
US7704879B2 (en) * 2007-09-27 2010-04-27 Tokyo Electron Limited Method of forming low-resistivity recessed features in copper metallization
US7884012B2 (en) * 2007-09-28 2011-02-08 Tokyo Electron Limited Void-free copper filling of recessed features for semiconductor devices
US7737028B2 (en) * 2007-09-28 2010-06-15 Applied Materials, Inc. Selective ruthenium deposition on copper materials
US7867900B2 (en) 2007-09-28 2011-01-11 Applied Materials, Inc. Aluminum contact integration on cobalt silicide junction
US7824743B2 (en) 2007-09-28 2010-11-02 Applied Materials, Inc. Deposition processes for titanium nitride barrier and aluminum
US7655564B2 (en) * 2007-12-12 2010-02-02 Asm Japan, K.K. Method for forming Ta-Ru liner layer for Cu wiring
KR20090067505A (en) * 2007-12-21 2009-06-25 에이에스엠지니텍코리아 주식회사 Method of depositing ruthenium film
US7776740B2 (en) * 2008-01-22 2010-08-17 Tokyo Electron Limited Method for integrating selective low-temperature ruthenium deposition into copper metallization of a semiconductor device
US7799674B2 (en) * 2008-02-19 2010-09-21 Asm Japan K.K. Ruthenium alloy film for copper interconnects
US8247030B2 (en) * 2008-03-07 2012-08-21 Tokyo Electron Limited Void-free copper filling of recessed features using a smooth non-agglomerated copper seed layer
US20090269507A1 (en) * 2008-04-29 2009-10-29 Sang-Ho Yu Selective cobalt deposition on copper surfaces
US7808106B1 (en) * 2008-05-09 2010-10-05 Eric Eisenbraun Nano-laminate difussion barrier for direct electrochemical deposition copper
US7799681B2 (en) * 2008-07-15 2010-09-21 Tokyo Electron Limited Method for forming a ruthenium metal cap layer
US7871929B2 (en) * 2008-07-30 2011-01-18 Tel Epion Inc. Method of forming semiconductor devices containing metal cap layers
US7776743B2 (en) * 2008-07-30 2010-08-17 Tel Epion Inc. Method of forming semiconductor devices containing metal cap layers
US8084104B2 (en) 2008-08-29 2011-12-27 Asm Japan K.K. Atomic composition controlled ruthenium alloy film formed by plasma-enhanced atomic layer deposition
US20100062149A1 (en) * 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US8491967B2 (en) 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
US20100081274A1 (en) * 2008-09-29 2010-04-01 Tokyo Electron Limited Method for forming ruthenium metal cap layers
US8133555B2 (en) 2008-10-14 2012-03-13 Asm Japan K.K. Method for forming metal film by ALD using beta-diketone metal complex
US9379011B2 (en) 2008-12-19 2016-06-28 Asm International N.V. Methods for depositing nickel films and for making nickel silicide and nickel germanide
US7977235B2 (en) * 2009-02-02 2011-07-12 Tokyo Electron Limited Method for manufacturing a semiconductor device with metal-containing cap layers
US8716132B2 (en) * 2009-02-13 2014-05-06 Tokyo Electron Limited Radiation-assisted selective deposition of metal-containing cap layers
US8329569B2 (en) * 2009-07-31 2012-12-11 Asm America, Inc. Deposition of ruthenium or ruthenium dioxide
EP2339048B1 (en) 2009-09-14 2016-12-07 Rohm and Haas Electronic Materials, L.L.C. Method for depositing organometallic compounds
WO2011106072A2 (en) 2010-02-23 2011-09-01 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Use of ruthenium tetroxide as a precursor and reactant for thin film depositions
US9926639B2 (en) * 2010-07-16 2018-03-27 Applied Materials, Inc. Methods for forming barrier/seed layers for copper interconnect structures
US20120141667A1 (en) * 2010-07-16 2012-06-07 Applied Materials, Inc. Methods for forming barrier/seed layers for copper interconnect structures
US8661664B2 (en) * 2010-07-19 2014-03-04 International Business Machines Corporation Techniques for forming narrow copper filled vias having improved conductivity
WO2012060940A1 (en) 2010-11-04 2012-05-10 Novellus Systems, Inc. Ion-induced atomic layer deposition of tantalum
US9048296B2 (en) 2011-02-11 2015-06-02 International Business Machines Corporation Method to fabricate copper wiring structures and structures formed thereby
US8524600B2 (en) 2011-03-31 2013-09-03 Applied Materials, Inc. Post deposition treatments for CVD cobalt films
US8871617B2 (en) 2011-04-22 2014-10-28 Asm Ip Holding B.V. Deposition and reduction of mixed metal oxide thin films
EP2744634B1 (en) 2011-08-18 2021-02-24 Momentive Performance Materials GmbH Irradiation and forming unit
US9330939B2 (en) 2012-03-28 2016-05-03 Applied Materials, Inc. Method of enabling seamless cobalt gap-fill
CN102881677A (en) * 2012-09-24 2013-01-16 复旦大学 Alloy copper diffusion barrier layer for copper interconnection and manufacturing method thereof
US20140134351A1 (en) * 2012-11-09 2014-05-15 Applied Materials, Inc. Method to deposit cvd ruthenium
US9005704B2 (en) * 2013-03-06 2015-04-14 Applied Materials, Inc. Methods for depositing films comprising cobalt and cobalt nitrides
CN103325769A (en) * 2013-06-15 2013-09-25 复旦大学 Copper interconnection structure and manufacturing method thereof
CN103325729A (en) * 2013-06-16 2013-09-25 复旦大学 Copper interconnection structure manufacturing method
US9899234B2 (en) 2014-06-30 2018-02-20 Lam Research Corporation Liner and barrier applications for subtractive metal integration
US9607842B1 (en) 2015-10-02 2017-03-28 Asm Ip Holding B.V. Methods of forming metal silicides
US10014255B2 (en) 2016-03-14 2018-07-03 International Business Machines Corporation Contacts having a geometry to reduce resistance
US10731250B2 (en) 2017-06-06 2020-08-04 Lam Research Corporation Depositing ruthenium layers in interconnect metallization
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
US10790188B2 (en) * 2017-10-14 2020-09-29 Applied Materials, Inc. Seamless ruthenium gap fill
US10872803B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
US10872804B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
CN111936664A (en) 2018-03-19 2020-11-13 应用材料公司 Method for depositing a coating on an aerospace component
EP3784815A4 (en) 2018-04-27 2021-11-03 Applied Materials, Inc. Protection of components from corrosion
US20190348369A1 (en) * 2018-05-10 2019-11-14 Mehul B. Naik Method and apparatus for protecting metal interconnect from halogen based precursors
US11009339B2 (en) 2018-08-23 2021-05-18 Applied Materials, Inc. Measurement of thickness of thermal barrier coatings using 3D imaging and surface subtraction methods for objects with complex geometries
US11124874B2 (en) 2018-10-25 2021-09-21 Applied Materials, Inc. Methods for depositing metallic iridium and iridium silicide
EP3959356A4 (en) 2019-04-26 2023-01-18 Applied Materials, Inc. Methods of protecting aerospace components against corrosion and oxidation
US11794382B2 (en) 2019-05-16 2023-10-24 Applied Materials, Inc. Methods for depositing anti-coking protective coatings on aerospace components
US11697879B2 (en) 2019-06-14 2023-07-11 Applied Materials, Inc. Methods for depositing sacrificial coatings on aerospace components
US20210062330A1 (en) * 2019-08-30 2021-03-04 Applied Materials, Inc. Selective cobalt deposition on copper surfaces
US11466364B2 (en) 2019-09-06 2022-10-11 Applied Materials, Inc. Methods for forming protective coatings containing crystallized aluminum oxide
US11519066B2 (en) 2020-05-21 2022-12-06 Applied Materials, Inc. Nitride protective coatings on aerospace components and methods for making the same
EP4175772A1 (en) 2020-07-03 2023-05-10 Applied Materials, Inc. Methods for refurbishing aerospace components

Family Cites Families (46)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5314727A (en) * 1992-07-28 1994-05-24 Minnesota Mining & Mfg. Co./Regents Of The University Of Minnesota Chemical vapor deposition of iron, ruthenium, and osmium
US6323071B1 (en) * 1992-12-04 2001-11-27 Semiconductor Energy Laboratory Co., Ltd. Method for forming a semiconductor device
US5933753A (en) * 1996-12-16 1999-08-03 International Business Machines Corporation Open-bottomed via liner structure and method for fabricating same
KR100319888B1 (en) * 1998-06-16 2002-01-10 윤종용 Method of forming selective metal layer and method of forming capacitor and filling contact hole using the same
US6482367B1 (en) * 1998-06-18 2002-11-19 Kanken Techno Co., Ltd. Method and apparatus for removing harmful components in an exhaust gas
US6541067B1 (en) * 1998-08-27 2003-04-01 Micron Technology, Inc. Solvated ruthenium precursors for direct liquid injection of ruthenium and ruthenium oxide and method of using same
US5962716A (en) * 1998-08-27 1999-10-05 Micron Technology, Inc. Methods for preparing ruthenium and osmium compounds
US6517616B2 (en) * 1998-08-27 2003-02-11 Micron Technology, Inc. Solvated ruthenium precursors for direct liquid injection of ruthenium and ruthenium oxide
US6063705A (en) * 1998-08-27 2000-05-16 Micron Technology, Inc. Precursor chemistries for chemical vapor deposition of ruthenium and ruthenium oxide
US6074945A (en) * 1998-08-27 2000-06-13 Micron Technology, Inc. Methods for preparing ruthenium metal films
US6780758B1 (en) * 1998-09-03 2004-08-24 Micron Technology, Inc. Method of establishing electrical contact between a semiconductor substrate and a semiconductor device
US6294836B1 (en) * 1998-12-22 2001-09-25 Cvc Products Inc. Semiconductor chip interconnect barrier material and fabrication method
KR20010017820A (en) 1999-08-14 2001-03-05 윤종용 Semiconductor device and manufacturing method thereof
US6203613B1 (en) * 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
KR100376267B1 (en) 1999-12-22 2003-03-17 주식회사 하이닉스반도체 Method of manufacturing a capacitor in a semiconductor device
KR100389913B1 (en) * 1999-12-23 2003-07-04 삼성전자주식회사 Forming method of Ru film using chemical vapor deposition with changing process conditions and Ru film formed thereby
US6627995B2 (en) * 2000-03-03 2003-09-30 Cvc Products, Inc. Microelectronic interconnect material with adhesion promotion layer and fabrication method
US7494927B2 (en) * 2000-05-15 2009-02-24 Asm International N.V. Method of growing electrical conductors
TW508658B (en) * 2000-05-15 2002-11-01 Asm Microchemistry Oy Process for producing integrated circuits
KR100403611B1 (en) * 2000-06-07 2003-11-01 삼성전자주식회사 Metal-insulator-metal capacitor and manufacturing method thereof
KR100372644B1 (en) * 2000-06-30 2003-02-17 주식회사 하이닉스반도체 Method for manufacturing capacitor in nonvolatile semiconductor memory device
US6440495B1 (en) * 2000-08-03 2002-08-27 Applied Materials, Inc. Chemical vapor deposition of ruthenium films for metal electrode applications
US6903005B1 (en) * 2000-08-30 2005-06-07 Micron Technology, Inc. Method for the formation of RuSixOy-containing barrier layers for high-k dielectrics
US6461909B1 (en) * 2000-08-30 2002-10-08 Micron Technology, Inc. Process for fabricating RuSixOy-containing adhesion layers
US6527855B2 (en) * 2000-10-10 2003-03-04 Rensselaer Polytechnic Institute Atomic layer deposition of cobalt from cobalt metallorganic compounds
AU2002225761A1 (en) 2000-11-30 2002-06-11 Asm America, Inc. Thin films for magnetic devices
US6346477B1 (en) * 2001-01-09 2002-02-12 Research Foundation Of Suny - New York Method of interlayer mediated epitaxy of cobalt silicide from low temperature chemical vapor deposition of cobalt
US6536602B2 (en) 2001-01-25 2003-03-25 Walter August Ruescher Food waster separator
JP2002222934A (en) * 2001-01-29 2002-08-09 Nec Corp Semiconductor device and manufacturing method thereof
JP2002285333A (en) * 2001-03-26 2002-10-03 Hitachi Ltd Method for producing semiconductor device
US6479100B2 (en) * 2001-04-05 2002-11-12 Applied Materials, Inc. CVD ruthenium seed for CVD ruthenium deposition
KR100406534B1 (en) * 2001-05-03 2003-11-20 주식회사 하이닉스반도체 Method for fabricating ruthenium thin film
KR100727372B1 (en) 2001-09-12 2007-06-12 토소가부시키가이샤 Ruthenium complex, manufacturing process thereof and the method for forming thin-film using the complex
KR20030025494A (en) * 2001-09-21 2003-03-29 삼성전자주식회사 Semiconductor device having contact between ruthenium layer and metal layer and method for manufacturing the same
US6423619B1 (en) * 2001-11-30 2002-07-23 Motorola, Inc. Transistor metal gate structure that minimizes non-planarity effects and method of formation
KR100805843B1 (en) 2001-12-28 2008-02-21 에이에스엠지니텍코리아 주식회사 Method of forming copper interconnection, semiconductor device fabricated by the same and system for forming copper interconnection
US6824816B2 (en) * 2002-01-29 2004-11-30 Asm International N.V. Process for producing metal thin films by ALD
US6713373B1 (en) * 2002-02-05 2004-03-30 Novellus Systems, Inc. Method for obtaining adhesion for device manufacture
US7910165B2 (en) * 2002-06-04 2011-03-22 Applied Materials, Inc. Ruthenium layer formation for copper film deposition
US7264846B2 (en) 2002-06-04 2007-09-04 Applied Materials, Inc. Ruthenium layer formation for copper film deposition
US7300038B2 (en) * 2002-07-23 2007-11-27 Advanced Technology Materials, Inc. Method and apparatus to help promote contact of gas with vaporized material
US6790773B1 (en) * 2002-08-28 2004-09-14 Novellus Systems, Inc. Process for forming barrier/seed structures for integrated circuits
US20040108217A1 (en) * 2002-12-05 2004-06-10 Dubin Valery M. Methods for forming copper interconnect structures by co-plating of noble metals and structures formed thereby
US6737313B1 (en) * 2003-04-16 2004-05-18 Micron Technology, Inc. Surface treatment of an oxide layer to enhance adhesion of a ruthenium metal layer
US7107998B2 (en) * 2003-10-16 2006-09-19 Novellus Systems, Inc. Method for preventing and cleaning ruthenium-containing deposits in a CVD apparatus
JP2005314713A (en) * 2004-04-27 2005-11-10 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude Method for manufacturing ruthenium film or ruthenium oxide film

Also Published As

Publication number Publication date
US20040105934A1 (en) 2004-06-03
WO2005020317A3 (en) 2005-04-21
US20060153973A1 (en) 2006-07-13
TW200509303A (en) 2005-03-01
WO2005020317A2 (en) 2005-03-03
US7264846B2 (en) 2007-09-04

Similar Documents

Publication Publication Date Title
TWI376014B (en) Ruthenium layer formation for copper film deposition
US7910165B2 (en) Ruthenium layer formation for copper film deposition
US7691442B2 (en) Ruthenium or cobalt as an underlayer for tungsten film deposition
JP6449217B2 (en) Cobalt deposition on barrier surfaces
JP6980020B2 (en) Cobalt cohesion resistance and gap filling effect enhanced by ruthenium doping
US7404985B2 (en) Noble metal layer formation for copper film deposition
US20060240187A1 (en) Deposition of an intermediate catalytic layer on a barrier layer for copper metallization
US8765601B2 (en) Post deposition treatments for CVD cobalt films
US20070054487A1 (en) Atomic layer deposition processes for ruthenium materials
US7595263B2 (en) Atomic layer deposition of barrier materials
US20070077750A1 (en) Atomic layer deposition processes for ruthenium materials
US20050085031A1 (en) Heterogeneous activation layers formed by ionic and electroless reactions used for IC interconnect capping layers
JP2008124464A (en) METHOD OF FORMING Ru FILM AND METAL WIRING STRUCTURE
US6951816B2 (en) Method of forming a metal layer over patterned dielectric by electroless deposition using a catalyst
TWI223867B (en) Method for forming a metal interconnect on a substrate
TWI567919B (en) Improved through silicon via
US20070042587A1 (en) Method of forming a catalyst layer on the barrier layer of a conductive interconnect of a semiconductor device

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees