TWI364783B - - Google Patents

Download PDF

Info

Publication number
TWI364783B
TWI364783B TW097121381A TW97121381A TWI364783B TW I364783 B TWI364783 B TW I364783B TW 097121381 A TW097121381 A TW 097121381A TW 97121381 A TW97121381 A TW 97121381A TW I364783 B TWI364783 B TW I364783B
Authority
TW
Taiwan
Prior art keywords
film
gas
pattern
oxygen
forming
Prior art date
Application number
TW097121381A
Other languages
English (en)
Other versions
TW200917335A (en
Inventor
Shigeru Nakajima
Kazuhide Hasebe
Pao Hwa Chou
Mitsuaki Iwashita
Reiji Niino
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of TW200917335A publication Critical patent/TW200917335A/zh
Application granted granted Critical
Publication of TWI364783B publication Critical patent/TWI364783B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • G03F7/405Treatment with inorganic or organometallic reagents after imagewise removal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0035Multiple processes, e.g. applying a further resist layer on an already in a previously step, processed pattern or textured surface
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0755Non-macromolecular compounds containing Si-O, Si-C or Si-N bonds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks

Description

^64783 九、發明說明: 【發明所屬之技術領域】 本發明係關於一種圖形化方法, 光裝置之解析極限以下之_。用在+導體製程巾,形成曝 【先前技術】 度或製=中要求之配線寬 而將基底之各種薄^以細形用在細之遮罩 為了形成微細圖形,光微影技術為重要, ί求達到光微影技術。 形竭該第 Μ化膜。之抬,A铱、W 牡发弟1抗银劑圖形上形成 此:車二ΐ,2個曝光遮罩形成微細圖形,因 此= 乂於利用!個曝光遮罩形成微H 2 文獻在抗__上軸氧化膜之技術,例如,記載於專利 ^ j絲i縣記飾成崎紐叮之微細_之方法 但疋冗载以下技術勒絲在抗鋪_上形成氧=之ί法, 形之_刪狀微_轉生條= 【專利文獻1】曰本專利第2757983號公報 【專利文獻2】曰本特開2〇〇4_8〇〇33號公報 1364783 【發明内容】 [發明欲解決之問題] ㈣!ΐ專利文獻卜能形鱗析極限以下之微細_。缺而,對 界尺寸(CD)均勻性之要求曰益嚴格。例如若Ϊ 成在弟1抗蝕劑圖形上之氧化膜之膜 , 微細圖形進行良好的CD控制。〜个&娜以對於 之方於記载,希望以不損傷抗侧圖形 之丰本据-馬工麻日]之,皿度形成氧化膜,就實現此種氧化膜 之手法’揭7F原子層沉縣(ALD;)。 腰 3 ’專利文獻2關於她於勘在更低溫且形成具均_ 尽之氧)匕膜的技術,未有任何揭示。 、二、 本發明之目的在於提供—種_ 下之間距的微細圖形的CD均勻性更為良好。邊-解析極限以 [解決問題之方式] 列步ί:了解決上述課題,本發明第1態樣之_化方法,包含下 於基板上形成第1膜的步驟; 於,第1紅,形絲1抗_膜的步驟; 將該第1抗軸膜_光微影,加’ 蝕細形的步驟; υ疋間距之弟1杬 地掛之第1氣體及含經活化之氧物質的第2氣體交替 成氧而_第1 細形及該第1膜之上,形 於該氧化矽膜上形成第2抗蝕劑膜的,步驟· 劑圖祕綱糊光微影加^魏定間距之第2抗姓 該第= 麵形及該第2抗㈣圖形用於遮罩,而加工 又,本發明第2態樣之圖形化方法,包含下列步驟: 7 1364783 於基板上形成第1膜的步驟; 的步Ϊ該弟1膜上’形成與該第1膜以不同材料構狀硬遮罩膜 於該硬遮罩膜上形成第1抗儀劑膜的步轉. 劑圖=抗峨利用光微影加工為具既定間距之第⑽ 1氣體及含經活化氧物質之第2氣體對於該 該第1抗糊形及該硬遮罩膜上形成氧化 於該氧化石夕膜上形成第2抗钱劑膜的步驟; 將該第2抗㈣膜湘光微影加工為具 劑圖形的步驟; 2抗姓 將該帛1抗⑽目形及娜2驗細_ 該硬遮罩膜的步驟;及 i早叩加工 r找將該力之硬遮罩膜用於遮罩,而力aJL該第1膜的步驟。 [發明之效果] 夕門發明’驗供形化紐’能將鱗析極限以下 之間距的微細圖形的CD均勻性更為良好。
【實施方式】 [實施發明之最佳形態] 以下,參A?、添附圖式具體說明本發明之實施形態。 (第1實施形態) "" 圖1至圖6’為本發明第1實施形態之圖形化方法依昭 製造步驟顯示之剖面圖。 … 受 第1實施形態係例示本發明圖形化方法之基本處理流程。 首先,如圖1所示,在半導體基板1〇1上形成薄膜1〇2。 說明書之中,半導體基板101不僅代表半導體例如矽基板,亦包 含在半導體基板内、或半導體基板上所形成之半導體元件或積體 1364783 騎紅導電膜、軸有將此軒以絕緣之層間絕緣膜 之構把體。薄膜102為之後加工為微細圖形 、·、 巧,亦可為如導電性多晶㈣電膜膜 定二 === p3(T A片圖开/ 103。本例中’抗蝕細形103具線與間
Mlmeandspace)®,, , g^fa^pl , 修整抗細圖形1〇3,得到經修整之抗姓 ί氧自由t稱為第1次修整處理)。修整處理,例如 施。有自由基或臭減體之氛圍中’於室溫〜1GG°C之溫度實 2〇()ί次开tLV? ’於經修整之抗㈣圖形1〇3’及抗反射膜 同之胁二t'、rf膜1〇2、抗反射膜2〇0、及抗敍劑圖形103,不 / f、化f膜104係為了保護抗姓劑圖形1〇3,受 氧機來源氣體及由電毁所活化(激發)之含有 反射膜‘上形成^石夕膜一^在經修整之抗钱劑圖形103,及抗 如f f所示’在氧切膜ig4上塗布光抗_,形成光 二二、〜著’使用光微影技術,將光抗韻劑膜加工為具既定 抗钱劑圖形105。糊中,抗__⑽,與經修i 又抗⑽同樣地,具有線與間隔_311(1 圖形。 产105之既定間距p2,定為曝光裝置之解析極限程 ί 劑卿105,配置在經修整之抗钱劑圖形 曰,並且彳几蝕劑圖形103’與抗蝕劑圖形1〇5交替地配置。 1364783 其次如圖6所示,修整抗蝕劑圖形1〇5,得到經修整之抗蝕 圖形105’(本案說明書稱為第2次修整處理)。修整處理,可於二 如含有氧自由基或臭氧氣體之氛圍中,於室溫〜1〇〇它之溫度實 施。藉由第2次修整處理,形成由抗蝕劑圖形1〇3,及1〇5酿$構 成之抗姓劑圖形。由於此抗钱劑圖形,為圖形103,與丨〇5,六 配置而成之圖形,因此其間距P3,成為較間距?1及^2為窄^ 距,本例中,為間距P1&P2之約1/2間距。依此方式,萨丄 m〇5,錢配置’能形成“析極限 以下之間距的抗钱劑圖形。 其次如圖7所示’將抗賴圖形1〇3,及1〇5, 罩,^反射膜、氧化石夕膜刚及薄膜1〇2餘刻,將 加工為所望微細圖形。經加工之薄膜1〇2之 形103’及105’之間距p3成為大 P膜 成=”之間距p4,能為解析極限以下。依= 4悲中,,形成具解析極限以下間距之微細圖形。 、 刚,能使具解=限化矽膜 好。關於此成臈以下詳細説明1距德補_CD均勻性更為良 圖,圖圖成膜所使用之成膜裝置—例的縱剖面 氣體==㈣序表。又,心叉Η二 =裝=恶之中’ 圓筒Τ具有下端開σ之具頂蓋的 過‘;二=。錄鋼成形為圓筒體狀之歧管= 上述歧官3支持著處理容器i之下端,從此歧管3之下方, 1364783 可插入石英製晶於處理 段載置作為被處理體之多數二,石英製晶圓舟5可以多 圓舟5具有3支的纽6(表 片半導體晶圓W。此晶 數片晶圓W。 ’’’、圖9),由形成在支柱6之溝支持多 此晶圓舟5,載置於石英製 上。檯8支持於旋轉轴1〇上,談=上,保溫筒7載置於檯8 開口部開閉之例如不銹鋼製蓋部=轉轴1Q貫通將歧管3之下端 並且,此旋轉軸10之貫 ,钟 可一面將旋轉軸H)氣密密封— 封件U ’ f ”之__管3之下端部if…於 成之=二藉此,保持處理容】二;:*〇形環構 處理容器1内,並且下降而從處理in 地^升於 述檯8固定設置在上述蓋部9 ^、σ將上 W處理。 小使日日®舟5軚轉地進行晶圓 又,成膜裝置80,具有:對於處理容器丨内終 规體之含減體供給機構14;對於處理容器、—源 來源氣體供給機構15;及,對於處理容器!内供給= 冲洗巧之紐氣體,例如N2氣體之沖洗氣體供給機構;6。’”、 本實施形態之中’就用以將氧化頻刚成膜之&來源氣體 而吕,使用含有機矽之si來源氣體。含有機矽之Si來源氣體之例, 例如胺基矽烷系前驅體。胺基矽烷系前驅體之例,有丨價或2價 之胺基矽烷系前驅體。1價或2價之胺基矽烷系前驅體之具體例, 例如:BTBAS(雙第三丁基胺基矽烷)、BDMAS(雙二甲基胺基矽 烧)、BDEAS(雙二乙基胺基石夕院)、DMAS(二曱基胺基發院)、 DEAS(二乙基胺基矽烧)、DPAS(二丙基胺基矽烧)、BAS(丁基胺基 石夕貌)、DIPAS(二異丙基胺基碎烧)及BEMAS(雙乙基甲基胺基石夕 烷)。又,含有機矽之Si來源氣體,可使用以上列舉之前驅體其中 1364783 之一 ’亦可將此等2種以上組合使用。 ^又’胺基石夕烧系前驅體,可以使用3價之胺基魏系前驅體。 W貝之胺基矽烷系前驅體例,有TDMAS(三_二 ;,含彻之Si來源氣體,除了胺基上==外, 如6氧基魏編體之例,例 管i4 ’具有:含氧氣體供給源17;含氧氣體配 由愈導入含氧氣體;含氧氣體分散嗔嘴19, 來源i趙具有:Si來源氣體供給源2〇;si 來源氣體分輯f來源氣财 貫通而向上二來===^^ 體分散喷嘴22,>菩1真支(參照圖9),各Si來源氣 間距形成。從各氣ΐί向有多數氣體嘴吐孔22a隔著既定 内以大致均勻地,HL22a财平方向,騎於處理容器1 分散喷嘴22亦可僅^ ^支機⑭之Sl來源氣體。又,Si來源氣體 氣體3 16 ’具有:、沖洗氣體供給源23;沖洗 嘴25,與此沖洗氣^管24、、=23^== 先氣體;及沖洗氣體噴 洗氣=2:鈍性‘二= 設置。沖 設有開閉閥l8a、21 、Sl來源氧體配管21、沖洗氣體配管24,各 21b、撕,可—面^及如質量流控制器的流量控制器18b、 二制'机置—面供給含氧氣體、Si來源氣體及沖 12 1364783 洗氣體。 此,聚產生機構30,具電衆區隔壁32。電聚區隔辟3 糟由將處理容器1_巾切出具既定寬度之縱長步 之細長開口 31覆蓋外側之方式,氣密地安裝在處理容器f 3 壁。又’電聚區隔壁32 ’成為剖面凹部狀,上下 ° 如以石英形成。又,電聚產生機構30具有:細^ ^電 33,在此電滎區隔壁32之兩側壁外面,沿著 供給高觀力。紅,藉㈣於上述魏雜 施加例如13.56麻之高頻,可以產生含氧氣體的電^又, 4此〇〇t^壓之頻率不限Μ6廳,亦可使用其他頻率,例如 藉由形成像上述電聚區隔壁32,處ί里容器!之一部分 凹部狀地往外側凹陷,電聚區隔壁32之内部空間與處理容器^ 1部,間成-體地連通。又’開σ 3卜具有對應於 持晶圓W之區域縱方向全體的高度。 圓廿3 Τ保 上述含氧氣體分散噴嘴19,在處理容器!雜上 中途,往處理容器i之半徑方向外譯曲,並沿著上述㈣^ 壁32内最後方部分(最遠離處理容器i之中心的部分^上$ 高:因此,從氣體嘴吐孔19a喷吐之含氧氣體,會由於高頻電源 35導通而產生在電極33間之高_場而電漿化,並且流理容 器1之内部空間。 地谷 電藥區隔壁32之外側’以包覆電龍隔壁32之方式,安裝 有例如由石英所構成之絕緣保護套36。又,此絕緣保護套%之、 側部分’設有未®示之冷媒稱,藉由例如流通 能將上述電漿電極33冷卻。 2支Si來源氣體分散喷臂22,升高使得在處理容器i内,位 於開口 31之兩侧,藉由形成在此幻來源氣體分散喷嘴22之多數 氣體喷射孔22a,麟朝著處理容n〗之⑽㈣,嘴吐作為& 13 !364783 。原上了具2個或2個胺基的胺基矽烷氣體。 理容器1内進行1之開口 31之相反側,設有用以將處 器1之-部分侧壁:;==氣口37。此減σ 37藉由將處理容 排氣口 37之部分,^長缺口而形成。處理容器1之對應於此 面凹部狀熔接安裝以覆蓋排氣口 37之方式成形為剖 容ΐ ! If °此排氣口蓋部構㈣,沿著處理 並且,從此氣體出n 理容上方規定氣體出口处 有用以將:二^及:t圍此ΐ理容器1之外周的方式,設 40。 ,、内°卩之晶圓w加熱之筒體狀加熱裝置 i8a ^2ia ^24a ^ 興、*曰 用質置流控制器18b、21b、24h拎也丨名 體流量,及利用高頻電源35導 〇训24b控制耽 控制等,係Μ由例如料導通斷開控制、加熱震置40之 入操作等之鍵盤,或8。而進行指令輸 的使用者介©5卜賴裝置8G之運作狀況之_器等構成 又於控制器50,連接著用以將成膜 - 理以控制器50之控制實現的控制程式,^ 了之各種處 膜裝置80之各構成部執行處理之程L ===處理條件在成 52。控制程式或配方可以記載在記,配方之記憶部 放到記憶部52。記憶媒體52a,可▲硬碑U把憶媒體52a存 為CD-ROM、DVD、快閃記憶體等導體記憶體,亦可 方,亦可從其他裝置透·如魏下载' ^ ’控^程式或配 並且,視需要,藉由從使用者介面51 °15己憶部52中。 記憶部52讀取而使控制器5G執行,^J f將任意配方自 成膜裝置80執行所望處理。 工制。。50之控制下,以 其次’刪如以上構成之成膜_施氧化销取之成 1364783 膜方法的一例,參照圖ίο説明。 例如,將裝載著50〜100片之晶圓w之狀態的晶圓舟5,在預 先控制為既定溫度之處理容器1内,從下方往上升而載入,以笔 部9關閉歧管3之下端開口部,以使得處理容器1内成為密閉^ 間。晶圓W ’可以具有例如直徑300mm。 並且’將處理容器1内維持在既定處理壓力,同時控制對於 加熱裝置40供給之電力,使晶圓溫度上升並維持在處理溫度,於 使晶圓舟5旋轉之狀態,開始成膜處理。 、 •此時成膜處理之一例,如圖10所示。本例中,就含有機矽之 Si來源氣體而言,使用胺基矽烷氣體,例如BTBAS之情形,但 用其他胺基#缝體之情形’及使用環氧碎絲體之情形,均可 同樣地實施。 如圖10所示,於成膜處理之一例之中,交替 ΐ if f及步驟S2 ’將藉由激發含氧氣體所形成之含有氧自i 者,本例之中,在此等步驟S1 f使)為㈣乳化。再 1内將殘留;1 m y及ッ驟S2之間,實施從處理容器 内將殘▲於處理谷②!内之氣體排氣的步驟幻。 具體而言,本例之中,讳·明(Q+ 15 ^ Si * 'IS ^ m" 中,於丁丨期間,從Si來源氣 機構15之Sl來源氣體供給源2{), 为子内具2個絲之胺基魏氣, m乳體之 體配管21及Si來源氣體分ίίί 2列如Λ,】,通過Si來源氣 理容器!内供給。藉此,使晶二二嘴吐,严對=處 例如1〜6〇sec。又,例及附&來源。此時期間T1, 10〜SOOmL/mii^sccm;)。又此時處理六5丨原氣體之流量為 13.3〜665Pa。 爽里合盗1内之壓力,例示為 S2:中供'=== 氧自由5等氧物 質之氣體的步驟 1364783 19,從氣體喷吐孔i9a噴吐,並且此時電漿 一 源35成為導通並形成高頻電場,顧此高頻 ,尚頻電 如02氣體電聚化。並且,將依此方式電聚化之吏=虱體,例 容器1内供給。藉此,吸附於晶圓w^Si來對於處理 此處理期間T2例示為5〜編 ^H並^成SA。 保持在晶圓舟5之晶圓氣體f流量視 ⑽〜20000mL/min(sccm)。又,高頻電源 ^列=為
於此情形,含氧氣體除了 〇2氣體以外,例 體、關氣體、〇3氣體,將此等利用高頻 化而作、 =。氧化劑不限上述含氧氣體之電 漿’其中較佳為〇2電聚。就氧化劑而言,藉由 〇 用如_ i°GC以下,理想地於室溫亦能成膜。又,含氧氣體可使 用例不之含氧氣體其中之一,亦可使用2種以上。 又’步驟S1與步驟S2之間實施的步驟S3,係將步驟S1之 ,及步驟S2之後將處理容器丨内殘留之氣體排氣,而使後續步驟 中產生所望反應的步驟。步驟S3,一面將處理容器1内排氣一面 攸沖洗氣體供給機構16之沖洗氣體供給源23,經由沖洗氣^配管 24及冲洗氣體喷嘴25,供給作為沖洗氣體之純性氣體例如^^氣 體以實施。此步驟S3之期間T3 ’例示1〜60sec。又,沖洗氣體流 置,例示50〜5000mL/min(sccm)。又,此步驟S3若能將殘留於處 理容器1内之氣體排氣,則可不供給沖洗氣體而停止所有氣體供 給’而持續真空吸引。惟,藉由沖洗氣體供給,能於短時間將處 理容器1内之殘留氣體排氣。又,此時處理容器1内之壓力亦可 為 13.3〜665Pa 〇 依此方式’在步驟S1與步驟S2之間,藉由隔著從處理容器1 内除去氣體的步驟S3,交替間歇地反複供給Si來源氣體及含有氧 自由基等氧物質之〇2電漿,能將Si〇2膜之薄膜逐層反複地疊層成 1364783 為既定厚度。 此時反應例如圖11所示。圖11顯示反應例的示意圖。本反 應例,舉一例而言,例示si來源氣體使用BTBAS之例。
如圖11(a)所示,在已經沉積之Si〇2膜之表面存在有卯基, 並且,供給例如BTBAS作為Si來源。並且,於吸附Si來源的步 驟(步驟S1)之中’如圖11(b)所示,BTBAS之Si與表面〇H基之氧 原子鍵結而使三f基胺基脱離。此時,具2個胺基之胺基矽烷 BTBAS與0H之反應性高,又,由於胺基不易成為像此種&與〇H 基之^應的構造阻礙,因此,Si之吸附反應迅速進行。此時,脱 離之三甲基胺基由步驟S3從處理容器丨排氣。並且,於其次之氧 化步驟(步驟S2)之中,如圖u(c)所示,三甲基胺級離後之& 化合物,藉由〇2氣體電漿中之含有氧自由基之氧物f而氧化,成 為Si〇2(惟,表面吸附η而形成〇H基)。依此方式,使用了 〇2氣體 電漿中之含有氧自由基之氧物質的氧化反應,與純粹之化學反應 不同,不需高溫,因此可在低溫反應。 。 BTBAS ’為作為Si來源氣體使用之j分子内具2個胺基之鹿 基石夕烷氣體。像此種胺基矽烧氣體,除了 BTBAS以外,你 如:BDEAS(雙二乙基胺基矽燒)、BDMAS(雙二甲基胺基矽烧)。
Si來源氣體’亦可使用丨分子内具3個以上胺基之胺遵 石夕烧,體’也可錢1分子内具丨個絲之胺齡烧氣體。 第1實施形態,Si來源使用含有機矽之來源氣體,於氧化處 理使用可,不使反應溫度上升而進行之〇2氣體電漿中所含含有孽
自由基之^氧物質,並將此等交替供給,因此,能將良好膜質之siG 膜於i〇GC町’再者於室溫之峰未曾考慮的低溫及高成膜 進行成膜。 依此方式’本實施形態原理上能於1〇〇〇c以下之極低溫進行成 f 亦能於較此為高之溫度進行成膜。惟’若考慮隨著成膜溫 度上升’膜厚差賤大,及對於減麵形103,造成之熱影響, 最佳是成膜溫度為l〇〇〇c以下。 ’曰 17 1364783 其次’對於依縣1實施雜實際成膜之結果進行説明。 #理2 劑進行實驗。Sl來源氣體使用BTBAS,氧化 =理使用G2乱體⑨水’亚藉由將鱗交替供給 -牛Ξ =η 3°mL/min(SeCm)、壓力定為 53Pa,進 H Ί Q2氣體之供給奴為細恤/恤(謂)、壓 力疋為665Pa、13. 56MHz之高頻功率定為5〇w,進行— 將此等反複42週期,進行Si〇2膜之成膜。又,為了沖洗處理 J器内,於步驟S1前-面將處理容器内進行真空吸引,一面以 =〇〇mL/min上謂)之流量作為沖洗氣體,供給7咖仏氣體,於步 驟之刖,一面將處理容器内進行真空吸引,一面以 3500mL/min(sccm)之流量將呢氣體作為沖洗氣體供給以比。 雷將ί 步⑦之氧化處理時之氧化劑,除了不將03氣體 以250_之流量供給以外’與上述條件同樣地進行別2 联Ί攻膜。 喂中ίϊί 發明實施形態’確認了 :氧化劑使用02氣體電 ϋ,體之情形,可得到5倍程度之成膜速率(速度>。i,於 使用〇2氣體f聚之情形’亦確認了膜厚面向之差異極小。、 其次’關於成膜溫度進行實驗。 在此’ Si來源氣體使用BTBAS,氧化處理 溫度以外’與上述實驗地進行成膜。溫度m c)、75 c、100 c、20(TC、30(TC 變化並進行實驗。 行成認了即使於觸。⑶下德溫亦如高成膜速率進 室溫亦能充分實用的成膜。又,確認了於靴以 从/、 c(至溫)〜75c之低溫區,亦能以高成膜速率,得到高 膜厚。又,若細溫度超過赋,顧厚差異會變大, 1364783 其次,測定硬化處理時之雜質濃度。 在此’使用BTBAS作為&來源氣體,並比較於氧處 實施。火 之,辰度。測定使用次級離子質量分析(SIMS) 推"S所示。如此圖所示,得到當將使用〇2氣體電漿 ίίο ΐίΐ 濃度及^濃度分別規格化為,,Γ,時, Μ之濃編倍 ,.ρ4 ίϊίίίί': 右使,〇2氣體躲進行氧化處理,可以抑制氮, 因此能抑制光抗糊中毒0此對於硬化處理為有利。〜里’ 距之όΐϊ第1實施形態’能形成具解析極限以下之間 所產生之含有氧自祕等氧物狀氣體㈣地==== 圖形脏之耐熱溫度以下之極低溫度 ‘ 而形成氧化如〇4,。再者,由於能抑制氮膜=生
Tct^ ° ItZm 厚,CD控制變得容易,能良好地確保cD均勻性。、 、 體薄膜102之微細圖形,可利用在像例如電晶 =閑電極斜導觀㈣之位域賴高密歧置之積體電路 (第2實施形態) 驟顯本目發邮2實制彡g之卿化枝倾Μ要製造步 笛斤示’第2實施形態,係將第1實施形態中所説明之 (i位處稍魏處理在相戰縣置之料行連續處理者 19 1364783 導體ίΐϊΓ一由裝置被導出後’往成膜裝正置輸之丰 依此方式’由於修整處理後,晶圓從織被 蝕綱形103,之表面可能會附著塵埃等。抗 = 若附著塵埃等,卿成於抗__ ,上之氧化顧1(^面 缺陷密度會增加,又,其膜厚均勻性容易喪失。 、中 相對於此,依照第2實施形態,於成膜裝 ΐ且=整處;與硬化處理在相同成膜裝置之中以藉 行氧ΐΓ*^膜,能使缺嶋減低,時提高性 再者’猎由使2個處理在相同裝置内連續實施,尚有 =減少輸送或待機時間(Queuing_time),使生產效率提高,降低 所示此第2實施形態之圖形化方法所使用之成麟置例,如圖Η 如圖14所示’第2實施形態之圖形化方法所使用之 80,^基本構成,與圖8所示成膜裝置8〇相同,尤其,由於包^ 含氧氣體供給麟14、Si來職體供給麟15,及 30,因此能與第!實施形態中所説明之氧化频1〇4 _,=冓 同樣成膜。 、'^仃 发一再者’成膜裝置80’ ’包含含臭氧氣體供給機構M,。 氧氣體供給麟M’,包含含臭氧氣體供給源17,, : 體供給巧π,ϋ過流量控制器咖及開關1Sc,而與例如J 氣體配官18連接。藉此,能將含臭氧氣體對於處理容 仏 #於修祕_,個含錄賴,_臭祕體。使Ί氧 氣體進灯修整處理時’亦可*產生钱。因此,含臭 ' 在例產生機構30中,於對於電漿電極33不施加高頻電| 之狀悲,通過分散噴嘴19對於處理容器丨内供給即可。 埜 20 1364783
Si 14> 给St 含綠氣體供 ,進灯修整處理後,能於相同處理容器i内,一 ,石夕之”氣體及由電衆所產生之含有氧自由基等氧物質^ 1氧化頻w成膜。因此,能維持抗_圖形ΐ〇ί 化石夕膜104缺陷密度,同時能提高^句勾、性=下優點·此降低氧 (第3實施形態) 要製料3實郷化料依照各主 半導鮮將本發關形財法理想地翻在實際 ⑻======!=導體基板 膜⑽由與薄_不同之开膜106。硬遮罩 硬遮罩膜1〇6選擇例如氮化 垃“ f電丨生夕膜之情形’ 成抗反射膜· 夕膜等。接者,於硬遮罩膜106上形 成弁圖i6, ’在抗反射膜細上塗布光抗钱劑,並形 ί:;=ί有例如線舆間隔圖形,既定間距二曝光裝 -::::!〇73^; ~ ::^Γ']ίί:ΐ 第1實施形態同樣條件。^ ) “處理之條件,可為與 其次如圖18所示’於經修整之抗_圖形廳,及抗反射膜 1364783 200 ^形成氧化補⑽(硬化處理)。於第2實施形態中,氧 、 之形成與第1實施形態同樣地,係於交替供給含有機石 幵:氣體及由電装所產生之含有氧自由基等氧物質之氣= 莫,ΐίί?19所示,於氧化石夕膜104上形成抗反繼2〇1。接 者;抗反射膜201上塗布光抗輔,並形成光抗钱劑膜
Hi微,將光抗钱劑膜加工為具既定間距p2之抗姓劑圖 L Λ中,抗姓劑圖形105與經修整之抗姓劑圖形朋,同 樣也、有線與間關形。又,抗鋪圖形1G5之既定 =曝置之解析極限程度。再者,本例之抗糊圖m 3經修整之抗·卿1G3,之間,且抗_圖形⑽, 劑圖形105交替配置。 /、仇蚀 其次如圖20所示,將抗蝕劑圖形1〇5修整,得到經 姓劑圖形105,(第2次修整處理)。修整處理之條件,可為=巧 態f由第2次修整處理,形成由抗银劑圖形 03及105所構成之抗勉劑圖形,與第β施形態同樣地 成具解析極限以下之間距的抗餘劑圖形。 乂 其次如圖21所示,將抗蝕劑圖形1〇3,及1〇5,用於蝕 遮罩,將抗反射膜20卜200及氧化矽膜1〇4予以蝕刻,二 圖22所示硬遮罩膜1〇6蝕刻。 村 其次如圖23所示,除去抗反射膜2〇1、2〇〇、氧化矽膜1〇4、 抗钱劑圖形103’及105,後,將硬遮罩膜1〇6用於侧之遮 將薄膜102蝕刻,將薄膜1〇2加工為所望微細圖形。硬遮罩膜 之間距p3,,與抗蝕劑圖形103,及1〇5,之間距的大約相同, 為解析極限以下。藉此,將魏罩膜⑽驗_之遮罩所 之薄膜102之間距p4,成為解析極限以下。依此方式,於第3 施形態,能形成具解析極限以下間距之微細圖形。 、 由於第3實施形態中亦為,於硬化處理時,交替地供給含 機矽之來源氣體及由電漿所激發含有氧自由基等氧物質之氣體, 22 1364783 膜1G4 ’因此’與第1實施形態同樣地’能使具解析極 限以下間距之微細圖形之CD均勻性更為良好。 、/^依照第3實施形態,由於將硬遮罩膜1G6,用於钱刻之 ,罩進订薄膜102儀刻,因此,相較於以抗細圖形1〇3,及1〇5, ιηΓΓί之遮罩進行_之情形’能帶來能加工膜厚為厚的薄膜 J〇2的優點。 又’第3實拖職可以與第2實施賴組合,第丨次修整處 / 圖17)與硬化處理(參照圖18),可以於相同成膜裝置之中進
處理。於此情形中,與第2實施形態同樣地,能維持抗钱 湖形103表面為清潔地進行氧化石夕膜1〇4之成膜,因此能使 化矽膜104之膜厚均勻性更提高。 (第4實施形態) 圖24Α至圖241,為本發明第4實施形態之圖形化方法依照各 主要製造步驟顯示之剖面圖。 、 首先,如圖24Α所示,與第1實施形態同樣地,在半導體基 ,101上形成薄膜102。本案說明書中,半導體基板1〇1不僅代表 半導體例如矽基板,亦包含在半導體基板内、或半導體基板上所 形成之半導體元件或積體電路圖形所對應之導電膜、形成有將此 等予以絕緣之層間絕緣膜之構造體。薄膜1〇2為後 圖形之膜,可㈣…1〇2之絕緣膜,亦可為如導{性多 導電膜。本例中,舉一例而言’薄膜1〇2係定為SiN。接著,於薄 膜102上塗布抗反射材料’並形成抗反射膜(BARC)2〇〇。 一 &其次如圖24B所示,在抗反射膜200上塗布光抗蝕劑,形成 光I几餘劑膜,並使用光微影技術加工光抗钱劑膜,得至|丨目宁pq 103 〇 ^ t ^ 形’既定間距pi,定為曝光裝置之解析極限程度。 其次如圖24C所示,修整抗钱劑圖形1〇3,得到經修整之抗 蝕劑圖形103’(本說明書中稱為第1次修整處理)。修整^理,例 如於含有氧自由基或臭氧氣體之氛圍中,於室溫〜1〇(n:i溫度實 23 1364783 施0 其次如圖24D所示,於經修整之抗㈣_ 1()3,及抗反射膜 20^上,形成與薄膜1〇2、抗反射膜2〇〇、及抗餘劑圖形ι〇3,不同 之氧化频1〇4(硬域理)。氧化賴1G4 ’與先前的實施形態同 樣地,可使用參照圖8至圖10説明之成膜裝置8〇或未昭圖14説 明之成膜裝置80,而形成。 / 其次如圖24E所示’在氧化碎膜1Q4上塗布抗反射材料,並 形成抗反射膜(BARC)2(U。抗反射膜2〇1之厚度,為其表面成為 大致平坦之裎度,藉此,使由於抗蝕劑圖形1〇3,所產生之高低差
充分地減低。 ' 接者’於抗反射膜201上塗布光抗餘劑,並形成光抗餘劑膜。 之後如圖24F所示’利用光微影技術將光抗姓劑膜加工,形成具 既疋間距p2之抗姓細形1〇5。本例中,抗钱劑圖形1〇5,斑經 修整之抗侧_ 1G3,同樣地具有線與間隔圖形。又,抗^圖 形105之既定間距p2 ’定為曝光裝置之解析極限程度。再者,本 例之抗银劑圖形105,配置於經修整之抗敍綱形1〇3,之間,且 抗姓劑圖形103與抗钱劑圖形交替配置。 其次,進行第2次修整處理。亦即,如圖24(}所示,將抗钱 ,圖形1〇5修整,得到經修整之抗鋪圖形1〇5,㈤%印。此修整 二理可於例如含有氧自由基或臭氧氣體之氛圍中,於室溫〜獅 依照至此為止所説明之步驟,形成抗钱劑圖形103, 士㈣1〇5’2侧施細形。料2個抗__ 1〇3,、 105,如後所述’實質上功能為i個抗侧圖形。此抗侧圖形, 圖/i1^與lG5,㈣配置之卿,因此其間距P3為較間 之二間距’本例中’成為間距pi及p2之大約1/2 乂 式,藉由將各別形成之抗蝕劑圖形103,與1〇5, 父替配置’能形成具解析極限以下之卩植的祕劑圖形。 ⑽ί次tfi所示,將抗鋪圖形1〇3,及1〇5,使用為银刻 .、、罩將抗反射膜2(Π、200、氧化石夕膜1〇4及薄膜1〇2蝕刻, 24 ⑽4783 並將薄膜102加工為所望微細圖形。經加工之薄膜102之間距P4, ^與;几麵圖形1〇3’及1〇5’之間距p3大約相同,因此由薄 膜搬所構成之微細圖形之間距p4,能為解析極限以下。依此方 式,第4實施形態中,能形成具解析極限以下間距之微細圖形。 ^又若依照弟4實施形態之微細圖形形成方法,由於在作為 圖^ 1〇3’之保護膜的氧化石夕膜104上,形成具使表面成為 =之程度厚度的抗反射膜2()1,因此可於抗反射膜2〇1上,形 確之紐劑圖形1G5(1G5’)°因此’能使將抗钱劑圖 ^升。105作為遮罩層之薄膜102於钱刻中的侧精度全體 限於上述實施形態,可進行各種變形。例如, 造顯示將本發明應用於搭載多數半導體晶圓而 丁 -人成膜之批式成膜裝置之例,但不限於此,亦可應用 行成膜之單片式成膜裝置。又,乙氧基“氣體及 =將各種含氧氣體扣賴化所產生之氧 二限= 者’上述虱物質,不限於電漿,可為對於各種 = 光或紫外區光(波長:約35〇nm以下)而將氧 化所^生者、 又,亦可為將含氧氣體以熱活化所產生者。 化所產生者, 又,上述實施形態之中,係完全交替地 ㈣ 電聚激發之含氧物質氣體,但是,供 讀及由 藉由電漿等活化(激發)之含氧;1質=體81。來源乳體時’亦能供給 又’上述實施形態所説明之圖形化 程,而發展成製造半導體裝置之方沬介日 」操#貫轭以下製 係以氧化秒、氧氮切刻對象薄膜102 請),進娜此_巾_==參==3, ⑽,、爾去之步驟,則如圖25A所;= 25 1364783 僅會殘留微細_(以下為求簡便,記載為絕緣膜〗 實施將膜102aH時導體例如魏埋之步驟及使經 主 導體平坦化而露出絕賴1G2a之頂面之步驟,則如圖25b牛 父替地排列形成絕緣膜购及⑦部施。若依此方式, =110a作為元件並以絕緣膜施作為元件分離區域之 裝置。又’於觀賴腿之_鮮導體填_,亦可 體中添加既定雜質而進行傳導控制(傳導型及 進彳、1G5’之除去步驟而進 =劑=1〇3’、105’除去。惟,將抗姓劑圖形阳,、i〇y 又^刻對象薄膜102係以半導體,例如石夕形成 圖施刻而得到微細圖形之步驟後(參照圖7、圖'23、、 1,μ 刻中作為姓刻之遮罩使用之抗餘劑圖形 103 1〇5除去之步驟,則僅有微細圖形(以下為求符 ^ 為石夕膜102b)會殘留在半導體基板1〇1上。接著,實^ j ^間隙以氧财、氧氮切、氮切等絕緣性材料填埋之+ 驟將ϊίίΐίΐ斤性材料平坦化而使賴_之頂面露^之步 =域作,件並以絕緣部; 傳導度之控制)。又,於將薄=== ’亦可使用既定攙雜氣體添加雜質,並實 者’亦可不實施將抗蝕劑圖形103,、10,去二再 t,料實施填埋料。原因在於紐枝^ 中,將抗蝕劑圖形1〇3, 、1〇5,除去。 /牝在十坦化步驟. 105,除去之方式,於能將’糾__ 1〇3’、 W ‘將!巴緣膜102b之間隙 26 1364783 時之尚寬比減小之觀點,為較佳。 再者,第1至3實施形態之圖形化方法,舉一 之示半導體之製造。圖27為所謂Fin型電場i電= 圖’包含:薄膜102,由半導體形成,依照第1 體 一形U巾之—_形化方法軸;輯層⑽^^ 3 102之方式形成;及電極G,與絕G〇 杯=膜 开=圖形化方法,由於能夠形成具有較光二 析極限尺寸更窄之寬度的薄膜搬,因此能使f ^
微細化’可提升密度。 I FET
再者’上述實施雜之中’係以形成電聚之機構— 在處理容H為例說明’但不限定於此,亦可制與處理容哭 ΐί裝ί處理容器之外,預先進行電聚化而導人處理容器5端 ^又,/成膜裝置80、80’之晶圓舟5,係以能搭載5〇〜1〇〇片晶 圓W進行了説明,但是搭載晶圓數不限於此範圍,例如成膜裝= 80、80’,亦可具有能搭載較少數晶圓例如,2〜15片左右之晶^舟 5再者’成膜裝置80、80’亦可為目標在於將2〜15片程度之較少 數晶圓一次處理之所謂半批式(迷你批式)型之成膜裝置。 再者’被處理體不限於半導體晶圓,本發明亦可應用在Lcd 玻璃基板等其他基板。 再者’形成光抗姓劑膜之前,雖形成了抗反射膜2〇〇、201, 但是視需要,亦可形成抗反射膜200、201。 再者,抗蝕劑圖形103及105之修整,亦視需要實施即可。 本申請案依據2007年6月8日提申之日本專利申請案 2007-153184號主張優先權,2007-153184號之全部内容在此援用。 【圖式簡單說明】 圖1為本發明第1實施形態之圖形化方法依照各主要製造步 驟顯示之剖面圖。 27 川4783 驟圖2為本發明第1實施形態之圖形化方法依照各主要製造步 领”、員示之剖面圖。 圖3為本發明第1實施形態之圖形化方法依照各主要 步 鄉-員示之剖面圖。 驟,4為本發明第1實施形態之圖形化方法依照各主要製造步 輝”‘、員示之剖面圖。 驟圖5為本發明第1實施形態之圖形化方法依照各主要製造步 鄉“,、員示之剖面圖。 驟顯明第1實施形態之圖形化方法依照各主要製造步 驟顯1實施形態之圖形化方法依照各主要製造步 縱剖S為本㈣第1實施雜之®形化方法所使用成膜裳置之 =為用於形成氧化賴刚之成膜裝置一例橫剖面圖。 的時=為於形成氧化賴1G4之成膜方法中,氣體供給時序 圖。圖11為Μ說明實施氧化稍之細方法時之反應的示意 雜質t圖為比較使用〇2氣體電漿成膜時與使用〇3氣體成膜時之 驟顯=3m。料2實細彡態之_財时選Μ要製造步 例之m本發料2麵賴之_財法較用之成膜裝置 驟顯第3實施雜之_财法賊各主要製造步 驟顯^^面本圖糾第3實施雜之_財法·各主要製造步 28 _ 17為本發明第3實施形態之圖形化方法依照各主要製造少 之剖面圖。 綠县,18為本發明第3實施形態之圖形化方法依照各主要製造夕 ·’、、裒禾之剖面圖。 雜县_ 19為本發明第3實施形態之圖形化方法依照各主要製造夕 .、·具示之剖面圖。 、 闺20為本發明第3實施形態之圖形化方法依照各主要製造夕 項吊之剖面圖。 、 _ 21為本發明第3實施形態之圖形化方法依照各主要製造少 硕示之剖面圖。 题显_ 22為本發明第3實施形態之圖形化方法依照各主要製造少 ·'、、貝示之剖面圖。 _ 23為本發明第3實施形態之圖形化方法依照各主要製造梦 ”、、貝示之剖面圖。 多 51 24A為本發明第4實施形態之圖形化方法依照各主要製造 夕輝堯員示之剖面圖。 沐 _ 24B為本發明第4實施形態之圖形化方法依照各主要製造 夕臀最員示之剖面圖。 、 米圖24C為本發明第4實施形態之圖形化方法依照各主要製造 示之剖面圖。 米圖24D為本發明第4實施形態之圖形化方法依照各主要製造 ^驟顯示之剖面圖。 圖24E為本發明第4實施形態之圖形化方法依照各主要製造 步驟顯示之剖面圖。 、 圖24F為本發明第4實施形態之圖形化方法依照各主要製造 步驟顯示之剖面圖。 衣 圖24G為本發明第4實施形態之圖形化方法依照各主要製造 步驟顯示之剖面圖。 ° 圖24Η為本發明苐4貫施形悲之圖形化方法依照各主要製造 29 1364783 步驟顯示之剖面圖。 圖241為本發明第4實施形態之圖形化方法依照各主要製造步 驟顯示之剖面圖。 圖25A為說明能接續第1至第3實施形態之圖形化方法實施 之半導體裝置之製造方法的剖面圖。 圖25B為說明能接續第丨至第3實施形態之圖形化方法實施 之半導體裝置之製造方法的剖面圖。 實祕紅圖形化方法實施 圖26B為說明能接續第 之半導體裝置之製造方法的A至第3實施形態之圖形化方法實施 圖27為說明能接續第、1圖。 半導體裝置之製造方法的剖3實施形態之圖形化方法實施之 【主要元件符號說明】 GOX絕緣層 G 電極 W 晶圓
1 處理容器 2 頂蓋板 3 歧管 4 密封構件 5 晶圓舟 6 支柱 7 保溫筒 8 檯 9 蓋部 10 旋轉軸 11 流體密封件 1364783
12 密封構件 13 臂 14 含氧氣體供給機構 14, 含臭氡氣體供給機構 15 Si來源氣體供給機構 16 沖洗氣體供給機構 17 含氧氣體供給源 17, 含臭氡氣體供給源 18 含氧氣體配管 18a、 21a、24a 開閉閥 18b、 21b、24b流量控制器 18c 開閉閥 18d 流量控制器 19 含氧氣體分散喷嘴 19a 氣體喷吐孔 20 Si來源氣體供給源 21 Si來源氣體配管 22 Si來源氣體分散喷嘴 22a 氣體喷吐孔 23 沖洗氣體供給源 24 沖洗氣體配管 25 .沖洗氣體喷嘴 30 電漿產生機構 31 開口 32 電漿區隔壁 33 電漿電極 34 供電線 35 南頻電源 36 絕緣保護套 31 1364783
37 排氣口 38 排氣口蓋部構件 39 氣體出口 40 加熱裝置 50 控制器 51 使用者介面 52 記憶部 52a 記憶媒體 80 成膜裝置 80, 成膜裝置 101 半導體基板 102 薄膜 102a 絕緣膜 102b 矽膜 103 抗#劑圖形 103 經修整之抗蝕劑圖形 104 氧化矽膜 105 抗餘劑圖形 105’ 經修整之抗蝕劑圖形 106 硬遮罩膜 110a 碎部 110b 絕緣膜 200 抗反射膜(B ARC) 201 抗反射膜 32

Claims (1)

1364783 十、申請專利範圍: 1.一種圖形化方法,包含下列步驟· 於基板上形成第1膜的步驟; 形成第1抗蚀劑膜的步鄉; 劑_光微影,加卫為具既㈣距之第1抗 地對氧物質的第2氣體交替 成氧化賴的步驟;川痛!_及該第1膜之上,形 氧化賴上形成第2抗餘劑膜的步驟. 將该弟2抗钱劑獏利用光微影加工為 , 形的步驟;及 兄义間距之第2抗蚀劑圖 工該劑圖形及該第2抗蝕劑圖形作為遮罩,而加 2.如申凊專利範圍第1項之圖开^ 於將該第1抗更包含下列步驟: 抗侧圖形的步驟;及、…抗糊圖形後,修整該第1 抗蝕:Ξί以蝕劑膜加工為該第2抗蝕劑圖形後,修整該第2 ϋζΐ專^範圍第2項之圖形化方法,其中, 於相‘^=圖形的步驟及形成該氧切膜的步驟,係 =申請專利範圍第2項之圖形化方法,I中, 驟至^ 修整該第2抗敍劑圖形的步 進行抗糊整。’、3觀體1纽臭氧氣體其中之- 基石夕Ϊ:申請專利範圍第1項之圖形化方法,其中,該有機石夕為胺 6.如申請專利範圍第5項之_化方法,射,該胺基魏為 33 1364783 1價或2價之胺基碎烧。 7.如申請專利範圍第6項之圖形化方法,其中, 該1價或2價之胺基矽烷擇自於 ’ BTBAS(雙第三丁基胺基矽烷)、 BDMAS(雙二甲基胺基矽烷)、 BDEAS(雙二乙基胺基矽烷)、 DMAS(二曱基胺基矽烧)、 DEAS(二乙基胺基矽烷)、 DPAS(一丙基胺基碎烧)、
BAS(丁基胺基矽烧)、 DIPAS(二異丙基胺基矽烷),及 BEMAS(雙乙基甲基胺基石夕烧)至少其中之一。 8.如申請專利範圍第i項之圖形化方法,其 物質,係藉由將含氧氣體電漿化而產生。 4化之氣 9‘如申請專利範圍第8項之圖形化方法,其中,該 〇2氣體、NO氣體、n2〇氣體、h2〇氣體,氣體之中至^^為 将於專利範圍第1項之圖形化方法,其中,該氧化石夕臈, 空之處理容器中形成’係交替地實施將該第1氣體 内的步驟以及將該第2氣體對於該處理容器 氣體專Γί圍第10項之圖形化方法’其中,在將該第1 容器内供=沾=各β内供給的步驟以及將該第2氣體對於該處理 氣^步^了、V驟之間,更包含將殘留於該處理容器内之氣體排 處理範圍第1項之圖形化方法,其巾,將殘留於該 氣體排氣的步驟,係一面將該處理容器内抽真空, 面於该處理容器内導人沖洗氣體。 矽膜專利範圍第1項之圖形化方法,其卜形成該氧化 守之成膜溫度,為該第1抗蝕劑膜之耐熱溫度以下。 34 為^如以中|專财哪13項之圖形化方法,其中,該成膜溫度 15·-種圖形化方、法,包含下列步驟 於基,上形成第1膜的步驟; 的步驟·/第1駐’械與該第1 _不同材料構成之硬遮罩膜 形成第1抗糊膜的步驟; 麵雜彻光郷加μ細㈣社第1祕 基板之U㊁氧物質之第2氣體對於該 化石夕膜的步驟; 41沾細形及該硬料膜上形成氧 二ί 上形成第2抗蝕劑臈的步驟; 綱㈣戚_級影加4魏相狀第2抗钱 卫該ϋίί 圖形及該第2抗_圖形作為遮罩,而加 圖罩形:該:;膜的步驟。 :抗敍劑膜前’更包含在該硬遮罩膜上形成第1抗反 專補财15項之_化方法,其中, 射。抗叙劑膜前,更包含在該氧化石夕膜上形成第2抗反 步驟❹申物細第]5狀卿财法,其t,更包含下列 抗加工姆】卿獅後,修整該第1 於將》亥第2抗韻劑膜加工為該第2抗侧圖形後,修整該第2 35 上冲4783 抗蝕劑圖形的步驟β 如申請專利範圍第18項之圖形化方法,其中, 修整該第1抗蝕劑圖形的步驟及形成該氧化矽膜的步驟,係 於相同成膜裝置内實施。 你 20·如申請專利範圍第18項之圖形化方法,其中, 修^第1抗侧_的步觀修魏帛2抗㈣圖形的步 y_ 〉、、中之步驟,係使用含氧氣體電漿及臭氧氣體其中之一進 行抗姓劑圖形修整。 〃 21. 如申請專利範㈣ls項之圖形化方法,其中,該有機石夕為 胺基矽烧。 22. 如申請專利範圍第21項之圖形化方法,其中,該胺基矽烷 為1價或2價之胺基;ε夕烧。 23. 如申請專利範圍第22項之圖形化方法,其中, 該1價或2價之胺基矽烷擇自於 BTBAS(雙第三丁基胺基矽烷)、 BDMAS(雙二甲基胺基矽烷)、 BDEAS(雙二乙基胺基矽烧)、 DMAS(二曱基胺基矽烧)、 DEAS(二乙基胺基矽烧)、 DPAS(二丙基胺基矽烷)、 BAS(丁基胺基梦烧)、 DIPAS(一異丙基胺基梦院),及 BEMAS(雙乙基甲基胺基矽烧)至少其中之一。 24. 如申請專利範圍第15項之圖形化方法,其中,該經活化之 氧物質,係藉由將含氧氣體電漿化而產生。 25. 如申明專利乾圍第24項之圖形化方法,其中,該含氧氣體 為〇2氣體、NO氣體、AO氣體、h2〇氣體、〇3氣體之中至少} 種。 26. 如申請專利範圍第15項之圖形化方法,其中,該氧化石夕膜 36 ^04783 容器中形成,係交替地實施將該第!氣體 内供給的步驟ί形成了的步咖及將料2氣_於該處理容器 友27·如申請專利範圍第26項之圖形化方法, 氟體對於該處理容II内供給的步驟以及將 '’在將該第1 ^器内供給的步驟之間,更包含將殘留於,=於該處理 氧的步驟。 蜒理令态内之氣體排 處理請專利翻第15項之_化方法,其中,將殘留於該 -而二糾之虱體排氣的步驟’係—面將該處理容器内抽直*, 一面於該處理容器内導入沖洗氣體。 一二 29. 如申請專利範圍第15項之圖形化方法,其中,形成該 膜日可之成膜溫度,為該第1抗银劑膜之耐熱溫度以下。 30, 如申凊專利範圍第29項之圖形化方法,其中,該成膜溫产 為l〇〇°C以下。 w狐又 十 圖式 37
TW097121381A 2007-06-08 2008-06-09 Patterning method TW200917335A (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2007153184 2007-06-08

Publications (2)

Publication Number Publication Date
TW200917335A TW200917335A (en) 2009-04-16
TWI364783B true TWI364783B (zh) 2012-05-21

Family

ID=40093792

Family Applications (2)

Application Number Title Priority Date Filing Date
TW097121381A TW200917335A (en) 2007-06-08 2008-06-09 Patterning method
TW100122285A TWI498941B (zh) 2007-06-08 2008-06-09 微細圖形之形成方法及成膜裝置

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW100122285A TWI498941B (zh) 2007-06-08 2008-06-09 微細圖形之形成方法及成膜裝置

Country Status (5)

Country Link
US (2) US7989354B2 (zh)
JP (2) JP4659856B2 (zh)
KR (3) KR101073858B1 (zh)
TW (2) TW200917335A (zh)
WO (1) WO2008149988A1 (zh)

Families Citing this family (371)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8852851B2 (en) 2006-07-10 2014-10-07 Micron Technology, Inc. Pitch reduction technology using alternating spacer depositions during the formation of a semiconductor device and systems including same
KR101073858B1 (ko) * 2007-06-08 2011-10-14 도쿄엘렉트론가부시키가이샤 패터닝 방법
KR101011490B1 (ko) * 2007-06-08 2011-01-31 도쿄엘렉트론가부시키가이샤 패터닝 방법
US8004045B2 (en) * 2007-07-27 2011-08-23 Panasonic Corporation Semiconductor device and method for producing the same
US7989307B2 (en) 2008-05-05 2011-08-02 Micron Technology, Inc. Methods of forming isolated active areas, trenches, and conductive lines in semiconductor structures and semiconductor structures including the same
JP5384852B2 (ja) * 2008-05-09 2014-01-08 株式会社日立国際電気 半導体装置の製造方法及び半導体製造装置
US10151981B2 (en) 2008-05-22 2018-12-11 Micron Technology, Inc. Methods of forming structures supported by semiconductor substrates
JP2009294439A (ja) * 2008-06-05 2009-12-17 Toshiba Corp レジストパターン形成方法
JP2010027978A (ja) * 2008-07-23 2010-02-04 Toshiba Corp パターン形成方法
JP5236716B2 (ja) * 2008-09-29 2013-07-17 東京エレクトロン株式会社 マスクパターンの形成方法、微細パターンの形成方法及び成膜装置
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8796155B2 (en) 2008-12-04 2014-08-05 Micron Technology, Inc. Methods of fabricating substrates
US8273634B2 (en) 2008-12-04 2012-09-25 Micron Technology, Inc. Methods of fabricating substrates
US8247302B2 (en) 2008-12-04 2012-08-21 Micron Technology, Inc. Methods of fabricating substrates
JP2010161162A (ja) 2009-01-07 2010-07-22 Tokyo Electron Ltd 微細パターンの形成方法
JP5329265B2 (ja) * 2009-03-09 2013-10-30 株式会社日立国際電気 半導体装置の製造方法および基板処理装置
JP5275094B2 (ja) * 2009-03-13 2013-08-28 東京エレクトロン株式会社 基板処理方法
JP5275093B2 (ja) 2009-03-13 2013-08-28 東京エレクトロン株式会社 基板処理方法
US8268543B2 (en) 2009-03-23 2012-09-18 Micron Technology, Inc. Methods of forming patterns on substrates
JP5363856B2 (ja) * 2009-03-30 2013-12-11 富士フイルム株式会社 パターン形成方法
US8197915B2 (en) * 2009-04-01 2012-06-12 Asm Japan K.K. Method of depositing silicon oxide film by plasma enhanced atomic layer deposition at low temperature
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
JP5385001B2 (ja) * 2009-05-08 2014-01-08 株式会社日立国際電気 半導体装置の製造方法、基板処理装置
US9330934B2 (en) 2009-05-18 2016-05-03 Micron Technology, Inc. Methods of forming patterns on substrates
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5023128B2 (ja) 2009-10-07 2012-09-12 東京エレクトロン株式会社 塗布現像装置及び塗布現像方法
US20110129991A1 (en) * 2009-12-02 2011-06-02 Kyle Armstrong Methods Of Patterning Materials, And Methods Of Forming Memory Cells
KR101662702B1 (ko) * 2009-12-31 2016-10-06 삼성전자 주식회사 반도체 소자의 제조 방법
JP2011176177A (ja) * 2010-02-25 2011-09-08 Hitachi Kokusai Electric Inc 半導体デバイスの製造方法、半導体デバイスおよび基板処理装置
US8252691B2 (en) * 2010-04-14 2012-08-28 Asm Genitech Korea Ltd. Method of forming semiconductor patterns
JP5192016B2 (ja) * 2010-05-07 2013-05-08 東京エレクトロン株式会社 半導体装置の製造方法及び半導体装置の製造装置
US8343881B2 (en) 2010-06-04 2013-01-01 Applied Materials, Inc. Silicon dioxide layer deposited with BDEAS
US8455341B2 (en) 2010-09-02 2013-06-04 Micron Technology, Inc. Methods of forming features of integrated circuitry
JP5692850B2 (ja) * 2010-12-28 2015-04-01 東京エレクトロン株式会社 薄膜形成方法、薄膜形成装置及びプログラム
US8298951B1 (en) 2011-04-13 2012-10-30 Asm Japan K.K. Footing reduction using etch-selective layer
US8575032B2 (en) 2011-05-05 2013-11-05 Micron Technology, Inc. Methods of forming a pattern on a substrate
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9177794B2 (en) 2012-01-13 2015-11-03 Micron Technology, Inc. Methods of patterning substrates
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9102121B2 (en) 2012-05-03 2015-08-11 Micron Technology, Inc. Substrates and methods of forming a pattern on a substrate
US8629048B1 (en) 2012-07-06 2014-01-14 Micron Technology, Inc. Methods of forming a pattern on a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
KR20140029050A (ko) 2012-08-31 2014-03-10 삼성전자주식회사 패턴 형성 방법
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
TWI471669B (zh) * 2012-11-15 2015-02-01 Chunghwa Picture Tubes Ltd 窄間距線路之形成方法
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
JP5519059B2 (ja) * 2013-05-23 2014-06-11 株式会社日立国際電気 半導体デバイスの製造方法および基板処理装置
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
JP2016541119A (ja) * 2013-12-05 2016-12-28 東京エレクトロン株式会社 直流重ね合わせフリーズ
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
KR20150109088A (ko) * 2014-03-19 2015-10-01 에스케이하이닉스 주식회사 반도체 장치의 미세패턴 형성방법
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9165765B1 (en) 2014-09-09 2015-10-20 Tokyo Electron Limited Method for patterning differing critical dimensions at sub-resolution scales
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
JP6382055B2 (ja) * 2014-10-07 2018-08-29 東京エレクトロン株式会社 被処理体を処理する方法
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
JP6559430B2 (ja) 2015-01-30 2019-08-14 東京エレクトロン株式会社 被処理体を処理する方法
JP6249970B2 (ja) * 2015-01-30 2017-12-20 東京エレクトロン株式会社 半導体装置の製造方法
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP6462477B2 (ja) 2015-04-27 2019-01-30 東京エレクトロン株式会社 被処理体を処理する方法
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
WO2017170411A1 (ja) 2016-03-29 2017-10-05 東京エレクトロン株式会社 被処理体を処理する方法
JP6784530B2 (ja) * 2016-03-29 2020-11-11 東京エレクトロン株式会社 被処理体を処理する方法
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
JP6541618B2 (ja) * 2016-05-25 2019-07-10 東京エレクトロン株式会社 被処理体を処理する方法
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
JP6788400B2 (ja) * 2016-07-08 2020-11-25 東京エレクトロン株式会社 被処理体を処理する方法
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9812320B1 (en) * 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) * 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
JP6759004B2 (ja) 2016-08-29 2020-09-23 東京エレクトロン株式会社 被処理体を処理する方法
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
JP6817168B2 (ja) * 2017-08-25 2021-01-20 東京エレクトロン株式会社 被処理体を処理する方法
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
JP6895352B2 (ja) 2017-09-12 2021-06-30 東京エレクトロン株式会社 被加工物を処理する方法
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202121506A (zh) 2019-07-19 2021-06-01 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62259445A (ja) * 1986-05-06 1987-11-11 Hitachi Ltd パタ−ン形成方法
JPH04196321A (ja) * 1990-11-28 1992-07-16 Hitachi Ltd 成膜方法および装置
JP2882301B2 (ja) * 1995-01-13 1999-04-12 日本電気株式会社 半導体装置の製造方法
KR100206597B1 (ko) * 1995-12-29 1999-07-01 김영환 반도체 장치의 미세패턴 제조방법
JP3014334B2 (ja) * 1996-11-29 2000-02-28 キヤノン販売株式会社 半導体装置の製造方法
JPH11135628A (ja) * 1997-10-31 1999-05-21 Nippon Steel Corp 半導体装置の製造方法
KR100327346B1 (ko) * 1999-07-20 2002-03-06 윤종용 선택적 폴리머 증착을 이용한 플라즈마 식각방법 및 이를이용한 콘택홀 형성방법
KR100343144B1 (ko) * 1999-10-06 2002-07-05 윤종용 원자층 증착법을 이용한 박막 형성 방법
US6365428B1 (en) * 2000-06-15 2002-04-02 Sandia Corporation Embedded high-contrast distributed grating structures
KR20030003906A (ko) * 2001-07-04 2003-01-14 삼성전자 주식회사 반도체 소자의 콘택 형성방법 및 그에 따라 제조된 반도체메모리 소자
TW527647B (en) * 2002-02-06 2003-04-11 Jusung Eng Co Ltd Thin film deposition method
KR100480610B1 (ko) 2002-08-09 2005-03-31 삼성전자주식회사 실리콘 산화막을 이용한 미세 패턴 형성방법
KR100542736B1 (ko) 2002-08-17 2006-01-11 삼성전자주식회사 원자층 증착법을 이용한 산화막의 형성방법 및 이를이용한 반도체 장치의 캐패시터 형성방법
US6911399B2 (en) * 2003-09-19 2005-06-28 Applied Materials, Inc. Method of controlling critical dimension microloading of photoresist trimming process by selective sidewall polymer deposition
TW200526804A (en) * 2003-10-30 2005-08-16 Tokyo Electron Ltd Method of manufacturing semiconductor device, film-forming apparatus, and storage medium
US20050221020A1 (en) * 2004-03-30 2005-10-06 Tokyo Electron Limited Method of improving the wafer to wafer uniformity and defectivity of a deposited dielectric film
US20050252449A1 (en) * 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
KR20070003336A (ko) * 2005-07-01 2007-01-05 주식회사 하이닉스반도체 반도체 소자의 미세 패턴 형성 방법
JP4305427B2 (ja) * 2005-08-02 2009-07-29 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP4803578B2 (ja) * 2005-12-08 2011-10-26 東京エレクトロン株式会社 成膜方法
JP4193866B2 (ja) * 2006-04-27 2008-12-10 住友電気工業株式会社 半導体発光素子の製造方法
JP2008240077A (ja) * 2007-03-28 2008-10-09 Canon Anelva Corp Ald装置及びこれを用いた成膜方法
KR101011490B1 (ko) * 2007-06-08 2011-01-31 도쿄엘렉트론가부시키가이샤 패터닝 방법
KR101073858B1 (ko) * 2007-06-08 2011-10-14 도쿄엘렉트론가부시키가이샤 패터닝 방법

Also Published As

Publication number Publication date
KR20110117226A (ko) 2011-10-26
WO2008149988A1 (ja) 2008-12-11
JP2009016815A (ja) 2009-01-22
US8383522B2 (en) 2013-02-26
KR20090096408A (ko) 2009-09-10
US7989354B2 (en) 2011-08-02
KR101073858B1 (ko) 2011-10-14
TW200917335A (en) 2009-04-16
TWI498941B (zh) 2015-09-01
KR101217778B1 (ko) 2013-01-02
JP2011082560A (ja) 2011-04-21
KR101101785B1 (ko) 2012-01-05
TW201145355A (en) 2011-12-16
JP5156086B2 (ja) 2013-03-06
KR20110036129A (ko) 2011-04-06
US20100130015A1 (en) 2010-05-27
JP4659856B2 (ja) 2011-03-30
US20110237082A1 (en) 2011-09-29

Similar Documents

Publication Publication Date Title
TWI364783B (zh)
TWI618135B (zh) 用於鹵化物驅氣的處理系統及方法
TWI460792B (zh) 膜形成方法、膜形成設備及膜形成設備之使用方法
TW200913010A (en) Patterning method
US7737005B2 (en) Method for forming Ti film and TiN film, contact structure, computer readable storing medium and computer program
TWI587391B (zh) 用於溝塡之保形膜沉積
TWI556305B (zh) 透過介穩氫終止之矽的選擇性蝕刻
TWI547992B (zh) 金屬膜之乾式蝕刻方法
JP6711839B2 (ja) 酸化ケイ素薄膜の選択的横成長
TW201534556A (zh) 用於進階圖案化之軟著陸奈米層
TW201837979A (zh) 半導體處理裝置
TW201626503A (zh) 具有成核抑制的特徵部填充
JP2009531857A5 (zh)
JP6995997B2 (ja) 半導体装置の製造方法、基板処理装置、プログラム及び基板処理方法
TW200307329A (en) Free radical nitriding process for sub-nanometer insulation layer
JP2009170788A (ja) アモルファスカーボン膜の処理方法およびそれを用いた半導体装置の製造方法
JP2012238711A (ja) 堆積物除去方法
JP2009016446A (ja) 半導体装置の製造方法及び記憶媒体
KR102354368B1 (ko) 반도체 소자의 박막 형성 방법
JP2007227691A (ja) 基板処理方法および基板処理装置
JP6017170B2 (ja) 堆積物除去方法及びガス処理装置
JP2017092101A (ja) パターン形成方法
TW201903966A (zh) 自對準通孔處理流程
TW201903834A (zh) 自對準觸點與閘極處理流程
JP2021052064A (ja) 成膜方法及び成膜装置