TWI337392B - Method for forming a gate in a finfet device and thinning a fin in a channel region of the finfet device - Google Patents

Method for forming a gate in a finfet device and thinning a fin in a channel region of the finfet device Download PDF

Info

Publication number
TWI337392B
TWI337392B TW093109019A TW93109019A TWI337392B TW I337392 B TWI337392 B TW I337392B TW 093109019 A TW093109019 A TW 093109019A TW 93109019 A TW93109019 A TW 93109019A TW I337392 B TWI337392 B TW I337392B
Authority
TW
Taiwan
Prior art keywords
layer
gate
fin
dielectric layer
forming
Prior art date
Application number
TW093109019A
Other languages
English (en)
Other versions
TW200425425A (en
Inventor
Bin Yu
Haihong Wang
Original Assignee
Advanced Micro Devices Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Micro Devices Inc filed Critical Advanced Micro Devices Inc
Publication of TW200425425A publication Critical patent/TW200425425A/zh
Application granted granted Critical
Publication of TWI337392B publication Critical patent/TWI337392B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78645Thin film transistors, i.e. transistors with a channel being at least partly a thin film with multiple gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/66818Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the channel being thinned after patterning, e.g. sacrificial oxidation on fin

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Thin Film Transistor (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)

Description

1337392 第93_19料利申 玫、發明說明: 【發明所屬之技術領域】 年9月Λ > 本發明係有關於半導體裝置及其製造方法。本發明 別可應用於雙閘極裝置。 【先前技術】 隨著對超大尺寸積體半導體裝置高密度及性能的要求 不斷提高,半導體裳置要求設計特徵,例如像問極長度低 於io〇nm,要求高可靠性及不斷提高的生產率。當設^特 徵縮小至10〇nm以下,這向傳統的方法論發起挑戰。 例如,當傳統的平面型金屬氧化物半導體場效電晶體 (MOSFET)之閘極長度低於1〇〇nm時,這種短通道效應引 起像源極及汲極間的過滲漏電流的問題,變得越來越難克 服。此外,遷移率降低及許多製程方面的問題也使得傳統 MOSFET很難比例化至包含於尺寸不斷減小之裝置特徵。 因此急待研發一種新型裝置結構以改善FET性能及容許裝 置規模更進一步減小。 雙閘極MOSFET描述一種新的結構’該結構已經被認 為是目前平面型M0SFET的替換結構。在雙閘極m〇sfet 内,可使用兩個閘極控制短通道效應。鳍狀場效電晶體 (FinFET)是近來新的雙閘極結構,其有很好的短通道效應 行為。FinFET包括形成於垂直鰭(fin)中之通道。該 結構也可用類似於傳統平面型M〇SFET的佈線及製程技術 製造。 【發明内容】 5 (修正本)92589 山/392 第93109019號專利申請案 (99年9月3曰\ 與本發明相_致的實施例&供,用於形成FinFET裝置 中之閘極及薄化該FinFET裝置中之韓的方法。在通道區 或中„玄鰭可被薄化以縮小在FinF£T裝置之該區域中該鰭 的寬度。 本發明之其他優點及特徵將在如下之描述中部分提 ^ 分本領域具普通技藝者根據如下之範例將進一步部 刀瞭解本七明之優點及特徵,或從本發明的實踐中學習 到。如申請專利範圍特別指出,本發明的優點及特徵可實 現及獲得。 根據本發明’藉由在FinFET装置中形成閘極之方法, 可達到先前優點及其他優點。該方法包括:在絕緣體上覆 矽(silicon on insu丨at〇r,SCM)晶圓之上沉積第一介電層其 力中該5〇1晶圓包括位於絕緣層上之矽層。該方法亦包括在 之/第’丨電層之上形成阻劑遮罩(resist丨別仏);蝕刻 未為該阻劑遮罩所覆蓋之部分之第一介電層及石夕層,以形 成鰭及覆蓋該趙之上表面之介電蓋層。該方法復包括:在 該介電蓋層之上沉積問極層;在該問極層之上沉積第二介 電U刻該問極層及該第二介電層以形成閉極結構;鄰 近該閘極結構形㈣壁隔離物(sidewan spaeef),及在节閉 極結構及該側壁隔離物之上形成第三介電屬。該方法也^ 括.平坦化該第三介電層以露出該第二介電層之上表面. 移除該閉極結構中之第二介電層及開極層;姓刻該結以縮 裝置之通道區域中之缩的寬度;“及沉積閘極 材料以代替該移除之閘極層。 (修正本)92589 6 1337392 第9310卯丨9號專利申請案 , (99年9月3曰) 艮4本發明之另一態蜂,‘提出一種製造半導體裝置 之Z法4方法包括:在絕緣層上形成鰭結構,其中,該 鰭、。構包括導電鰭。該方法亦包括形成源極及汲極區;在 該賴結構上形成閘肖;及移除該閘極以形成凹部區域。該 法復匕括4化該半導體裝置之通道區域中之鰭的寬度及 在該凹部區域中沉積金屬。 本7員域之技術人員將從如下所述中,進一步瞭解本發 明之其他優點及特徵。所示及所描述的實施例係為本發明 之期望的最佳實施例,在任何不悖離本發明之情形下,可 對本發明之各個顯而易見之方面進行修改。因此,附圖僅 本質上的說明本發明,而非用以限定本發明。 【實施方式】 參考附圖對本發明作如下之詳細描述。不同附圖中相 同的參考數字代表相同或相近的元件。如下之詳細描述也 並非限制本發明,相反地,本發明之範圍如申請專利範圍 及其他對等之實施例所定義。 根據本發明相之實施例係提供製造FinFET裝置之方 法。在一實施例中,可在FinFET裝置之閘極區域中形成 虛擬閘極。該虛擬閘極可被移除’且可餘刻該鰭以縮小該 FinFET裝置之通道區域中之鰭的寬度。接著,可沉積導電 材料以形成該閘極。 第1圖說明了根據本發明實施例所形成的半導體裝置 100之剖面圖。參考第1圖,該半導體裝置100可包括絕 緣體上覆矽(SOI)結構,該絕緣體上覆矽結構包括砂基材 (修正本)92589 7 1337392 第93109019號專利申請索 #掩埋氧化物層(bUried 〇xide yyer)】2〇及位於該掩埋 乳化物層】20上之矽層】3〇。該掩埋氧化物層i2〇及矽層 】3 0可用傳統之方式形成於矽基材】】〇上。 —在一典型的實施例中,該掩埋氧化物層1 20可包括厚 度犯圍約】500义到約3_ A之錢化物例如二氧化石夕 (Sl〇2)。該矽層130可包括厚度範圍約200 A到約〗〇〇〇 A 之早晶石夕或多晶石夕。該石夕層13〇用於形成如下詳細描述的 FinFET電晶體裝置中之鰭。 根據本發明另一實施例中,矽基材11 0及矽層1 3〇可 =3其他半導體材料,例如鍺(germanium)或例如矽-鍺之 半導體材料組合物。掩埋氧化物層12〇也可包括其他的介 電材料。 在該矽層1 30上面可形成例如矽氮化物層或矽氧化物 層之介電層140,俾於後續蝕刻處理過程中作為保護蓋 層。在一典型的實施例中,該介電層1 40沉積的厚度範圍 為約100 A到約250 A。接著,可沉積光阻材料及圖案化 邊光阻材料以形成用於後續製程之光阻遮罩150。可用傳 統的方式沉積該光阻劑及對其進行圖案化。 然後,姓刻半導體裝置1 〇〇。在一典型的實施例中, 如第2A圖所示,該矽層1 30可用傳統的方式蝕刻至該掩 埋氧化物層]20結束。參考第2A圖,該介電層14〇及該 石夕層130已被蝕刻以形成鳍210,該鰭2】0由矽組成,在 該鰭2】0上具有做為介電蓋之介電層1 4〇。 該鰭2 1 0形成後,可在鄰近該鰭2 1 〇之兩端形成源區 (修正本)92589 8 1337392 第93109019號專利申請索 、 . (99年9月3日) 及汲區。例如,在一典塑的,實施例中,用傳統的方法可沉 積、圖案化及蝕刻矽層、鍺層或矽鍺結合層以形成源區及 沒區°第2B圖為說明半導體裝置丨00之頂視圖,依照本 發明之典型實施例,該半導體裝置100包括形成於掩埋氧 化物層120上鄰近鰭2 10之源極區22〇及汲極區23〇。該 頂視第2B圖係經定向,以使得第2A圖係為取自沿著第 2B圖中線AA之剖面圖。為簡單起見’第2B圖中未圖示 έ亥光阻遮罩150。 該光阻遮罩]50可被移除及可在該半導體裝置ι〇〇上 形成閘極結構。最初形成於該半導體裝置丨〇〇上之閘極結 構可為“虛擬問極,’(dummy gate),這是因為後來該間極 結構可被移除,其將於下列詳細說明。在一典型的實施例 中,在該_ 210及該介電们40上可沉積閑極層及保護介 電層,且姓刻該間極層及該保護介電層以形成虛擬閉極結 構。第3 A圖係為說明虛擬閘極3〇〇之頂部視圖。第圖 是取自在形成該虛擬開極300後之沿著第3A圖中線之 剖面圖。參考第3B ®,該虛擬閘極3〇〇在該半導體裝置 1〇〇之通道區域中可包括厚度範圍約則么到約剛〇 a及 寬度範圍約50A到約500入之屬於多晶石夕層或非晶石夕層之 石夕層3i0。該虛擬閘極3⑻也可包括介電層32(), 320包含例如厚度範圍約1〇〇人到約3〇〇a之石夕氮化物包。 該介電層320作為該矽層3丨〇之保護蓋層。 接著,沉積介電層及钱刻該介電層以形成如第4圖所 不之隔離物410,該隔離物41〇鄰接該虛擬閘極_之相 (修正本)92589 9 1337392 第93109019號專利申請案 • (99年9月3曰) 對側。該隔離物41〇可包含矽氧化物(例如si〇2)或其他介 電材料。在—典型實施例中’該隔離物4 1 0寬度可在約50 A到約1 000 A之範圍。在其後的處理過程中,該隔離物 4 1 〇可保護其下面的鰭2 1 〇及使對源極/汲極區220及230 的摻雜變得容易。 如第5A圖所示,可在該源極/汲極區22〇及23〇上沉 積金屬層510。在一典型的實施例中,該金屬層51〇可包 括鎳(nickel)、鈷(c〇balt)、或其他金屬,且該金屬層51〇 可被沉積至厚度約50 A到約200 A之範圍。如第5B圖所 不’對該金屬層510進行熱退火處理以形成金屬矽化物層 5 20。在退火處理時,基於沉積特殊的金屬層5丨〇,該金屬 可與源極/汲極區220及230内之矽發生反應,而生成金屬 矽化物化合物’諸如矽化鎳(NiSi)或矽化鈷(CoSi2)。 接著,介電層610沉積在該半導體裝置1〇〇上。在一 典型的實施例中’該介電層610可包括原矽酸四乙酿 (TE0S)化合物及可被沉積至厚度約2〇〇〇 A到3〇〇〇 Λ之範 圍。在可替換實施例中,亦可使用其他的介電材料。接著, 將泫介電層6 1 〇平坦化。如第7 Α圖所示,利用化學機械 拋光(chemical-mechanical p〇iishing,CMp)對該介電層 61〇 拋光至與該介電層320之上表面共平面並外露出該介電詹 320之上表面。接著如第7B圖所示,利用例如濕式蝕刻方 法移除該介電層320。在一典型的實施例中,該濕蝕刻可 使用例如磷酸(HJO4)之酸移除該介電層32〇。在移除該介 電層320過程中,如第7B圖所示該隔離物4】〇及介電層 (修正本)92589 10 1337392 第93109019波專利申請案 , (99 年 9 月 3 “、 1〇之上部分也將被移除’·以使得該矽層31〇上表面與該 隔離物410及介電層610之上表面共平面。 接著如第8圖所示,將該矽層31〇移除。例如’該矽 層3 1 〇可用反應物進行蝕刻,該反應物對多晶矽具有高蝕 刻選擇性。這使得在移除該%層31〇時,不移除任周S介 電層之任一有效部分,例如隔離物41〇及介電層丨4〇。在 該矽層310移除後,如第8圖所示形成間極開口或間極凹 部(gate recess)8丨0。換言之,閘極形狀的空間,稱為閘極 凹部810,可以周圍環繞介電層6〗〇之方式形成。? 在該閘極凹部8 1 0形成後,該矽鰭之側表面可暴露於 該半導體裝置100之通道區域内。接著可蝕刻該鰭以 縮小該通道區域中之,_ 2H)的寬度。例如可用難刻以縮 小該通道區域中之銘21G之寬度。未在通道區域及源極/ 汲極區220及230内的部分之鰭210係被介電層61〇所覆 蛊。當對鰭2 1 〇之所要求部分進行薄化時,該介電層6⑺ 保護該半導體裝置1 〇〇之那些不要被薄化之部分不被蝕 刻。 第9圖係為蝕刻後之半導體裝置之頂部視圖。參考第 9圖,δ玄虛線έ兒明該通道區域中之韩的薄化部分。在 並 型的實施例中,該鳍210的全部寬度由於蝕刻關係可縮/小 約20nm到1 〇〇nm。在本發明之一典型實施例中,於蝕刻 後,該通道區内之鰭2 10寬度,在圖9中以w標示,該 W的值在約30 Λ到約500 A之範圍。需了解,該轉2 j 〇 之I度可依A?'特殊裝置要求及其它例如閘極長度之來數而 η (修正本)925的 1337392 第93丨09019號專利申請案 ^ . (99年9月3曰) 定。第圖之問極凹部8 10說明虛裸閘極3〇〇移除後之問 極四部。為簡單起見’該介電層61〇及側壁隔離物4ι〇在 第9圖中未圖示。 薄化該通道區域中之鰭的寬度有利於該半導體裝置 1 00很好的控制短通道效應。例如,在某些實施例中,可 要求該鰭210寬度小於該閘極長度,例如小於閘極長度之 一半。使用傳統的微影技術(lith〇graphy)很難達到這樣的 參數。換言之,如上關於第丨圖及第2A圖所述之形成鰭 2 1 0之方法很難取得所要求的小寬度的矽鰭。本發明利用 前述方式形成該鰭21 〇及該虛擬閘極3〇〇,接著移除該虛 擬閘極及4化該鰭。其導致所要求之狹窄鰭,同時可避免 因試圖獲得該薄鰭而單獨利用微影技術所致之製程難度。 此外,因為可用濕蝕刻方法薄化該鰭2丨0,該鰭2! 〇 之側表面可比那些單獨利用微影技術取得的鰭之側表面更 加光滑且均勻。鰭2 1 〇之光滑的側表面可提高半導體裝置 100之垂直方向通道之載子移動率。 接著如第1 0A圖所示,可沉積金屬層i 〇丨〇以填充該 閘極凹部8 1 0。圖1 〇a係為取自沿第9圖中線CC之剖面 圖。該金屬材料可包含鎢(W)、鉅(丁a)、鈦(Ti)、鎳(Ni)、 氮石夕化组(TaSiN)、氬化钽(TaN)或其他金屬材料,該金屬 材料可沉積至厚度約200 A到約1 〇〇〇 A之範圍。半導體材 料’例如像矽或鍺也可作為閘極材料。如第丨〇 A圖所述, s玄金屬層】〇丨〇可經拋光使得該金屬層與該隔離物4 1 〇上表 面共平面。第1 〇 A圖中的虛線說明該鰭2 1 〇之通道區域。 12 (修正本)92589 1337392 第93109019號專利申請案 ^ Λ , (99 年 9 月 3 曰) 第丨〇Β圓係為說明該禽屬層w1〇沉積及平面化之後 與本發明相-致之半導體裝i ]〇〇之頂部視圖。如圖所 丁 3半‘體裝置1 00包括於鰭2 1 0兩側沉積有金屬層 1 〇丨〇以做為閘極之雙閘極結構。第1 〇B圖的陰影區域描繪 形成於該源極/汲極區220及230上之金屬矽化物層520。 該金屬層】010可包括閘極電極或接觸(c〇ntact”在第 圖中顯示為閘極電極m2,該問極電極1G12形成於該金 屬層1010之一端。此外,第二閘極電極/接觸可形成於金 屬層1 0 1 0之相對端上。 接著’可對該源極/汲極區22〇及230進行摻雜。例如, 可對該源極/汲極區220及230進行n型或p型雜質植入。 特定的植入劑量及能量可根據裝置特定的使用要求進行選 擇。為了不過度的模糊本發明之重點,該領域的普通技藝 者可根據電路要求及這裡未揭露之步驟使該源極/汲極區 220及230植入最佳化。藉由防護該通道區内部分之鰭21〇 不被植入雜質,隔離物4 1 0可幫助控制該源極/没極區連接 位置°接著可進行活化退火(activati〇ri anneaHng)以使該源 極/汲極區220及230活化。 如第10B圖所示結果的半導體裝置1 〇〇係為具有在鰭 2】0上延伸金屬層]〇1〇之雙閘極裝置。在某些與本發明相 一致之實施例中’如第10A圖所示之半導體震置1〇〇,可 通過例如CMP移除鰭2 1 0上方之部分金屬層! 〇丨〇而平坦 化。在該實施例中’電性及實體上(physically)分離的閘極 可形成於該鰭2 1 0之任一側上《在該半導體裝置作用時, 13 (修正本)92589 1337392
廷種閘極可被分別施加偏壓。 曰 因此,根據本發明所形成之雙閘極FinFET裝置,該 裝置具有位於該FinFET裝置之通道區域中的薄鰭。該最 終結構顯示报好的短溝道行為。此外,該金屬閘極減少了 問極電阻及避免與多晶矽閘極有關的多晶矽空乏(P〇ly deplet10n)問題。本發明也可很容易地結合到傳統的半導體 製造處理中。 其他典型實施例 在本發明的其他實施例中,可形成全方位閘極 (gatenar0und)金屬氧化物半導體場效電晶體 (MOSFET)。例如,第11A圖顯示FinFET裝置(續狀場效 電晶體裝置)1100之剖面圖,該FinFET裝置π〇〇包括形 成於基材(未圖示)上之掩埋氧化物層】丨】〇 ’在該掩埋氧化 物層1110上形成鰭丨〗20。如第η Β圖所示,可利用乾蝕 刻方法蝕刻部分之掩埋氧化物層ln〇。在蝕刻時,位於該 鰭1 1 20下方之部分之掩埋氧化物層丨η 〇可被移除。換言 之,該蝕刻可側向底切(丨atera】丨y undercut)位於鰭i 12〇下 方之部分之掩埋氧化物層丨11〇,係如第nB圖所示之區域 如第1 1C圖所示,可利用例如濕蝕刻之第二蝕刻來加 以蝕刻位於该鰭〗1 2 〇下方之剩餘部分之掩埋氧化物層 π ίο。該濕蝕刻可側向底切位於該鰭】12()下方之剩餘部分 之掩埋氧化物層Π〗〇, 區域中之掩埋氧化物層 使e玄,鰭丨】2 0有效地懸掛在該通道 1 Π 〇上面。但是,該鰭1 I 20與形 (修正本)92589 14 1337392 第93109019號專利申請案 • (99年9月3曰) 成於該掩埋氧化物層Π10上之韓n20之其它部分保持連 接及與該源極及汲極區保持連接(未圖示)。 接著如第1 1 D圖所不,閘極氧化物層〗〗4〇可形成於 4鰭1 1 20之外露表面。接著可沉積閘極層丨1 5〇於該鰭 1120上。該閘極層】150可圍繞該FinFE丁裝置]]〇〇之通 道區域中之鰭丨12〇周圍。該作為結果的丁裝置1 係為全方位閘極FinFET,其於FinFET裝置11〇〇之通道區 域中具有周圍圍繞有閘極材料之韩。 為了全面了解本發明,在先前的描述中,眾多的特殊 ::細節被闡明’例如像特定的㈣、結構、化學品及方法 荨…、'而,不採取這裡提出的特殊的細節,本發明也可被 貫蹺在其他的例子中,為了不模糊本發明之重點,眾所 周知的製程結構並未被詳細描述。 根據本發明所製造之半導體裝置所使用的介電層及導 電層可用傳統的沉積技術沉積。例如金屬化技術 (metalhzation technique),諸如各種形式的化學氣相沉積 (CVD)方法,該CVD方法包括低壓CVD(LPCVD)及增強的 CVD(ECVD)可加以實施。 本發明適用於製造雙閘極半導體裝置,尤其適用於設 •十特徵等於及低於】00nm之FinFET裝置。本發明適用於 任何不同類型的半導體裝置的形成,且因此為了避免模糊 本發明之重點,細節未被闡明。於實施本發明中,可應用 習知光微影及蝕刻技術’且因而該技術並未於此詳細說 月此外,當說明一系列用於形成第1 〇B圖所示的半導體 15 (修正本)92589
I I 裝 中 ’在與本發?月相一致之其他實施例 變。 置之方法時,需了解 ’該方法的次序可改 此外,除非在此明確說明 無元件、動作或指令應透構成 並且,這裡所使用的“一(a),, 件。在僅意指一個元件的地方 似的術語將被使用。 第93109019號專利申請案 (卯年9月3日) ’否則在本應用之描述中並 本發明之關鍵或基本部分。 意指包括一個或更多個的元 ’ έ玄名稱“一個(〇ne)”或類 在本實苑方式中,僅顯示及描述本發明之較佳的實施 V數與其夕功忐性有關之範例。須了解本發明可使用 其他不同實施例的結合中及不同的環境中,纟且在這裡 斤表不的本發明之概念之範圍内,可進行修改。 【圖式簡單說明】 _ 考附圖’其中有同-參考數字指示的元件可表 不所有附圖中的相似的元件。 第]圖係為說明根據本發明之實施例可用於形成鰭之 典型層之剖面圖; 第2Α圖係為說明根據本發明之實施例所形成之鰭之 剖面圖; .第2Β圖h為說明根據本發明之實施例之第2α圖中的 半導體裝置之頂部視圖; 第3 A圖係為說明根據本發明之實施例形成閘極結構 之頂部視圖: /第3 B圖係為說明根據本發明之實施例之第3 A圖中所 形成閘極之剖面圖; 16 (修正本)92589 1337392 卜 第93丨_(》粵利申請案 第4圖係為說明根據本發明之實施例形成鄰近月 結構^側壁隔離物(sidewal] spacer)之剖面圖;… 第5 A圖及第5B圖係為說明根據本發明之實施例 方、第4圖之裝置之金屬矽化物之剖面圖; 第6圖係為說明根據本發明之實施例形成於第$ 示之裝置上之介電層之剖面圖; θ 第7Α圖係為說明根據本發明之實施例平坦化第6 所示之裝置上之介電層之剖面圖; 第7Β圖係為說明根據本發明之實施例移除部分之虛 擬閘極結構之剖面圖; 第8圖係為說明根據本發明之實施例移除另—部分之 虛擬閘極結構之剖面圖; 第9圖係為說明根據本發明之實施例薄化通道區域中 韩片之剖面圖; 第〗0Α圖係為說明根據本發明之實施例形成閘極之 面圖; σ 第1 OB圖係為說明根據本發明之實施例第1〇Α圓所示 之半導體裝置之頂部視圖; 第〗丨Α圖至第】1 D圖係為說明根據本發明之另一實施 例之全方位閘極(gate_al】_ar〇und)結構剖面圖。 【主要元件符號說明】 100 半導體裝置 1 10 矽基材 120 掩埋氧化物層 】30 矽層 ]40 介電層 ]50 光阻遮罩 (修正本)92589 17 鳍 220 $及極區 300 δ夕層 320 隔離物 510 金屬矽化物層 610 閘極凹部 1010 閘極電極 1100 掩埋氧化物層 1120 區域 1140 閘極層 第93109019號專利申請案 (99年9月3曰) 源極區 虛擬閘極 介電層 金屬層 介電層 金屬層
FinFET裝置 閘極氧化物層 18 (修正本>92589

Claims (1)

1337392 • 第93109019號專利申請案 拾、申請專利範圍:, (99 + M3S) 1. 一種在鰭狀場效電晶體(FinFET)裝置(1〇〇)中形成閘極 之方法,包含: 在絕緣體上覆矽(SOI)晶圓之上沉積第一介電層 (140),該S0I晶圓包含位於絕緣層(12〇)上之矽層 在部分之該第一介電層(140)之上形成阻劑遮罩 (150); 姓刻未為該阻劑遮罩(1 50)所覆蓋的部分之該第一 介電層(140)及矽層(13〇),以形成鰭(2丨〇),該第一介電 層(140)係做為介電蓋而覆蓋該鰭(21〇)之上表面; 在該第一介電層(140)之上沉積閘極層(310); 在該間極層(3 10)之上沉積第二介電層(320); 蝕刻該閘極層(3 10)及第二介電層(320)以形成閘極 結構(300); 形成鄰近該閘極結構(3〇〇)之側壁隔離物(4 1 〇); 在該韩狀場效電晶體裝置(〗00)之上形成第三介電 層(610); 平坦化該第三介電層(6 1 0)以露出該第二介電層 (3 2 0)的上表面; 移除該閘極結構中之該第二介電層2〇)及該閘極 層(310); 敍刻該鰭(2 1 〇)以縮小該鰭狀場效電晶體裝置(丨〇〇) 之通道區域中之該鰭(2 1 0)的寬度;以及 沉積間極材料(1010)以取代該移除的閘極層(310)。 19 (修正本)92589 第93109019號專利申請案 2. 如申請專利範圍第1項之方 $ h人 (99年M 3曰) $之方法’復包含:平坦化該閘極 材料(1〇1〇)以使該閣極材料(1〇1〇)與該側壁隔離物(41〇) 的上表面實質上共平面。 3. 如申請專利範圍第】項之方法,其中,㈣該銘(21〇) 以縮小該通道區域中之該鰭(2丨〇)的寬度之量為約2〇nm 到約100nm之範圍。 4. 如申請專利範圍第1項之方法,復包含: 在該絕緣層(120)上鄰近該鰭(2丨〇)的第一端形成源 極區(2 2 0) ·» 在該絕緣層(120)上鄰近該鰭(21〇)的第二端形成汲 極區(230); 在該源極及汲極區(220/230)之上沉積金屬(5 10); 以及 對該半導體裝置(1 〇〇)進行退火,以在該源極及汲 極區(220/230)之上形成金屬矽化物化合物(52〇)。 5. 如申請專利範圍第1項之方法,其中’該閘極層(3丨〇) 的移除包括: 利用蝕刻化學作用蝕刻該閘極層(3 1 0),該蝕刻化 學作用相對於該第一介電層(丨40)及該側壁隔離物(4 1 0) 而對該閘極層(3 1 0)具有高蝕刻選擇性。 6. 如申請專利範圍第1項之方法,其中,該閘極材料(丨〇丨〇) 的沉積包含: 沉積鎢(W)、鈦(Ti)、鎳(Ni)、氮化鈕(TaN)及氮矽 化组(TaSiN)之至少其中一者。 20 (修正本)92589 1337392 • 第93109019號專利申請案 (99年9月3曰) 7. —種製造半導體裝置(1 〇〇)之方法,係包含: 在絕緣層(120)上形成鰭(210),該鰭(2 10)包含導電 趙,形成源極及汲極區(22〇/23〇);及在該鰭(21〇)之上 形成閘極(300),該方法之特徵在於: 移除該閘極(3 0 〇)以產生凹部區域(8 1 〇); 薄化該半導體裝置(】〇〇)之通道區域中之該鰭(210) 的寬度;以及 在該凹部區域(8 1 〇)中沉積金屬(1 〇 1 〇)。 8. 如申請專利範圍第7項之方法,復包含:平坦化該金屬 (1 〇1〇)以形成至少一個閘極電極(1012)。 9. 如申請專利範圍第7項之方法,其中,該鰭(2 1 〇)的寬 度的薄化包含濕蝕刻該鰭(2〗〇),該方法復包含: 在該源極及汲極區(220/230)之上沉積金屬(5 1 〇); 以及 對該半導體裝置(1 00)進行退火,以在該源極及汲 極區(220/230)之上形成金屬矽化物化合物(52〇)。 10. 如申請專利範圍第7項之方法,其中,該金屬(1〇1〇)包 含鎢、鈦、鎳及钽之至少其中一者,且該鰭(2 1 〇)的寬 度的薄化包含將該鰭(2 1〇)的寬度縮小量為2〇nm到 1 OOnm之範圍。 21 (修正本)92589 1337392 ' 4 第93109019號專利申請案 ’ (99年9月3日) 柒、指定代表圖: (一)本案指定代表圖為:第(10A、圖。 — (二)本代表圖之元件代表符號簡單說明: 110 $夕基材 120 掩埋氧化物層 210 雜 220 源極區 230 >及極區 410 隔離物 610 介電層 1010 閘極 . 捌、本案若有化學式時,請揭示最能顯示發明特徵的化學式: 4 (修正本)92589
TW093109019A 2003-04-03 2004-04-01 Method for forming a gate in a finfet device and thinning a fin in a channel region of the finfet device TWI337392B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/405,342 US6764884B1 (en) 2003-04-03 2003-04-03 Method for forming a gate in a FinFET device and thinning a fin in a channel region of the FinFET device

Publications (2)

Publication Number Publication Date
TW200425425A TW200425425A (en) 2004-11-16
TWI337392B true TWI337392B (en) 2011-02-11

Family

ID=32681856

Family Applications (1)

Application Number Title Priority Date Filing Date
TW093109019A TWI337392B (en) 2003-04-03 2004-04-01 Method for forming a gate in a finfet device and thinning a fin in a channel region of the finfet device

Country Status (8)

Country Link
US (1) US6764884B1 (zh)
JP (1) JP5409997B2 (zh)
KR (1) KR101079348B1 (zh)
CN (1) CN100413039C (zh)
DE (1) DE112004000578B4 (zh)
GB (1) GB2417134B (zh)
TW (1) TWI337392B (zh)
WO (1) WO2004093181A1 (zh)

Families Citing this family (116)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7358121B2 (en) * 2002-08-23 2008-04-15 Intel Corporation Tri-gate devices and methods of fabrication
US6686231B1 (en) * 2002-12-06 2004-02-03 Advanced Micro Devices, Inc. Damascene gate process with sacrificial oxide in semiconductor devices
US6909151B2 (en) 2003-06-27 2005-06-21 Intel Corporation Nonplanar device with stress incorporation layer and method of fabrication
US7456476B2 (en) * 2003-06-27 2008-11-25 Intel Corporation Nonplanar semiconductor device with partially or fully wrapped around gate electrode and methods of fabrication
KR100517559B1 (ko) * 2003-06-27 2005-09-28 삼성전자주식회사 핀 전계효과 트랜지스터 및 그의 핀 형성방법
KR100487567B1 (ko) * 2003-07-24 2005-05-03 삼성전자주식회사 핀 전계효과 트랜지스터 형성 방법
US6960804B1 (en) * 2003-08-04 2005-11-01 Hussman Corporation Semiconductor device having a gate structure surrounding a fin
US7172943B2 (en) * 2003-08-13 2007-02-06 Taiwan Semiconductor Manufacturing Company, Ltd. Multiple-gate transistors formed on bulk substrates
US6861317B1 (en) * 2003-09-17 2005-03-01 Chartered Semiconductor Manufacturing Ltd. Method of making direct contact on gate by using dielectric stop layer
US7863674B2 (en) * 2003-09-24 2011-01-04 Taiwan Semiconductor Manufacturing Company, Ltd. Multiple-gate transistors formed on bulk substrates
US6995438B1 (en) * 2003-10-01 2006-02-07 Advanced Micro Devices, Inc. Semiconductor device with fully silicided source/drain and damascence metal gate
US6855588B1 (en) * 2003-10-07 2005-02-15 United Microelectronics Corp. Method of fabricating a double gate MOSFET device
US7029958B2 (en) * 2003-11-04 2006-04-18 Advanced Micro Devices, Inc. Self aligned damascene gate
US6967175B1 (en) 2003-12-04 2005-11-22 Advanced Micro Devices, Inc. Damascene gate semiconductor processing with local thinning of channel region
US7064022B1 (en) * 2003-12-08 2006-06-20 Advanced Micro Devices, Inc. Method of forming merged FET inverter/logic gate
US7624192B2 (en) * 2003-12-30 2009-11-24 Microsoft Corporation Framework for user interaction with multiple network devices
US7105390B2 (en) * 2003-12-30 2006-09-12 Intel Corporation Nonplanar transistors with metal gate electrodes
US7041542B2 (en) * 2004-01-12 2006-05-09 Advanced Micro Devices, Inc. Damascene tri-gate FinFET
US6936516B1 (en) * 2004-01-12 2005-08-30 Advanced Micro Devices, Inc. Replacement gate strained silicon finFET process
US7186599B2 (en) * 2004-01-12 2007-03-06 Advanced Micro Devices, Inc. Narrow-body damascene tri-gate FinFET
US7268058B2 (en) * 2004-01-16 2007-09-11 Intel Corporation Tri-gate transistors and methods to fabricate same
KR100598099B1 (ko) * 2004-02-24 2006-07-07 삼성전자주식회사 다마신 게이트를 갖는 수직 채널 핀 전계효과 트랜지스터 및 그 제조방법
US6888181B1 (en) * 2004-03-18 2005-05-03 United Microelectronics Corp. Triple gate device having strained-silicon channel
US7154118B2 (en) * 2004-03-31 2006-12-26 Intel Corporation Bulk non-planar transistor having strained enhanced mobility and methods of fabrication
US7122412B2 (en) * 2004-04-30 2006-10-17 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating a necked FINFET device
US7084018B1 (en) 2004-05-05 2006-08-01 Advanced Micro Devices, Inc. Sacrificial oxide for minimizing box undercut in damascene FinFET
US7319252B2 (en) * 2004-06-28 2008-01-15 Intel Corporation Methods for forming semiconductor wires and resulting devices
US7042009B2 (en) * 2004-06-30 2006-05-09 Intel Corporation High mobility tri-gate devices and methods of fabrication
US7348284B2 (en) * 2004-08-10 2008-03-25 Intel Corporation Non-planar pMOS structure with a strained channel region and an integrated strained CMOS flow
US7071064B2 (en) * 2004-09-23 2006-07-04 Intel Corporation U-gate transistors and methods of fabrication
US7422946B2 (en) 2004-09-29 2008-09-09 Intel Corporation Independently accessed double-gate and tri-gate transistors in same process flow
US7332439B2 (en) 2004-09-29 2008-02-19 Intel Corporation Metal gate transistors with epitaxial source and drain regions
US7361958B2 (en) * 2004-09-30 2008-04-22 Intel Corporation Nonplanar transistors with metal gate electrodes
US20060086977A1 (en) 2004-10-25 2006-04-27 Uday Shah Nonplanar device with thinned lower body portion and method of fabrication
KR100678456B1 (ko) 2004-12-03 2007-02-02 삼성전자주식회사 리세스드 채널을 갖는 핀구조의 모스 트랜지스터 및 그제조방법
US7193279B2 (en) * 2005-01-18 2007-03-20 Intel Corporation Non-planar MOS structure with a strained channel region
US7518196B2 (en) 2005-02-23 2009-04-14 Intel Corporation Field effect transistor with narrow bandgap source and drain regions and method of fabrication
US20060197129A1 (en) * 2005-03-03 2006-09-07 Triquint Semiconductor, Inc. Buried and bulk channel finFET and method of making the same
US20060202266A1 (en) 2005-03-14 2006-09-14 Marko Radosavljevic Field effect transistor with metal source/drain regions
US7858481B2 (en) * 2005-06-15 2010-12-28 Intel Corporation Method for fabricating transistor with thinned channel
US7411252B2 (en) * 2005-06-21 2008-08-12 International Business Machines Corporation Substrate backgate for trigate FET
US7547637B2 (en) 2005-06-21 2009-06-16 Intel Corporation Methods for patterning a semiconductor film
US7279375B2 (en) * 2005-06-30 2007-10-09 Intel Corporation Block contact architectures for nanoscale channel transistors
US7348642B2 (en) * 2005-08-03 2008-03-25 International Business Machines Corporation Fin-type field effect transistor
US7402875B2 (en) * 2005-08-17 2008-07-22 Intel Corporation Lateral undercut of metal gate in SOI device
US7479421B2 (en) 2005-09-28 2009-01-20 Intel Corporation Process for integrating planar and non-planar CMOS transistors on a bulk substrate and article made thereby
US20070090416A1 (en) 2005-09-28 2007-04-26 Doyle Brian S CMOS devices with a single work function gate electrode and method of fabrication
US7485503B2 (en) 2005-11-30 2009-02-03 Intel Corporation Dielectric interface for group III-V semiconductor device
US7396711B2 (en) * 2005-12-27 2008-07-08 Intel Corporation Method of fabricating a multi-cornered film
KR100668511B1 (ko) 2005-12-27 2007-01-12 주식회사 하이닉스반도체 핀 트랜지스터 및 그 제조 방법
US20070152266A1 (en) * 2005-12-29 2007-07-05 Intel Corporation Method and structure for reducing the external resistance of a three-dimensional transistor through use of epitaxial layers
JP4552908B2 (ja) * 2006-07-26 2010-09-29 エルピーダメモリ株式会社 半導体装置の製造方法
US8143646B2 (en) 2006-08-02 2012-03-27 Intel Corporation Stacking fault and twin blocking barrier for integrating III-V on Si
JP2010500559A (ja) * 2006-08-11 2010-01-07 エージェンシー フォー サイエンス,テクノロジー アンド リサーチ ナノワイヤセンサ、ナノワイヤセンサアレイ、及び当該センサ及びセンサアレイを形成する方法
JP2008117838A (ja) * 2006-11-01 2008-05-22 Elpida Memory Inc 半導体装置及びその製造方法
US7829407B2 (en) * 2006-11-20 2010-11-09 International Business Machines Corporation Method of fabricating a stressed MOSFET by bending SOI region
WO2008072164A1 (en) * 2006-12-15 2008-06-19 Nxp B.V. Transistor device and method of manufacturing such a transistor device
US7691690B2 (en) * 2007-01-12 2010-04-06 International Business Machines Corporation Methods for forming dual fully silicided gates over fins of FinFet devices
JP2008172082A (ja) * 2007-01-12 2008-07-24 Toshiba Corp 半導体装置及び半導体装置の製造方法
US7960234B2 (en) * 2007-03-22 2011-06-14 Texas Instruments Incorporated Multiple-gate MOSFET device and associated manufacturing methods
US7923337B2 (en) 2007-06-20 2011-04-12 International Business Machines Corporation Fin field effect transistor devices with self-aligned source and drain regions
US9484435B2 (en) * 2007-12-19 2016-11-01 Texas Instruments Incorporated MOS transistor with varying channel width
US8022487B2 (en) * 2008-04-29 2011-09-20 Intel Corporation Increasing body dopant uniformity in multi-gate transistor devices
US8362566B2 (en) 2008-06-23 2013-01-29 Intel Corporation Stress in trigate devices using complimentary gate fill materials
JP5391688B2 (ja) * 2008-12-26 2014-01-15 富士通セミコンダクター株式会社 半導体装置の製造方法と半導体装置
US8609495B2 (en) 2010-04-08 2013-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid gate process for fabricating finfet device
US8889494B2 (en) 2010-12-29 2014-11-18 Globalfoundries Singapore Pte. Ltd. Finfet
JP5325932B2 (ja) * 2011-05-27 2013-10-23 株式会社東芝 半導体装置およびその製造方法
TWI636526B (zh) * 2011-06-21 2018-09-21 鈺創科技股份有限公司 動態記憶體結構
US8492206B2 (en) 2011-08-22 2013-07-23 Institute of Microelectronics, Chinese Academy of Sciences Semiconductor device structure and method for manufacturing the same
CN102956483B (zh) * 2011-08-22 2015-06-03 中国科学院微电子研究所 半导体器件结构及其制作方法
CN103035517B (zh) * 2011-09-29 2017-07-04 联华电子股份有限公司 半导体制作工艺
CN103187290B (zh) * 2011-12-31 2015-10-21 中芯国际集成电路制造(北京)有限公司 鳍片式场效应晶体管及其制造方法
KR101876793B1 (ko) * 2012-02-27 2018-07-11 삼성전자주식회사 전계효과 트랜지스터 및 그 제조 방법
US9252237B2 (en) * 2012-05-09 2016-02-02 Taiwan Semiconductor Manufacturing Company, Ltd. Transistors, semiconductor devices, and methods of manufacture thereof
CN103681331B (zh) * 2012-09-10 2016-06-29 中芯国际集成电路制造(上海)有限公司 鳍式场效应管及其形成方法
CN103811340B (zh) 2012-11-09 2017-07-14 中国科学院微电子研究所 半导体器件及其制造方法
US8716094B1 (en) 2012-11-21 2014-05-06 Global Foundries Inc. FinFET formation using double patterning memorization
CN103839814B (zh) * 2012-11-21 2016-12-21 中芯国际集成电路制造(上海)有限公司 鳍式场效应晶体管的形成方法
US8890262B2 (en) 2012-11-29 2014-11-18 Globalfoundries Inc. Semiconductor device having a metal gate recess
CN103928332B (zh) * 2013-01-11 2016-08-31 中芯国际集成电路制造(上海)有限公司 晶体管及其形成方法
CN103928334B (zh) * 2013-01-15 2017-06-16 中国科学院微电子研究所 半导体器件及其制造方法
US8895444B2 (en) * 2013-03-13 2014-11-25 Globalfoundries Inc. Hard mask removal during FinFET formation
JP2014220387A (ja) 2013-05-08 2014-11-20 東京エレクトロン株式会社 プラズマエッチング方法
US9263554B2 (en) 2013-06-04 2016-02-16 International Business Machines Corporation Localized fin width scaling using a hydrogen anneal
EP4044249A1 (en) * 2013-09-27 2022-08-17 Intel Corporation Low leakage non-planar access transistor for embedded dynamic random access memeory (edram)
CN104576380B (zh) * 2013-10-13 2017-09-15 中国科学院微电子研究所 一种finfet制造方法
CN104576386B (zh) * 2013-10-14 2018-01-12 中国科学院微电子研究所 一种FinFET及其制造方法
CN104576385A (zh) * 2013-10-14 2015-04-29 中国科学院微电子研究所 一种FinFET结构及其制造方法
US9502408B2 (en) * 2013-11-14 2016-11-22 Globalfoundries Inc. FinFET device including fins having a smaller thickness in a channel region, and a method of manufacturing same
KR20150058597A (ko) 2013-11-18 2015-05-29 삼성전자주식회사 반도체 장치 및 그 제조 방법
US9711645B2 (en) 2013-12-26 2017-07-18 International Business Machines Corporation Method and structure for multigate FinFET device epi-extension junction control by hydrogen treatment
US9564445B2 (en) 2014-01-20 2017-02-07 International Business Machines Corporation Dummy gate structure for electrical isolation of a fin DRAM
US9773869B2 (en) 2014-03-12 2017-09-26 Samsung Electronics Co., Ltd. Semiconductor device and method of fabricating the same
CN105097524B (zh) * 2014-05-04 2018-11-16 中芯国际集成电路制造(上海)有限公司 Mos晶体管的形成方法和cmos晶体管的形成方法
KR102158962B1 (ko) 2014-05-08 2020-09-24 삼성전자 주식회사 반도체 장치 및 그 제조 방법
US9112032B1 (en) * 2014-06-16 2015-08-18 Globalfoundries Inc. Methods of forming replacement gate structures on semiconductor devices
CN105336615B (zh) * 2014-07-08 2018-06-01 中芯国际集成电路制造(上海)有限公司 鳍式场效应晶体管的形成方法
KR102197402B1 (ko) 2014-10-14 2020-12-31 삼성전자주식회사 반도체 장치 제조 방법
US20160181249A1 (en) * 2014-12-17 2016-06-23 International Business Machines Corporation Semiconductor structures with deep trench capacitor and methods of manufacture
KR20170096106A (ko) * 2014-12-23 2017-08-23 인텔 코포레이션 와이드 서브핀 상의 얇은 채널 영역
US9679917B2 (en) 2014-12-23 2017-06-13 International Business Machines Corporation Semiconductor structures with deep trench capacitor and methods of manufacture
KR102274750B1 (ko) * 2015-01-27 2021-07-07 삼성전자주식회사 반도체 장치 제조 방법
US10164120B2 (en) 2015-05-28 2018-12-25 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor device
US9627378B2 (en) * 2015-06-30 2017-04-18 International Business Machines Corporation Methods of forming FINFETs with locally thinned channels from fins having in-situ doped epitaxial cladding
US9786765B2 (en) * 2016-02-16 2017-10-10 Globalfoundries Inc. FINFET having notched fins and method of forming same
US10707331B2 (en) 2017-04-28 2020-07-07 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device with a reduced width
US10367086B2 (en) 2017-06-14 2019-07-30 Hrl Laboratories, Llc Lateral fin static induction transistor
US10276718B2 (en) * 2017-08-31 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET having a relaxation prevention anchor
EP4220719A3 (en) 2017-11-30 2023-08-16 INTEL Corporation Fin patterning for advanced integrated circuit structure fabrication
DE102018126911A1 (de) 2017-11-30 2019-06-06 Intel Corporation Gate-Schnitt und Finnentrimmisolation für fortschrittliche Integrierter-Schaltkreis-Struktur-Fertigung
US10559661B2 (en) * 2017-12-01 2020-02-11 Nanya Technology Corporation Transistor device and semiconductor layout structure including asymmetrical channel region
US10879125B2 (en) * 2018-12-27 2020-12-29 Nanya Technology Corporation FinFET structure and method of manufacturing the same
US11069714B1 (en) * 2019-12-31 2021-07-20 Taiwan Semiconductor Manufacturing Company Ltd. Boundary scheme for semiconductor integrated circuit and method for forming an integrated circuit
EP4136679A4 (en) 2020-04-17 2024-01-17 HRL Laboratories LLC VERTICAL DIAMOND MOSFET AND METHOD FOR PRODUCING SAME
US12069862B2 (en) * 2021-07-23 2024-08-20 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor dies including low and high workfunction semiconductor devices

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6225173B1 (en) * 1998-11-06 2001-05-01 Advanced Micro Devices, Inc. Recessed channel structure for manufacturing shallow source/drain extensions
US6365465B1 (en) * 1999-03-19 2002-04-02 International Business Machines Corporation Self-aligned double-gate MOSFET by selective epitaxy and silicon wafer bonding techniques
US6483156B1 (en) * 2000-03-16 2002-11-19 International Business Machines Corporation Double planar gated SOI MOSFET structure
JP4058751B2 (ja) * 2000-06-20 2008-03-12 日本電気株式会社 電界効果型トランジスタの製造方法
US6413802B1 (en) * 2000-10-23 2002-07-02 The Regents Of The University Of California Finfet transistor structures having a double gate channel extending vertically from a substrate and methods of manufacture
US6472258B1 (en) * 2000-11-13 2002-10-29 International Business Machines Corporation Double gate trench transistor
US6475869B1 (en) * 2001-02-26 2002-11-05 Advanced Micro Devices, Inc. Method of forming a double gate transistor having an epitaxial silicon/germanium channel region
FR2822293B1 (fr) * 2001-03-13 2007-03-23 Nat Inst Of Advanced Ind Scien Transistor a effet de champ et double grille, circuit integre comportant ce transistor, et procede de fabrication de ce dernier
JP2002289871A (ja) * 2001-03-28 2002-10-04 Toshiba Corp 半導体装置及びその製造方法
US6635923B2 (en) * 2001-05-24 2003-10-21 International Business Machines Corporation Damascene double-gate MOSFET with vertical channel regions
JP2003037264A (ja) * 2001-07-24 2003-02-07 Toshiba Corp 半導体装置およびその製造方法
US6583469B1 (en) * 2002-01-28 2003-06-24 International Business Machines Corporation Self-aligned dog-bone structure for FinFET applications and methods to fabricate the same

Also Published As

Publication number Publication date
KR101079348B1 (ko) 2011-11-04
CN1771589A (zh) 2006-05-10
JP5409997B2 (ja) 2014-02-05
DE112004000578B4 (de) 2010-01-28
GB2417134B (en) 2006-07-12
US6764884B1 (en) 2004-07-20
WO2004093181A1 (en) 2004-10-28
KR20050119679A (ko) 2005-12-21
GB2417134A (en) 2006-02-15
TW200425425A (en) 2004-11-16
GB0518840D0 (en) 2005-10-26
CN100413039C (zh) 2008-08-20
DE112004000578T5 (de) 2006-02-23
JP2006522486A (ja) 2006-09-28

Similar Documents

Publication Publication Date Title
TWI337392B (en) Method for forming a gate in a finfet device and thinning a fin in a channel region of the finfet device
US20200052122A1 (en) Method for manufacturing semiconductor structure
US10868188B2 (en) Semiconductor device and method
US7679135B2 (en) FinFET device with gate electrode and spacers
KR101455478B1 (ko) 반도체 디바이스의 접촉 구조
US7915682B2 (en) Semiconductor FinFET structures with encapsulated gate electrodes and methods for forming such semiconductor FinFET structures
US10707072B2 (en) Semiconductor structure with etched fin structure
US9306037B2 (en) Dummy gate electrode of semiconductor device
KR20190024625A (ko) 반도체 디바이스 및 방법
TWI343120B (en) Semiconductor device having a compressed device isolation structure
TW200421595A (en) Double gate semiconductor device having separate gates
KR20060108629A (ko) 자기 정렬된 다마신 게이트
US11417739B2 (en) Contacts for semiconductor devices and methods of forming the same
US6815268B1 (en) Method for forming a gate in a FinFET device
WO2011134127A1 (zh) 一种闪存器件及其制造方法
US11205647B2 (en) Semiconductor device and method of manufacture
US7105391B2 (en) Planar pedestal multi gate device
CN109817715A (zh) 半导体器件栅极间隔件结构及其方法
TW202318674A (zh) 半導體裝置、電晶體以及半導體裝置的形成方法
CN115458601A (zh) 半导体器件及其制造方法

Legal Events

Date Code Title Description
MK4A Expiration of patent term of an invention patent