TW507286B - Method and apparatus for fabricating semiconductor devices - Google Patents

Method and apparatus for fabricating semiconductor devices Download PDF

Info

Publication number
TW507286B
TW507286B TW090117943A TW90117943A TW507286B TW 507286 B TW507286 B TW 507286B TW 090117943 A TW090117943 A TW 090117943A TW 90117943 A TW90117943 A TW 90117943A TW 507286 B TW507286 B TW 507286B
Authority
TW
Taiwan
Prior art keywords
sample
plasma
etching
gas
film
Prior art date
Application number
TW090117943A
Other languages
English (en)
Inventor
Naoyuki Kofuji
Masaru Izawa
Original Assignee
Hitachi Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Ltd filed Critical Hitachi Ltd
Application granted granted Critical
Publication of TW507286B publication Critical patent/TW507286B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3266Magnetic control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76811Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Drying Of Semiconductors (AREA)

Description

507286 A7 ___:__ B7 五、發明説明()1 ^ 【發明背景】 【發明領域】 (請先閲讀背面之注意事項再填寫本頁) 本發明係關於半導體裝置之製造方法及製造裝置,尤其 關於作爲絶緣層形成材料使用多孔質低介電率絶緣材料,作 爲導體層形成材料使用銅材之半導體之配線形成方法。 【關於先前技術之描述】 關於使用低介電率絶緣材料之半導體裝置配線之形成 方法,於「信學技報;TECHNICALREPORTOFIEICE,ED200(^ 136,SDM2000- 1 1 8,ICD2000-72(2000-08),pp.87-92」(文獻 1), 曾以“使用低介電率膜Cu雙道金屬鑲嵌法配線之形成技術 ”爲題被加以揭示。又,作爲洗浄技術係於「技術情報協會 出版(發行曰:2000年12月27)ρρ·295-305」(文獻2),以“次世 代ULSI多層配線之新材料•步驟技術”爲題加以揭示。更 且,作爲除去光阻劑技術於「特開平1 1 - 1 768 1 8號公報(對應 美國特許第6,232,237號明細書)(文獻3)被加以揭示。 本發明者等係在到達本發明之前,做爲使用低介電率絶 緣材料(以下,稱爲1^〇〜_〖材)和銅材之半導體裝置配線之形 成法,以下對於顯示的技術加以檢討。該方法參照第2圖之工 程圖加以說明。 首先,於Low-K膜和氧化矽(TEOS)層2之積層構造中,埋 入銅層3(啓始狀態試料)之上,在(工程1)CVD堆積阻止膜(例 如SiN膜等)4,在(工程2)塗布Low-K膜5,在(工程3)進行光罩 材層6(例如TEOS等)的堆積,更且,在(工程4)將上述的阻止膜 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) 507286 A7 __ _ B7 _ 五、發明説明()2 4和同種的材料作爲光罩材層7加以堆積。其次,在(工程5)塗 布光阻膜8,於該光阻膜8中圖案化形成孔形狀的開口,在(工 程6)將此光阻膜8做爲光罩進行光罩材層7的乾蝕刻,於光罩 材層7形成孔形狀的開口。更且,在(工程7)剥離光阻膜8後, 在(工程8)塗布新.光阻膜9,於該光阻膜9圖案化形成溝形狀的 .開口,在(工程9),將光罩材層7做爲光罩進行光罩材層6之乾 蝕刻,在光罩材層6形成孔形狀的開口,成爲光罩材層6所成之 硬罩幕者。 其次,在(工程10)將光阻膜9做爲光罩鈾刻加工光罩材層 7,.於光罩材層7形成溝形狀的開口,成爲光罩材層.7所成硬光 罩。其次,在(工程11)將光罩材層6做爲光罩向異性乾鈾刻 Low-K膜5,形成孔形狀的開口(導孔)1〇。其次,在(工程12)中, 將光罩材層7做爲光罩,將光罩材層6乾蝕刻加工成溝形狀。 此工程中,亦同時除去光阻膜9。 更且,在(工程13)將光罩材層7及光罩材層6作爲光罩,向 異性乾鈾刻L〇w-K5膜,形成溝形狀的凹部11。其次,在(工程 14),於Low-K5膜中將形成孔形狀的開口(導孔)作爲光罩開口 ,將阻止膜4乾鈾刻除去,在形成孔形狀的開口之同時,將與阻 止膜4同材質之光罩材層7乾鈾刻除去,其次,在(工程15)中,爲 了除去前工程附著於導孔10的內壁面含銅之堆積物12和,附 著於溝形狀凹部11的內壁面之CF系堆積物13和,形成於銅層 3的表面之銅的變質層1 4等,進行使用胺系的藥液之濕洗淨後 ,在(工程16)藉由濺射法堆積Ta和TaN的積層膜15,在(工程 17)以濺射法堆積銅層16。 本紙張尺度適用中國國家標準( CNS ) A4規格(210X297公釐) 7 --- (請先閲讀背面之注意事項再填寫本頁)
507286 A7 __ B7 _ 五、發明説明()3 (請先閲讀背面之注意事項再填寫本頁) 其次,(工程18)中,在前工程中於灑射堆積之銅層16上將 銅膜17以電鍍法加以堆積後,在(工程19),將銅層16,銅膜17, 及Ta · TaN積層膜15的多餘部分以CMP法(化學•機械•磨 光法)除去。最後,在(工程20)經過藥液洗淨,取得第1層的配 線終了試料。又,更藉由自上述的工程1到工程20反覆施行, 可形成多層配線。 高速動作用的半導體裝置中,作爲絶緣膜,介電率必須使 用未滿2.5的極低介電率的絶緣膜。如此的絶緣膜係全爲多 孔質,即海棉狀的Low-K膜之故,易於經由藥液洗淨工程吸濕, 又有,無法容易乾燥的困難。此多孔質Low-K膜的吸濕則爲 最大的問題。 圖示於使用多孔質Low-K膜的第2圖的配線法中,因有如 工程1 5和工程20的藥液洗淨工程,在此藥液洗淨工程多孔質 Low-K膜會吸濕,於膜內將有水份殘留問題產生。例如,使用 上述的文獻3方法,即使省略二種藥液洗淨工程的情況下,亦 可藉由H20電漿處理可除去CF系堆積物13。但,爲含有銅之 堆積物12無法除去之故,此含銅堆積物12則於多孔質Low-K 膜中殘留擴散,而有劣化多孔質Low-K膜之電氣的特性之問 題。更且,此文獻3的方法中,較第2圖的工程,TEOS層2和阻止 物膜4的黏著性差之故,會由於熱處理等而易剝落的問題。 如上,因吸濕性,含銅堆積物和銅變質層的殘留,及低黏 著性的問題,在現狀中,藉由多孔質Low-K膜和銅材所成配線 的形成係非常難以形成者。 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -6- 507286 A7 _____ B7_ 五、發明説明()4 【本發明之重點】 (請先閲讀背面之注意事項再填寫本頁) 因此,本發明的目的係解決上述的問題點,可藉由多孔質 Low-K膜和銅材提供可優良的配線形成方法及裝置。 本發明者等係:於先前的工程〗5之藥液洗淨中,除了 (1)CF系堆積物13的除去,(2)含銅堆積物12的除去,及(3)銅的 變質層14的除去之三種作用之外,另外發現有(4)藉由藥液洗 淨工程中之純水洗淨,除去在蝕刻工程14進入至TEOS膜中之 氟之第4作用。 於上述的文獻3之方法中,因爲全無包含純水洗淨之藥 液洗淨工程之故,在蝕刻工程14中進入至TEOS膜6中之氟則 直接被殘留。此殘留之氟,會使在下個第2層配線之形成工程 中堆積於TEOS膜6上之阻止膜4的黏著性下降的情形,巳被本 發明者等的硏究所得知。 即,根據本發明時可提供,一種半導體裝置之製造方法其 特徵爲:至少具有於試料上形成第1的絶緣材料層(阻止膜)之 第1工程和,於上述第1的絶緣材料層上形成誘電率未滿2.5的 第2絶緣材料層(多孔質Low-K膜)之第2工程和,將上述第2絶 緣材料層藉由電漿蝕刻法圖案化加工之第3工程和,於上述第 2絶緣材料層上,經由濺射法堆積金屬膜之第4工程和,於上述 金屬膜上形成銅層之第5工程和,將上述銅層之不必要部份藉 由化學•機械•磨光法加以除去之第6工程之半導體裝置之 製造方法中,其特徵係自上述第3工程到上述第4工程間之所 有工程,以乾處理條件下進行,且,於上述第6工程後,設置將上 記試料經由純水洗淨之純水洗淨工程。 本紙張尺度適用中國國家標準(CNS ) A4規格(210X 297公釐) -7- 507286 A7 _ B7 ___ 五、發明説明()5 (請先閲讀背面之注意事項再填寫本頁) 藉由將上述第2絶緣材料層經由電漿蝕刻法圖案化加工 之第3工程到於第2絶緣材料層上依據濺射法堆積金屬膜之 第4工程間的所有工程以乾處理條件進行,可防止低介電率第 2絶緣材料層之吸濕,可避免上述配線特性劣化的問題,又,經 由新設置將上述試料經由純水洗淨之工程,可使對於上述 TEOS膜中之殘留氟所造成黏著特性劣化的問題消除,而達成 優良配線之形成。 然而,自將上述第2絶緣材料層藉由電漿蝕刻法.圖案化 加工第3工程之開始時點到於上述第2絶緣材料層上經由濺 射法堆積金屬膜第4工程之終了時點的全期間中,將上述試料 不於大氣接觸地爲佳。根據如此,可完全防止低介電率的上 述第2絶緣材料層之吸濕,可有效果避免上述配線的特性劣化 的問題。 又,在上述第3工程後,於上述第4工程前,包含根據上述 第3工程,透過圖案形成於上述第2的絶緣材料層(阻止膜)之 開口,將上述第1絕緣材料層經由包含NF3和Ar之混合氣體之 電漿加以鈾刻除去鈾刻處理工程。藉由包含此NF3和Ar混合 氣體電漿的使用,可壓低施加於試料之偏壓電力,於此,可防 止基材之銅材的蝕刻之故,不會產生含銅堆積物之附著問題 。又,藉由NF3氣體作用,可進行CF系堆積物的除去。 然而,電漿鈾刻上述第1絶緣材料之層(阻止膜)之工程中 ,將施加於試料之每單位單位試料面積之偏壓電力呈 0.16W/cm2以下爲佳,由此,可達防止基材之銅材也被蝕刻之 效果。 本纸張尺度適用中國國家標準(CNS ) A4規格{ 210 X297公釐) 507286 A7 ___ B7 _ 五、發明説明()6 (請先閲讀背面之注意事項再填寫本頁) 又,於電漿蝕刻上述第1絶緣材料層(阻止膜)之工程,處 理壓力係設定在〇.5Pa以下爲佳。經由此,可防止經由阻止膜 (SiC膜)的蝕刻所生成的SiF或CF作爲異物,再附著於試料。 更且,於電漿蝕刻上述第1絶緣材料層(阻止膜)工程之後 5對試料設置施行〇2電漿處理及H2電漿處理之工程爲佳。根 據此,以之前的電漿蝕刻工程,可將加工孔(導孔)之底面,即基 材之銅層所氟化之表面回復呈淸淨之表面。 又,根據本發明時可提供一種電漿蝕刻處理裝置其特徵 具有··爲載置設於減壓處理室內之試料之試料台和,於上述減 壓處理內爲導入處理氣體之氣體導入手段和,將上述處理氣 體排氣至上述減壓處理室外之排氣手段和,於上述減壓處理 室內生成上述導入處理氣體的電漿手段之電漿蝕刻處理裝 置中,於上述試料的背面中於上述試料設置爲施加磁場之磁 場施加手段,且,設置於上述試料開關施加之關閉期間爲開關 施加1(Τ6秒以下之負的DC電壓的電壓施加手段。 在於藉由上述的電壓施加手段,開關施加於上述試料之 負的DC電壓所形成之試料面,藉由垂直的電場和上述的磁場 施加手段,經由與平行於試料面所形成之相互作用,自上述氣 體導入機構所導入蝕刻用的氣體電漿可有效率地生成。而 且,藉由施加於試料之負電壓,加速生成電漿中的正離子,入 射至試料面,進行試料的蝕刻反應。又,於施加負電壓的施加 關閉期間中,電子入射至試料面,可防止正離子入射所造成對 試料之正電荷的過度充電。藉由此過度充電防止機能,即使 如TEOS,SiN,SiC,Low-K膜的絶緣材料亦可有良好的蝕刻。 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) _9_ 507286 A7 ___ B7 五、發明説明()7 又,因於試料不施加正電壓之故,無正離子往處理室內壁面加 速之入射,不會有處理室內壁材料被削去,而發生異物和金屬 圬染物之情形。更且,藉由將施加負電壓的施加關閉期間△ t 設定在充分短到1(Γ6秒以下,於此短施加關閉期間△ t中,因正 離子無法到達處理室內壁面之故,不會有經由正離子衝擊處 理室內壁材料之削減。 又,根據本發明,提供一種乾鈾刻方法其特徵爲:使用上 述的電漿蝕刻處理裝置,將堆積於設在試料上銅層之絶緣膜, 於上述的負DC電壓在200V以下的條件下進行蝕刻。據此,將 施加於試料之負DC電壓設定在200 V以下,將堆積於銅層上之 絶緣膜,經由蝕刻處理,基材的銅層3完全無鈾刻之故,於加工 孔或加工溝內壁面上,不會產生含銅堆積物的附著。 更且,根據本發明,一種半導體裝置之製造裝置其特徵提 供:具有藉由濺射法於半導體試料上,爲堆積金屬膜之濺射處 理室,和根據乾蝕刻法爲蝕刻處理半導體試料上的絶緣膜之 蝕刻處理室的半導體裝置之製造裝置,更且,設置爲進行上述 半導體試料的電漿處理之電漿處理室,且,更附加可排氣處理 可燃氣體及支援燃燒氣體的兩方的排氣處理設備。如此,藉 由附加可排氣處理可燃氣體及支援燃燒氣體的兩方之排氣 處理設備,使用H2等可燃氣體和NF3和02等支援燃燒氣體的 兩方,可於半導體試料施行所期望的處理,而且,可排氣處理 自處理室所排氣的可燃氣體和支援燃燒氣體的兩方。 然而,於上述半導體的製造裝置中,更附設有將至少NF3 氣體,H2氣體,及〇2氣體之三種氣體,導入至上述處理室內的氣 -10- (請先閱讀背面之注意事項再填寫本頁) 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) 507286
第90117943號專利申請案 中文說明書修正專7民國91年7月30日呈 B7 五、發明説明(8 ) (請先閲讀背面之注意事項再填寫本頁) 體導入手段者爲佳。經由附設上述三種氣體的導入手段,可 達成藉由NF3氣體電漿之CF系堆積物的除去,又,利用〇2氣體 電漿所造成之氧化作用及仏氣體所造成之還原作用,可進行 被污染(氟化)銅層表面的成爲淸淨表面的處理。. 第1圖中,顯示根據本發明之半導體裝置之製造方法的 基本工程圖。本發明所成新工程中,較示於第二圖的工程,在 於以下二點有很大的差異。 (1)首先,第一圖工程14中,使用NF3氣體和Ar氣體的混合 氣體,鈾刻處理阻止膜4。此時,施加於試料之每單位面積的 偏壓電力係在0.1 6W/cm2以下。於此條件下,因銅層3全不蝕 刻之故,於導孔10的內壁面上,不會產生含銅堆積物的附著 又,藉由NF3氣體的作用,於工程9到工程1 3間,溝11或導孔10的 內壁面上的CF系堆積物亦可有效地除去。 經濟部智慧財產局員工消費合作社印製 以上的步驟中,於孔或溝的內壁面上,不會堆積CF系堆 積物或含銅堆積物,又,亦可除去銅層3表面的變質層之故,無 需蝕刻後的藥液處理。因此,於工程16中,不進行藥液洗淨, 直接進行下個Ta · TaN積層膜15的堆積工程之故,不會產生 藥淨洗淨所造成之吸濕的問題。 _ 又,與上述的文獻3的方法不同,於CMP工程20之後,設置 藥液洗淨工程21。此CMP工程20後的藥液洗淨中,Low-K膜與 藥液不直接接觸之故,不會產生藥液所造成吸濕的問題。又, 將作爲光罩材層的TEOS膜6中的殘留氟,可藉由上述的藥液 洗淨工程中的純水洗淨處理除去。爲此,不會產生阻止層4的 黏著性降低的問題。 本紙張尺度適用中.國國家標準(CNS ) A4規格(210 X 2们公釐)-11- 507286 A7 B7 五、發明说明()9 對於本發明上述以外的目的,構成,及所產生作用效果, 可於所列舉以下的實施例之詳細說明中,明白得知。 【圖示說明】 第一圖係顯示本發明所成半導體裝置之配線形成方法 工程圖。 第二圖係顯示於本發明之前所檢討配線形成方法之一 例的工程圖。 第三圖係顯示本發明所成使用於半導體裝置配線形成 之半導體製造裝置之槪略構成圖。 第四圖係顯示本發明所成之使用於半導體裝置配線形 成之電漿處理裝置之槪略構成圖。 第五圖係顯示對示於第四圖電漿處理裝置之試料的施 加負電壓的時間變化圖。 【符號說明】 1多孔質Low-Κ膜 2 TEOS膜 3銅層 4阻止膜 5 Low-K膜 6光罩材層 7光罩材層 8光阻膜 -12- (請先閲讀背面之注意事項再填寫本頁} 本紙張尺度適用中國國家標隼(CNS ) Μ規格(210X297公釐) 507286 A7 B7 五、發明説明()10 9光阻膜 1 〇導孔 11凹部 12堆積物 13 CF系堆積物 14變質層 15積層膜 16銅層 17銅層 1 8氟化銅層 1 9氧化銅層 21處理室 22試料 23試料台 24排氣機構 25氣體導入機構 26磁鐵 27電源 28電漿 29減壓處理室 3 0減壓處理室 31減壓處理室 32減壓處理室 33減壓處理室 -13- (請先閱讀背面之注意事項再填寫本頁) 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) 507286 A7 _B7_ __ 五、發明説明()彳1 34減壓處理室 35搬送機器人 36排氣處理設備 37搬入口 刊搬出口 【實施例】 以下,關於本發明,列舉實施例,參照圖面詳細說明。 .(實施例1) 第一圖中,顯示本發明的一實施例所成多孔質Low-K膜( 絕緣膜)與銅層(導電層)所形成配線的形成方法之一連串工 程。本實施例中,根據本工程,形成多孔質Low-K膜和銅層所 形成的配線。 首先,於多孔質Low-K材與TE0S膜2的積層構造中,準備 埋入有銅層配線3者(啓始狀態),在(工程1),於其之上將成爲 阻止膜4之SiN膜以CVD法加以堆積。之後,在(工程2),更且, 在其之上,塗布形成有機材料所成多孔質Low-K膜5,在(工程 3),在其之上,CVD堆積成爲光罩材層6之TE0S膜,在(工程4), 更且,於其之上CVD堆積作爲光罩材層7之SiN膜。其次,在(工 程5),圖案化形成光阻膜8,在(工程6),藉由CHF3和Ar及〇2的混 合氣體之電漿,罩幕光阻膜8,乾蝕刻作爲光罩材層7的SiN膜, 於光罩材層7圖案化形成孔形狀的開口。 其次,在(工程7),將光阻膜8加以剥離除去之後,在(工程 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) (請先閱讀背面之注意事項再填寫本頁)
-14- 507286 干人,u 二 ”..:、; A 7 f B7 五、發明説明(12 ) 8),重新圖案化形成光阻膜9,在(工程9),藉由C5F8和Αι·及〇2混 合氣體之電漿,罩幕光罩材層7,乾蝕刻作爲光罩材層6之TEOS 膜,於光罩材層6圖案化形成孔形狀的開口,其次,在(工程10) 藉由CHF3® Ai•及〇2混合氣體之電漿,罩幕光阻膜9,乾蝕刻作 爲光罩材層7之SiN膜,形成具有溝形狀開口 SiN膜光罩。其次 ,在(工程11),使用NH3氣體的電漿,透過光罩材層6的孔形狀開 口,向異性乾蝕刻多孔質Low_K膜5,形成導孔10。其次,藉由 C5F8和Ar及〇2混合氣體之電漿,將具有溝形狀開口之光罩材 層7做爲光罩,乾蝕刻作爲光罩材層6之TEOS膜,於光罩材層6 形成溝形狀開口。然而,於此工程中,光阻膜9亦同時蝕刻除 去。 其次,在(工程1 3)中,使用NF3氣體的電漿,透過光罩材層6 及光罩材層7的溝形狀開口,向異性乾蝕刻多孔質Low-K膜5, 形成溝形狀凹部11。其次,在(工程14),使用NF3與Ar混合氣體 的電漿,於作爲阻止膜4之SiN膜,形成孔形狀開口之同時,乾 蝕刻除去作爲光罩材層7之SiN膜。於此,在(工程14)中,因使 用NF3氣體與Αι‘氣體之混合氣體的電漿之故,CF系的堆積物 不會附著於溝側面。又,因使用NF3氣體與Αι·氣體之混合氣體 的電漿之故,可將欲施加至試料(晶圓)之偏壓電力抑制於低 電力。使用以往之CHF3氣體步驟中,對於作爲每單位試料面 積施加偏壓電力,需要〇.64W/Cm2以上的電力,就本發明所成 使用NF3與Ar的混合氣體步驟而言,即使爲該1/4的0.16W/cm2 以下時,亦可鈾刻。於本步驟中,將施加偏加電力抑制在 0.1 6W/cm2以下情況時,銅層3幾乎不蝕刻之故,不會有含銅堆 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) (請先閲讀背面之注意事項再填寫本頁} 訂 經濟部智慧財產局員工消費合作社印製 507286 A7 B7 五、發明説明(13 ) 積物附著於導孔1$的內壁面上之情形。爲此,不需要爲除去 CF系的堆積和含銅堆積物之藥液洗淨工程。 (請先閲讀背面之注意事項再填寫本頁) 另一方面,銅層表面3係經由上述工程14之含有NF3氣體 電漿所成處理加以氟化。爲除去此氟化銅層18,首先,在(工 程15),藉由〇2電漿處理氧化氟化銅層18,置換爲氧化銅層19 。將此氧化銅層19,於下個(工程16),經由H2電漿處理加以還 原除去,產生淸淨的銅層表面20。其次,在(工程17),藉由濺射 法堆積Ta · TaN積層膜15,更且,在(工程18),以濺射法將銅層 16加以堆積。於此濺射物堆積的銅層16上,在(工程19),將銅 層17以電鍍加以堆積,其次,在(工程20),將多餘的銅層部分 16,17及Ta · TaN積層膜部分15以CMP法加以除去。於此CMP 法除去工程後,將殘留於TEOS膜6中的氟,在下個的(工程21), 經由包含純水洗淨之藥液洗淨加以除去。將洗淨之試料再 藉由從工程1的SiN膜的CVD堆積工程之順序,反覆處理,形成 多層配線。 經濟部智慧財產局員工消費合作社印製 於省略工程21的洗淨工程情形中,因於TEOS膜6或2中殘 留氟之故,於下個工程1中堆積之阻止膜4與TEOS膜6或2的黏 著性則變差。爲此,於之後的熱處理或導線接合時等,由於施 加於晶圓應力,在阻止膜4和TEOS膜2的界面易産生剥離。 另一方面,上述本發明的配線形成法中,因在工程2 1,洗 淨可良好除去TEOS膜6或2中的氟之故,在下個工程1中,堆積 於TEOS膜6或2上的阻止膜4之黏著性則極高。爲此,配線形 成產率則大幅提升。又,本方法中,多孔質Low-K膜因無與洗 淨用藥液直接接P工程之故,可大幅改善多孔質Low-K膜的 本紙張尺度適用中.國國家標準(CNS ) A4規格(210X297公釐)纠6_ 507286 A7 __B7_ 五、發明説明()14 吸濕性問題。 以上,經由本發明之配線方法,所形成多孔質Low-K膜與 銅層之配線,係較以往的配線方法,信頼性高,產率也高。然 而,本實施例中,雖做爲阻止膜4及光罩材層7使用SiN膜,做爲 光罩材層6使用TEOS膜,但對於其他的材料也可取得相同的 結果。又,本實施例中,雖做爲多孔質Low-K膜1及5使用有機 材料,但於具有SiOH基之膜或具有Si〇基之膜之情形中,在先 前的工程11及工程13的乾鈾刻中,如使用含有F含有氣體及Αι* 氣體混合氣體的話,可得到同樣的結果。 (實施例2) 在實施例1的工程14,15,16中,需要異物或金屬污染少之 電漿處理。將爲此之電漿處理裝置示於第4圖。本裝置係具 備爲載置減壓處理室21,被處理試料33之試料台23,爲排氣減 壓處理室21內之排氣機構2七及導入氣體至減壓處理室21內 之機構25,更具備於試料22的背面部爲產生平行於試料面的 磁力線之磁石26。又,本裝置中,具備有施加電壓至試料22之 電源27,經由此電源27,如第五圖所示的負的DC電壓Vo則間 歇性的施加至試料22。於藉由此施加負電壓,所產生試料22, 經由對於垂直電場和於磁石26所作用之試料面平行之磁場 的相互作用,生成自氣體導入機構25導入之蝕刻用氣體的電 漿28。根據施加於上述試料22之負電壓,電漿28中的正離子 入射至試料2 2,進行試料的鈾刻反應。又,於上述的d C電壓 施加關閉期間中,電漿28中的電子入射至試料22,中和先前的 -17- (請先閲讀背面之注意事項再填寫本頁) 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) 507286 A7 B7 五、發明説明()15 正離子入射,所成試料22的過度充電。因有此過度充電中和 機能,即使丁£03,31181(:,1^〜-;^膜之絶緣材料亦可蝕刻。又, 此裝置中,因無將正電壓施加至試料22之時間之故,正離子則 無加速入射往減壓處理室2 1的內壁之情形。爲此,少有減壓 處理室2 1的內壁材料被切削所成異物或金屬污染物的發生 。尤其,於對於試料2的負DC電壓的施加關閉期間△ t較1(Γό 秒爲短的情形中1於此DC電壓的施加關閉期間△ 1中,因離子 並無到達處理室2 1的內壁之故,完全沒有由於離子衝擊處理 室2 1的內壁材料被切削之情形。 使用本裝置,實行實施例1的工程14。經由氣體導入機 構25,將NF3與Ar的混合氣體導入至處理室21,於試料22間歇 性的施加(ON-OFF施加)上述負的DC電壓,蝕刻阻止膜(SiC膜 )4。將處理室21內的壓力設定在〇.5Pa以下情形時,得知經由 上述SiC膜的鈾刻所生成SiF或CF可作爲防止異物再附著於 試料22之用。又,經由將第五圖的施加負電壓Vo設定在200V 以下,因基材的銅層3全無蝕刻之故,可判斷出於導孔10或溝 形狀凹部11的內壁面上,無含銅堆積物的附著。 (實施例3) 於顯示實施例1之配線方法中,自工程9的光罩材層6的蝕 刻處理工程到工程17的Ta · TaN積層膜15之濺射處理工程之 間,係因多孔質Low-K膜5的表面對於處理室21的內部空間呈 現剝離出的狀態(露出狀態)之故,於此期間,試料22與大氣接 觸時,經由大氣中的水份,多孔質Low_K膜有吸濕之虞。因此, -18- (請先閲讀背面之注意事項再填寫本頁) 本紙張尺度適用中國國家標準(CNS > A4規格(210X297公釐) 507286 A7 _ B7 _^_ 五、發明説明()16 (請先閱讀背面之注意事項再填寫本頁) 爲避免此吸濕的問題,需要將自上述工程9到工程17的乾處理 ,可於真空中一貫地加以進行之半導體製造裝置。將可將此 等工程在真空中一貫進行半導體處理裝置之構成例示於第3 圖。本裝置係具備有可蝕刻處理.光罩材層之減壓處理室29, 可蝕刻處理多孔質Low-K膜之減壓處理室30,可使用NF;和Ar 混合氣體之蝕刻處理電漿阻止膜之減壓處理室31,可使用H2 氣體及〇2氣體的電漿進行後處理之減壓處理室32,於濺射法 中,可堆積處理金屬膜之減壓處理室33,及,將此處理室間在 減壓下連接之減壓搬送室34和,可真空搬送之搬送機器人35 。又,本裝置中,因使用112等可燃氣體與NF3或〇2等支援燃燒 氣體之兩者之故,具備有可排氣體處理可燃氣體和支援燃燒 氣體之兩者之排氣處理設備36。 其次,說明本半導體製造裝置之配線形成工程的流程。 試料係經由搬入口 37搬入至光罩蝕刻用的減壓處理室29內, 進行實施例1的工程9及工程10的光罩加工處理。之後,試料 係經由搬送機器人35真空搬送往Low-K膜蝕刻用的減壓處理 室30內,進行工程11的多孔質Low-K膜的蝕刻處理。之後, 試料係再一次搬入至光罩鈾刻用的減壓處理室29內,進行 工程12的光罩加工處理。接著,試料係搬送至Low-K膜鈾刻 用的減壓處理室30內,施行工程13的Low-K膜鈾刻處理。之 後,試料係搬入至阻止膜蝕刻用的減壓處理室3 1內,施行 工程14的阻止膜飩刻處理。接著,試料係搬入至後處理用 減壓處理室32內,施行工程15的〇2電漿處理和工程16的H2電 漿處理。最後,試料係於阻止膜用的減壓處理室3 3內施行工 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -19- 507286 A7 B7 五、發明説明(企 程17的金屬膜堆積處理之後,經由搬出口 38排出至裝置外(大 氣中)。 藉由示於第3圖裝置構成,完全不使試料曝露於大氣中 ,因可施行自工程9到工程17之間完全處理之故,可完全避 免大氣中的水份,所造成之多孔質Low-K膜的吸濕問題。爲 此,可呈更可靠性高的配,線之形成,可提升半導體裝置製 置的產率。更且,經由將後處理用減壓處理室32或阻止膜 蝕刻用減壓處理室3 1成爲實施例2的電漿處理裝置相同構成 ,可製造無異物或污染的問題,可靠性極高的半導體裝置 〇 如以上詳細說明可得知,根據本發明時,作爲配線間 絶緣材料,例如使用多孔質Low-K膜等的低介電率絶緣材料 之半導體裝置的配線形成時,可防止上述低介電率絶緣才才 料的吸濕,更可達可靠性高的配線之形成,據此,可大幅提 升半導體裝置的製造產率。 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -20- (請先聞讀背面之注意事項再填寫本頁)

Claims (1)

  1. 507286 8 8 88 ABCD 六、申請專利範圍 第90 1 1 7943號專利申請案 中文申請專利範圍修正本 (請先閱讀背面之注意事項再填寫本頁) 民國91年7月30日修正 1. 一種半導體裝置之製造方法,屬於在試料上形成第1的 絶緣材料層之第1工程和,於上述第1的絶緣材料層上形成誘 電率.不足2.5的第2絶緣材料層之第2工程和,將上述第2絶緣 材料層藉由電漿鈾刻法圖案化加工之第3工程和,於上述第2 絶緣材料層上,經由濺射法堆積金屬膜之第4工程和,於上述 金屬膜上形成銅層之第5工程和,將上述銅層之不必要部份藉 由化學機械磨光法加以除去之第6工程之半導體裝置之製造 方法中,其特徵係自上述第3工程到上述第4工程間之所有工 程,在乾處理條件下進行,且,於上述第6工程後,設置將上記試 料經由純水洗淨之純水洗淨工程。 2. 如申請專利範圍第1項所記載之半導體裝置之製造方· 法,其中,自第3工程之開始時點到第4工程之終了時點的所有 期間中,將上述試料不與大氣接觸者。 經濟部智慧財產局員工消費合作社印製 3·如申請專利範圍第1項或第2項所記載之半導體裝置 之製造方法,其中, 上述第3工程於上述第4工程前,更包含根據上述第3工程透過 圖案形成於上述第2的絶緣材料層之開口,將上述第1絕緣材 料層所定之一部分經由包含NF3和At*之混合氣體之電漿加以 蝕刻除去蝕刻處理工程。 4·如申請專利範圍第3項所記載之半導體裝置之製造方 法,其中,上述蝕刻處理工程,係將於試料之每單位單位試料 本紙張尺度適用中國國家樣準(CNS )八4規格(2丨〇><297公釐) 507286 A8 B8 C8 D8 六、申請專利範圍 面積之偏壓電力設定呈〇. 1 6W/cm2以下加以進行。 (請先閲讀背面之注意事項再填寫本頁) 5.如申請專利範圍第3項所記載之半導體裝置之製自$ 法,其中, 上述蝕刻處理工程,係將處理電漿壓力設定呈0.5Pa以下進行 〇 6·如申請專利範圍第4項所記載之半導體裝置之製自$ 法,其中, 上述蝕刻處理工程,係將處理電漿壓力設定呈0.5Pa以下進行 〇 - 7. 如申請專利範圍第3項所記載之半導體裝置之製自$ 法,其中,於上述蝕刻處理工程之後,更包含對上述試料施行 〇2電漿處理及H2電漿處理之工程。 8. 如申請專利範圍第4項所記載之半導體裝置之製自$ 法,其中,於上述蝕刻處理工程之後,更包含對上述試料施行. 〇2電漿處理及H2電漿處理之工程。 經濟部智慧財產局員工消費合作社印製 9·如申請專利範圍第5項所記載之半導體裝置之製造方 法,其中,於上述蝕刻處理工程之後,更包含對上述試料施行 〇2電漿處理及H2電漿處理之工程。 1〇·如申請專利範圍第6項所記載之半導體裝置之製造 方法,其中,於上述蝕刻處理工程之後,更包含對上述試料施 行〇2電漿處理及H2電漿處理之工程。 11 · 一種電漿鈾刻處理裝置,屬於具有:爲載置設於減壓 處理室內之試料之試料台和,於上述減壓處理內爲導入處理 氣體之氣體導入手段和,將上述處理氣體排氣至上述減壓處 本紙張尺度適用中國國家標準(CNS ) A4規格(210X 297公釐) -2 - 507286 A8 B8 C8 ______ D8 夂、申請專利範圍 (請先閲讀背面之注意事項再填寫本頁) 理室外之排氣手段和,於上述減壓處理室內生成上述導入處 理氣體的電漿手段之電漿蝕刻處理裝置中,其特徵係於上述 試料的背面中設置爲對於上述試料施加磁場之磁場施加手 段,且,於上述試料設置開關施加之關閉期間爲開關施加1 0·6 秒以下之負的DC電壓的電壓施加手段。 1 2. —種乾蝕刻方法,其特徵爲:使用上述申請專利範圍 第1 1項記載的電漿蝕刻處理裝置,將堆積於設在試料上銅層 之絶緣膜,於上述的負DC電壓在200V以下的條件下進行鈾刻 處理。 ' 13. —種半導體裝置之製造裝置,屬於:具有藉由濺射法 於半導體試料上,爲堆積金屬膜之濺射處理室,和根據乾蝕刻 法爲蝕刻處理半導體試料上的絶緣膜之蝕刻處理室的半導 體裝置之製造裝置中,其特徵係更,設置爲進行上述半導體試 料的電漿處理之電漿處理室,且,更附加可排氣處理可燃氣體· 及支援燃燒氣體的兩者之排氣處理設備。 經濟部智慧財產局員工消費合作社印製 14. 如申請專利範圍第13項所記載之半導體裝置的製造 裝置,其中,更附設有將.至少NF;氣體,H2氣體,及〇2氣體之三 種氣體,導入至上述蝕刻處理室內的氣體導入手段。 本紙張尺度適用中國國家標準(CNS ) A4規格(21〇Χ297公釐) -3
TW090117943A 2001-07-06 2001-07-23 Method and apparatus for fabricating semiconductor devices TW507286B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2001206229A JP2003023072A (ja) 2001-07-06 2001-07-06 半導体装置の製造方法および半導体装置の製造装置

Publications (1)

Publication Number Publication Date
TW507286B true TW507286B (en) 2002-10-21

Family

ID=19042396

Family Applications (1)

Application Number Title Priority Date Filing Date
TW090117943A TW507286B (en) 2001-07-06 2001-07-23 Method and apparatus for fabricating semiconductor devices

Country Status (4)

Country Link
US (1) US6784109B2 (zh)
JP (1) JP2003023072A (zh)
KR (1) KR20030004962A (zh)
TW (1) TW507286B (zh)

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW529099B (en) * 2002-01-21 2003-04-21 Macronix Int Co Ltd Method for performing via etching in the same etching chamber
JP4293752B2 (ja) 2002-02-28 2009-07-08 富士通マイクロエレクトロニクス株式会社 半導体装置の製造方法
JP2004247675A (ja) * 2003-02-17 2004-09-02 Renesas Technology Corp 半導体装置の製造方法
JP3998609B2 (ja) * 2003-07-28 2007-10-31 株式会社東芝 絶縁構造体、半導体装置、及び半導体装置の製造方法
US7300480B2 (en) * 2003-09-25 2007-11-27 Rohm And Haas Electronic Materials Cmp Holdings, Inc. High-rate barrier polishing composition
JP4492947B2 (ja) 2004-07-23 2010-06-30 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
DE102005057061B3 (de) * 2005-11-30 2007-06-14 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Entfernen einer Passivierungsschicht vor dem Abscheiden einer Barrierenschicht in einer Kupfer-metallisierungsschicht
KR100672721B1 (ko) * 2005-12-29 2007-01-22 동부일렉트로닉스 주식회사 플래쉬 메모리의 제조방법
JP4827567B2 (ja) * 2006-03-16 2011-11-30 東京エレクトロン株式会社 プラズマエッチング方法およびコンピュータ読み取り可能な記憶媒体
JP2008010630A (ja) * 2006-06-29 2008-01-17 Sharp Corp 半導体装置およびその製造方法
JP5391594B2 (ja) * 2008-07-02 2014-01-15 富士通セミコンダクター株式会社 半導体装置の製造方法
JP2017059750A (ja) * 2015-09-18 2017-03-23 東京エレクトロン株式会社 被処理体を処理する方法

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5741396A (en) * 1994-04-29 1998-04-21 Texas Instruments Incorporated Isotropic nitride stripping
US6156651A (en) * 1996-12-13 2000-12-05 Texas Instruments Incorporated Metallization method for porous dielectrics
JP3193335B2 (ja) 1997-12-12 2001-07-30 松下電器産業株式会社 半導体装置の製造方法
US6071809A (en) * 1998-09-25 2000-06-06 Rockwell Semiconductor Systems, Inc. Methods for forming high-performing dual-damascene interconnect structures
JP3888794B2 (ja) * 1999-01-27 2007-03-07 松下電器産業株式会社 多孔質膜の形成方法、配線構造体及びその形成方法
US6457477B1 (en) * 2000-07-24 2002-10-01 Taiwan Semiconductor Manufacturing Company Method of cleaning a copper/porous low-k dual damascene etch
US6358842B1 (en) * 2000-08-07 2002-03-19 Chartered Semiconductor Manufacturing Ltd. Method to form damascene interconnects with sidewall passivation to protect organic dielectrics
US6376366B1 (en) * 2001-05-21 2002-04-23 Taiwan Semiconductor Manufacturing Company Partial hard mask open process for hard mask dual damascene etch

Also Published As

Publication number Publication date
US6784109B2 (en) 2004-08-31
US20030008509A1 (en) 2003-01-09
KR20030004962A (ko) 2003-01-15
JP2003023072A (ja) 2003-01-24

Similar Documents

Publication Publication Date Title
US7585777B1 (en) Photoresist strip method for low-k dielectrics
US7462565B2 (en) Method of manufacturing semiconductor device
CN1814857B (zh) 在半导体衬底上溅射保护涂层的方法
KR101082993B1 (ko) 레지스트용 박리제조성물 및 반도체장치의 제조방법
US7192878B2 (en) Method for removing post-etch residue from wafer surface
EP0987745B1 (en) Metallization etching method using a hard mask layer
US6693043B1 (en) Method for removing photoresist from low-k films in a downstream plasma system
JP4825911B2 (ja) 介在チャンバでの脱フッ素化及びウェハ脱フッ素化ステップによるプラズマエッチング及びフォトレジストストリッププロセス
WO2006079979A2 (en) A method of manufacturing a semiconductor device
US6325861B1 (en) Method for etching and cleaning a substrate
TW507286B (en) Method and apparatus for fabricating semiconductor devices
US7166534B2 (en) Method of dry cleaning photoresist strips after via contact etching
US7232763B2 (en) Method of manufacturing semiconductor device
US6554004B1 (en) Method for removing etch residue resulting from a process for forming a via
Ueno et al. Cleaning of CHF 3 plasma-etched SiO 2/SiN/Cu via structures using a hydrogen plasma, an oxygen plasma, and hexafluoroacetylacetone vapors
US7055532B2 (en) Method to remove fluorine residue from bond pads
JP2001237228A (ja) 基板処理方法および基板処理装置ならびにデバイス製造方法
TW451345B (en) Cleaning method of the residual material after removing photoresist
JP2009031791A (ja) レジスト用剥離剤組成物及び半導体装置の製造方法

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MM4A Annulment or lapse of patent due to non-payment of fees