TW301777B - - Google Patents

Download PDF

Info

Publication number
TW301777B
TW301777B TW085100436A TW85100436A TW301777B TW 301777 B TW301777 B TW 301777B TW 085100436 A TW085100436 A TW 085100436A TW 85100436 A TW85100436 A TW 85100436A TW 301777 B TW301777 B TW 301777B
Authority
TW
Taiwan
Prior art keywords
nitride
etching
item
patent application
oxide
Prior art date
Application number
TW085100436A
Other languages
English (en)
Original Assignee
Ibm
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Ibm filed Critical Ibm
Application granted granted Critical
Publication of TW301777B publication Critical patent/TW301777B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/97Specified etch stop material

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Drying Of Semiconductors (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Weting (AREA)

Description

經濟部中央標準局負工消費合作社印裝 A7 B7 五、發明説明(1 ) 發明背景 發明領域 本發明一般係關於半導體晶片製造且,更特定言之,係 關於使用一化學計量改變之氮化物蚀刻停止的Si02對Si3N4 選擇性蝕刻。 背景説明 次0.5微米超大型積體(Ultra Large Scale Integrated,下文簡 稱ULSI)電略應用需要反應性離子蚀刻(reactive ion etch,下 文簡稱RIE)製程,該製程將相對於氮化物而高度選擇地蝕 刻氧化物。這已在平坦表面上展現出來,但是先進應用中 爲人信服的基礎规則需要在露出角落之上的高氮化物選擇 度。這類的最普通實例是擴散接點覆蓋一閘極之處。在閘 極的露出角落上需要大於12對1的選擇度以防止由閘極至 接點的漏電。 選擇性氧化物:氮化物蚀刻製程已導入,但是這些製程 需要聚合物形成到離子能控制的微妙平衡。這些條件對類 似於氮化物成份的環境擾動非常敏感,且不幸地,該製程 合格間窗對製造應用而言,變得太小。 已在裝置邊緣上導入厚氧化物間隔物以吸收蚀刻期間可 能發生的任何氮化物浸蝕。然而這種程序不能用於具有非 常高之構裝密度的應用中。 -4- 本紙張尺度適用中國囷家標牟(CNS ) A4说格(210X297公釐) (請先閱讀背面之注意事項再填寫本頁) .丨.装. 、-=5 A7 301777 — ----—________ 五、發明説明(2 ) 一~~' ' 發明摘述 本發明之一目的,係在氧化物蝕刻製程期間提供強化露 出部分角落處之氮化物選擇度的方法,並降低氮化物角落 1^1. n —· ϊ. .....1 - I I ! 乂~Ί— · - - _ ——... I— • i f靖先閱讀背面之注意事項再填寫本頁) 浸姓。 根據本發明的一個觀點,積體電路製備有氮化物密接層 作爲蝕刻停止。氮化物蝕刻停止層沈積於蝕刻敏感材料的 一個角落之上。這個氮化物蝕刻停止層具有特徵爲,由於 一材料的添加而化學計量地形成的氮化物,該材料引入對 於氧化物之_改良的蝕刻選擇度。在氧化物之上形成一個開 口圖樣’然後施行蝕刻穿過氧化物,其停止於氮化物層上 而沒有浸蚀。藉由增加矽的濃度,或藉由添加八12〇3或γ2〇3 來改變密接層中的氮化物。 附圖簡要説明 前述和其他的目的、觀點及優點從下列之本發明較佳具 體實施例的詳細説明,參考附圖,將更易於瞭解,該附圖 中: 經濟部中央橾準局員工消費合作社印製 圖1是無邊界接點結構在高選擇度氧化物:氮化物蝕刻 製程和隔絕層剝除之後的橫斷面; 圖2是添加所提出之改變膜之如圖1中之無邊界接點結構 的橫斷面; 圖3是具有變化之矽原子百分比之富含矽氮化物薄樣品 的電容-電壓曲線圖形;及 圖4是具有變化之矽原子百分比之富含矽氮化物薄樣品 ______ *5' 木纸張編5中國國—- 經濟部中央標準局員工消費合作社印製 五、發明説明(3 的電流-電壓曲線圖形 主f明較佳具體實施例詳細部BE[ 現在參考附圖’且更特定言之,參考圖1,其處顯示一 個需要高氧化物對氮化物選擇度的典型部分,其由具有閘 極電極2,厚度約是2000·8000埃,的矽基板i組成。閘極電 極2具有氮化物頂部3 ’且電極和基板填覆有薄密接氮化物 層4,其厚度通常是在10〇_1〇〇〇埃之間。然後將這些部分填 充諸如二氧_化矽的介電物5,將其平坦化並使用光阻形成 圖樣且加以蝕刻。 、 在本發明中,導入化學計量變化的氮化物層作爲整個或 部分的密接氮化物層。如在圖2中所能見到,構建出具有 矽基板1、閘極電極2、頂部3和密接氮化物層4的部分。 添加上改變的密接氮化物層6。這個結構可構建成讓改變 的密接氮化物層6取代第一密接氮化物層4。這種相同類型 的改變氮化物可另外包括於閘極電極2的頂部3中。這種氮 化物層含有較高濃度的某些材料,諸如矽和氫,其在蝕刻 製程期間增加相當的選擇度給氮化物膜,而幾乎完全不會 改變材料的介電性質。 高選擇度氧化物蝕刻製程在整個半導體工業中普遍地爲 人所知。特定而言,對矽大於4〇 : i的選擇度已在平坦的 表面上獲得。當使用廢氣排除媒質時,這些類型的選擇度 可因爲石們的獨立射頻偏壓、低壓力及低氟含量聚合物而 在先進的蝕刻系統中,諸如AME世紀型(temu氧化物蝕 _ ' 6 ' 本紙張賴t關家料(CNS )爾彳^7210Χ21^^Τ .— ·-!<.裝-- (請先閱讀背面之注意事項再填寫本頁) 訂 經濟部中央棣準局貝工消費合作衽印製
五、發明説明(4 ) 刻機,輕易地達到。仓,丨』 … π,對多晶矽的高選擇度甚至可在 延長的過度姓刻時間中於矣工f Μ 中於表面m獲得。這是値得注意 的,因爲當導入表面形能眭 ^, 思 、時,路出之角落上的離子撞擊居 生作用而去除沈積的麽人榀 m 躓的聚合物’因而容許了多晶矽角落的飛 濺。 54 需要導電性姓刻停止的製程對製造而言,並不爲人期 待。,些膜爲電氣短路提供了通道,且在欢的情形中,將 材料氧化掉的企圖會導致應力相關的損壞。因此,諸如
Sl3N4之爲人-所熟知的絕緣體是蝕刻停止的理想類型候選材 料0 表面形態之上的選擇性氧化物:氮化物蝕刻是困難的, 因爲角落選擇度對離子撞擊極端敏感。這個敏感度大於對 多阳碎蚀刻停止者,造成更小的製程合格間窗。爲了增大 σ格間窗’要改變叫队成份’如此它含有較高的妙百 刀比,在43_1至65原子百分比的範圍中。該材料在這整個 範圍中疋絕緣性的,如顯示於圖3之圖形中的電容-電壓特 性所顯現。在這個圖形中,最底部曲線21代表具有431原 子百为比之矽的樣品,最頂部曲線22代表具有67原子百分 比足矽的樣品。化學計量可使用光學性質,特別是,膜的 折射率加以監看。具有43至60%矽原子百分比之樣品的折 射率自1 _90變化至2.11。具有65至6»7原子百分比之砂·的樣品 擁有2.20的折射率。電容-電壓上的一個偏移以箭頭23顯 不。這個偏移是因電荷積陷而引起。然而,可在裝置穩定 上具有決疋性效果的電荷積陷在較向砂含量時,變得更 f請先閲y背面之注意事項再填寫本^) 訂- * 乂·'1 紙張尺度it用巾g| gj家縣(CNS ) A4^^. 210X297 公釐) A7 B7 S01777 五、發明説明(5 爲嚴重’如從顯示於圖4之圖报击4Λ 囫形中t電容·電壓特性的偏移 所見到。電容·電壓特性之傯孩 公付[疋偏移的増加對應於積陷電荷的 增加數量。這條曲線也代表蒗4 '衣尋的,在300·400埃之間,而具 有43」至67矽原子百分比的氮化物樣品。因此,使蝕刻選 擇度最大而”使導電度最小的最佳濃度範圍是5〇至⑼原 子百分比。^’當這個氮化物保有將防止短路的絕緣體 特性時,它將也具有製造程序所需要之增大的選擇度。 在電漿強化化學氣相沈積(Plasma Enhaneed QemiW VapQf Deposition ’厂下文簡稱PECVD)製程期間,藉由調整別艮流量 相對NH3或&比例可輕易地完成氮化物化學計量的調整。 以k種方式可用最少的製程最佳化得到變化自約原子 百为比的/展度。也可藉由濺鍍或藉由其他的化學氣相沈積 製程沈積,或者以離子佈植形心匕學計量改變的氮化物 膜。 經濟部中央標準局員工消费合作社印製 這項技術,儘管以富含矽的氮化物展示,可擴展至包括 其他種類的選擇度強化物。這些可包括氫,其可在蝕刻期 間作爲聚合物來源。其他爲人所知的蝕刻停止材料,諸如 Si2〇3、A〖2〇3、或Υ2〇3 ’也可藉由濺鍍、CVD、或離子佈植 而併入氮化物膜中,其將容許它表現出如同氮化物的行 爲’但具有足夠的添加膜特性以改良氧化物蚀刻化學作用 上的選擇度。 這個製程增加最少的複雜性。這種化學計量改變的膜可 添加於既存之低壓化學氣相沈積(LpcvD)氮化物的上方, 或者,如果信賴度可接受的話,可用作單獨的蝕刻停止, -8 - 本紙張用巾闕家料(CNS ) Α4·_ ( 2!〇χ297公釐 A7 B7 五、發明説明(6 其不需要額外的製程步驟。 另外,本發明是爲人期待的 ^ 、 疋乃力伃的,因為它指出無邊界接點製 程的施行視要控制的部分而定 心’向+早只是蝕刻製程。雖 然高密度電漿蝕刻對蝕刻高縱橫比並在平坦表面上獲得對 氮化物的選擇度可能具關鍵性,但是它本身並不是裊 邊界接點的方法。 &典 儘管本發明已經以單一個較佳具體實施例說明,但是熟 請此技藝者將認知到,本發明可根據在附加之申請專利範 圍之精神和之内的修正而實施。 (請先閲讀背面之注意事項再填寫本頁} -訂 ,—x’ 經濟部中央揉準局貝工消费合作社印製 本紙張尺反逋用中國國家標準(CNS ) Μ規格(2iOX297公釐)

Claims (1)

  1. 經濟部中央揉準局貝工消費合作社印製 1. -種蚀刻沈積於開口中之氧化物的方法,其使用氮化物 層作爲㈣停止,該氮化物覆蓋位於較低之#㈣感材 料之上的角落,其包含步驟有: 沈積氮化物蝕刻停止層於蝕刻敏感材料之上,氮化物 触刻停止層是·由於-材料之添加而化學計量地形成的氮 化物該材料引入對於氧化物之改良的蝕刻選擇度; 在氧化物之上形成一個開口圖樣;及 蝕刻穿過氧化物,停止於氮化物蝕刻停止層上。 2. 根據申明鼻利範圍第i項之方法,其中化學計量地添加 至該氮化物的該材料是矽。 3. 根據申請專利範圍第2項之方法 65原子百分比的濃度範圍。 4_根據申請專利範圍第2項之方法 至60原子百分比β 5-根據申請專利範圍第i項之方法一 至該氮化物的該材料是一種相對於Si〇2具有低蝕刻速^ 的材料。 6. 根據申請專利範圍第5項之方法,其中化學計量地心 至該氮化物的該材料是A1203。 7. 根據申請專利範圍第5項之方法,其中化學計量地添乂 至該氮化物的該材料是γ2〇3。 其中該矽具有43.1 J 其中該濃度範圍是5 其中化學計量地添;j (請先閲讀背面之注意事項再填寫本頁) 'tr .i ·
TW085100436A 1995-05-08 1996-01-16 TW301777B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US08/435,063 US5622596A (en) 1995-05-08 1995-05-08 High density selective SiO2 :Si3 N4 etching using a stoichiometrically altered nitride etch stop

Publications (1)

Publication Number Publication Date
TW301777B true TW301777B (zh) 1997-04-01

Family

ID=23726810

Family Applications (1)

Application Number Title Priority Date Filing Date
TW085100436A TW301777B (zh) 1995-05-08 1996-01-16

Country Status (5)

Country Link
US (1) US5622596A (zh)
EP (1) EP0742584A3 (zh)
JP (1) JP3193632B2 (zh)
KR (1) KR100209041B1 (zh)
TW (1) TW301777B (zh)

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6040619A (en) * 1995-06-07 2000-03-21 Advanced Micro Devices Semiconductor device including antireflective etch stop layer
US5897372A (en) * 1995-11-01 1999-04-27 Micron Technology, Inc. Formation of a self-aligned integrated circuit structure using silicon-rich nitride as a protective layer
US6004875A (en) * 1995-11-15 1999-12-21 Micron Technology, Inc. Etch stop for use in etching of silicon oxide
US5973385A (en) * 1996-10-24 1999-10-26 International Business Machines Corporation Method for suppressing pattern distortion associated with BPSG reflow and integrated circuit chip formed thereby
US6136700A (en) * 1996-12-20 2000-10-24 Texas Instruments Incorporated Method for enhancing the performance of a contact
US6001268A (en) * 1997-06-05 1999-12-14 International Business Machines Corporation Reactive ion etching of alumina/TiC substrates
US5880005A (en) * 1997-10-23 1999-03-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming a tapered profile insulator shape
US6207575B1 (en) * 1998-02-20 2001-03-27 Advanced Micro Devices, Inc. Local interconnect etch characterization using AFM
US6602434B1 (en) * 1998-03-27 2003-08-05 Applied Materials, Inc. Process for etching oxide using hexafluorobutadiene or related fluorocarbons and manifesting a wide process window
US6063711A (en) * 1998-04-28 2000-05-16 Taiwan Semiconductor Manufacturing Company High selectivity etching stop layer for damascene process
US5880006A (en) * 1998-05-22 1999-03-09 Vlsi Technology, Inc. Method for fabrication of a semiconductor device
US6316349B1 (en) * 1998-11-12 2001-11-13 Hyundai Electronics Industries Co., Ltd. Method for forming contacts of semiconductor devices
US6268299B1 (en) 2000-09-25 2001-07-31 International Business Machines Corporation Variable stoichiometry silicon nitride barrier films for tunable etch selectivity and enhanced hyrogen permeability
US20040175934A1 (en) * 2003-03-04 2004-09-09 International Business Machines Corporation Method for improving etch selectivity effects in dual damascene processing
US20060045986A1 (en) 2004-08-30 2006-03-02 Hochberg Arthur K Silicon nitride from aminosilane using PECVD
US9293379B2 (en) 2009-09-03 2016-03-22 Raytheon Company Semiconductor structure with layers having different hydrogen contents

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4299862A (en) * 1979-11-28 1981-11-10 General Motors Corporation Etching windows in thick dielectric coatings overlying semiconductor device surfaces
US4447824A (en) * 1980-08-18 1984-05-08 International Business Machines Corporation Planar multi-level metal process with built-in etch stop
US4367119A (en) * 1980-08-18 1983-01-04 International Business Machines Corporation Planar multi-level metal process with built-in etch stop
JPS6010644A (ja) * 1983-06-30 1985-01-19 Toshiba Corp 半導体装置の製造方法
US4656729A (en) * 1985-03-25 1987-04-14 International Business Machines Corp. Dual electron injection structure and process with self-limiting oxidation barrier
JPS62205645A (ja) * 1986-03-06 1987-09-10 Fujitsu Ltd 半導体装置の製造方法
EP0265584A3 (en) * 1986-10-30 1989-12-06 International Business Machines Corporation Method and materials for etching silicon dioxide using silicon nitride or silicon rich dioxide as an etch barrier
US5443998A (en) * 1989-08-01 1995-08-22 Cypress Semiconductor Corp. Method of forming a chlorinated silicon nitride barrier layer
US5468987A (en) * 1991-03-06 1995-11-21 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for forming the same
EP0523856A3 (en) * 1991-06-28 1993-03-17 Sgs-Thomson Microelectronics, Inc. Method of via formation for multilevel interconnect integrated circuits
US5252515A (en) * 1991-08-12 1993-10-12 Taiwan Semiconductor Manufacturing Company Method for field inversion free multiple layer metallurgy VLSI processing
US5252516A (en) * 1992-02-20 1993-10-12 International Business Machines Corporation Method for producing interlevel stud vias
US5324690A (en) * 1993-02-01 1994-06-28 Motorola Inc. Semiconductor device having a ternary boron nitride film and a method for forming the same

Also Published As

Publication number Publication date
KR100209041B1 (ko) 1999-07-15
EP0742584A2 (en) 1996-11-13
JP3193632B2 (ja) 2001-07-30
US5622596A (en) 1997-04-22
EP0742584A3 (en) 1997-10-08
KR960042996A (ko) 1996-12-21
JPH08306658A (ja) 1996-11-22

Similar Documents

Publication Publication Date Title
TW301777B (zh)
US5539249A (en) Method and structure for forming an integrated circuit pattern on a semiconductor substrate
US6902969B2 (en) Process for forming dual metal gate structures
US4529860A (en) Plasma etching of organic materials
TW383412B (en) Method for producing a gate electrode for an MOS structure
KR19980032402A (ko) 초박층 유전체와 양립할 수 있는 중간 갭 금속 게이트의 제작
US20080311745A1 (en) High Temperature Processing Compatible Metal Gate Electrode For pFETS and Methods For Fabrication
WO2006107587A1 (en) Etch process for cd reduction of arc material
Ghezzo et al. LOPOS: Advanced device isolation for a 0.8 μm CMOS/BULK process technology
CN108538871A (zh) 接触孔的形成方法及图像传感器
Huggett et al. Development of silver sensitized germanium selenide photoresist by reactive sputter etching in SF6
Morimoto Influence of reaction products on Si gate etching with a photoresist mask in HBr/O2 and Cl2/O2 electron cyclotron resonance plasma
US6323093B1 (en) Process for fabricating a semiconductor device component by oxidizing a silicon hard mask
US6214683B1 (en) Process for fabricating a semiconductor device component using lateral metal oxidation
JPH0346252A (ja) 半導体集積回路の製造方法
TW426891B (en) Process of salicide
US20100055888A1 (en) Semiconductor device fabrication method
TW394991B (en) Process for forming contact holes in a semiconductor structure
TW312850B (en) Manufacturing method of field effect device with narrow gate length
TW439117B (en) A method for measuring thickness of layers in chemical mechanic polishing process
CN1336573A (zh) 光刻蚀刻制作工艺
Komiya et al. Microfabrication technique by gas plasma etching method
TW416133B (en) Manufacturing method for integrated circuit having electrostatic discharge protection circuit
TW439208B (en) Post process of self-aligned contact
TW318271B (en) Manufacturing method of self-aligned contact of integrated circuit and structure thereof