TW260831B - - Google Patents

Download PDF

Info

Publication number
TW260831B
TW260831B TW083111270A TW83111270A TW260831B TW 260831 B TW260831 B TW 260831B TW 083111270 A TW083111270 A TW 083111270A TW 83111270 A TW83111270 A TW 83111270A TW 260831 B TW260831 B TW 260831B
Authority
TW
Taiwan
Prior art keywords
film
semiconducting
semiconductor
item
patent application
Prior art date
Application number
TW083111270A
Other languages
English (en)
Original Assignee
Handotai Energy Kenkyusho Kk
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Handotai Energy Kenkyusho Kk filed Critical Handotai Energy Kenkyusho Kk
Application granted granted Critical
Publication of TW260831B publication Critical patent/TW260831B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02664Aftertreatments
    • H01L21/02667Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth
    • H01L21/02672Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth using crystallisation enhancing elements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02422Non-crystalline insulating materials, e.g. glass, polymers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02664Aftertreatments
    • H01L21/02667Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth
    • H01L21/02675Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth using laser beams
    • H01L21/02686Pulsed laser beam
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1214Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs
    • H01L27/1259Multistep manufacturing methods
    • H01L27/127Multistep manufacturing methods with a particular formation, treatment or patterning of the active layer specially adapted to the circuit arrangement
    • H01L27/1274Multistep manufacturing methods with a particular formation, treatment or patterning of the active layer specially adapted to the circuit arrangement using crystallisation of amorphous semiconductor or recrystallisation of crystalline semiconductor
    • H01L27/1277Multistep manufacturing methods with a particular formation, treatment or patterning of the active layer specially adapted to the circuit arrangement using crystallisation of amorphous semiconductor or recrystallisation of crystalline semiconductor using a crystallisation promoting species, e.g. local introduction of Ni catalyst
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • H01L29/6675Amorphous silicon or polysilicon transistors
    • H01L29/66757Lateral single gate single channel transistors with non-inverted structure, i.e. the channel layer is formed before the gate
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S148/00Metal treatment
    • Y10S148/016Catalyst
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/914Doping
    • Y10S438/918Special or nonstandard dopant

Description

經濟部中央標準局負工消費合作社印装 Γ60831 A7 B7 五、發明说明() 發明背景 本發明係關於用以製造具有結晶半導體之半導體裝置 之方法。本發明進一步關於使用於積體電路中之薄膜電晶 體(tft),特別是用於電光裝置中活性矩陣m路之開 關元件,或在相同基底上形成之驅動電路當成活性矩陣電 路0 非晶矽膜可以快速的使用當成T F T之薄膜半導體。 但是,非晶矽膜之電特性較結晶薄膜半導體,例如多晶矽 ,單晶矽,和微晶矽差。結晶矽膜之準備乃藉由首先形成 非晶矽膜,而後加熱處理剩餘的膜以使其晶化。 非晶矽膜之晶化之熱處理需要對膜加熱6 0 0°C或更 高之溫度,1 〇小時或更長之時間。然而,此一熱處理會 損壞玻璃基底。例如,一般使用於活性矩陣液晶顯示裝置 之基底之康寧(Corning) 7 0 5 9玻璃具有之玻璃扭曲 點爲5 9 3 °C,因此,其不適合大區域基底在6 0 0 °C或 更高的溫度下受熱。 依照發明人之硏究,非晶矽膜之晶化可藉由提供少量 的鎳或鈀或例如鉛等其他元素於非晶矽膜之表面而在 5 5 0 °C下對膜加熱約4小時即可有效的達成。 上述之元素(以下稱爲"可促進非晶矽膜晶化之觸媒 元素〃或簡稱、觸媒元素#)可藉由以電漿處理或蒸氣沈 稹或以離子植入而將元素導入非晶矽膜之表面。電紫處理 爲一種處理其中觸媒元素藉由在例如氫氣或氮氣之大氣中 產生一電漿而加入非晶矽膜中,該電漿使用含有觸媒元素 本紙張尺度逍用中國國家標準(CNS ) A4規格(210X297公釐) ----------「參II 一 (請先閲讀背面之注意事項再填寫本頁) 訂 線 ^60831 A7 經濟部中央梂準局貞工消费合作社印装 B7五、發明説明(2 ) 之電極在平行板型或正圃柱型電漿CV D裝置中產生。 但是,在半導體中出現大董的觸媒元素並不好,此乃 因爲使用此種半導體會傷害半導體所使用之裝置之可靠度 和電穩定性。 亦即,觸媒元素在非晶矽膜之晶化上是必需的,但是 最好不要在結晶矽中加的太多。爲了滿足此需求,必需選 擇在結晶矽中傾向於非活性化之元素當成觸媒元素,並使 加入矽膜之元素之量最少。爲此,加入膜中之觸媒元素之 量必需高準確的控制。 在詳細討論使用鎳之晶化處理後,可得下列之結果: (1 )在以電漿處理將鎳加入非晶矽膜中時,在對膜 做熱處理之前,鎳已侵入非晶矽膜至一相當深的深度; (2 )最初的晶核發生在加鎳之表面;和 (3 )當以蒸氣沈稹在非晶矽膜上形成鎳層時,非晶 矽膜之晶化之發生如同在電漿處理時之情形一般。 (4 )當加入相當大量的鎳於非晶矽膜時,如果雷射 光照射在非晶矽膜上用以晶化或是在加熱晶化後,鎳趨向 於在膜之表面隔離,而導致此膜無法當成活性半導體層使 用。 因此,並非所有以電漿處理功能加入之鎳皆會促進砂 之晶化。亦即,如果導入大置的鎳時,超過量的鎳並不會 促進矽之晶化。爲此,發明人認爲有一關鍵點或事實在於 鎳接觸矽作用以促進矽之晶化於低溫下。亦即,假設鎳不 斷的以原子的型式擴散入矽中。亦即,鎳需要以原子的型 (請先閲讀背面之注意事項再填寫本頁) S. 訂 線 本紙張尺度適用中國國家梯準(CNS ) A4规格(210X297公釐) 經濟部中央標準局貝工消費合作社印製 Γ60831 A7 __B7 五、發明説明(3 ) 式擴散入非晶矽膜之表面附近,且鎳之濃度需儘可能的低 ,但是仍誓在一足夠高的範圍內以促進低溫晶化。 些微量之鎳,亦即可加速矽晶化之觸媒元素以藉由例 P蒸氣沈稹加入非晶矽膜之表面附近。但是,關於膜之 控制性上,蒸氣沈稹相當不利,因此,其不並適合準確的 控制加入非晶矽膜中之觸媒元素之置。 發明概要 鑒於上述之情形,本發明之主要特徵乃在使用一觸媒 元素以在低溫時促進晶化而獲得一結晶矽,該溫度低於未 使用觸媒元素時之溫度。特別的,最高的處理溫度應爲 6 0 0 °C或更低。本發明之另一目的乃在控制(減少)在 結晶矽膜中觸媒之濃度並改善生產率。本發明之另一目的 乃在製造一種半導體裝置,其具有結晶矽半導體,其中至 少一如PN,P I或N I之電接面形成薄膜電晶體,二極 體,光感應器等。 爲了達成本發明之前述目的且依照本發明之最基本概 念,本發明之主要特徵乃在設置含有觸媒元素或化合物之 膜與矽膜接觸,並在擴散進入矽膜之觸媒元索之協助下使 矽晶化。 提供觸媒至膜中之典型例爲氧化矽膜,其以旋轉塗覆 等由一溶液中形成。氧化矽膜可最迅速的使用於半導體裝 置之介電膜。亦即,觸媒材料加入含有氧化矽之溶液中, 而後該溶液塗覆在一表面上以形成加有小S觸媒元索之氧 本紙張尺度逋用中國國家揉準(CNS ) A4规格(210X297公釐) (請先閱讀背面之注意事項再填寫本頁) -装· 訂 線 經濟部中央標準局員工消費合作社印製 60831 A7 _'_ B7 五、發明説明(4 ) 化矽膜。 附圖簡述 本發明之前述和其他目的將參考下述之附豳及本發明 之較佳實施例而詳細說明,其中: 圚1 A — 1 C爲依照本發明之例1用以禊得結晶砂之 過程: 圚2 A — 2 C爲依照本發明之例2用以獏得結晶砂之 過程; 圖3 A - 3 B爲依照本發明之例3用以獏得結晶砂之 過程: 圖4 A_ 4 D爲依照本發明之例4用以獏得結晶较之 過程; 圓5 A_ 5 E爲依照本發明之例5製造TFT之過程 圖6 A — 6 F爲依照本發明之例6製造TFT之過程 9 圚7 A — 7 D爲依照本發明之例7製造TFT之過程 :和 圖8爲在活性矩陣液晶裝置中之稹體電路之例。 本發明之詳細說明 依照本發明,含有以原子型式或化合物型式之觸媒元 素之氧化矽膜與矽膜接觸,特別是在非晶矽膜上,且和氧 本紙張尺度適用中國國家標準(CNS ) Α4规格(210X 297公釐) I Ί ~装 , .1 線 Λ { (請先閲讀背面之注意事項再填寫本頁) 60831 A7 B7 經濟部中央標準局員工消費合作社印製 五、發明説明(5 ) 化矽膜接觸之矽膜以加熱退火而晶化。在加熱退火時,觸 媒元素由氧化矽膜擴散入非晶矽膜以促進晶化。 在本發明之較佳實施例中,含有觸媒元素的氧化矽膜 使用用以形成氧化矽膜之溶液形成。觸媒元素或化合物在 溶液中保持一已定濃度。使用氧化矽膜相當有利,因爲其 可藉由塗覆輕易的形成,且在加熱晶化時可忍耐較高之溫 度。 本發明之上述方法具有下列之優點: (a )在溶液中觸媒之濃度可受到準確的控制: (b )如果溶液與矽膜之表面接觸時加入矽膜中之觸 媒元素之鼉可藉由在溶液中觸媒元素之濃度決定:和 (c )由非晶矽膜之表面所吸收之觸媒元素主要當成 用以促進晶化之觸媒,因此,在矽中觸媒之量可以減少。 用以準備保持有觸媒元素之氧化矽膜之溶液之最典型 例爲OCD溶液(Ohka擴散源),其中Tokyo Ohka公司所 生產。0 C D包含矽烷醇序列單體或寡聚物溶解在例如甲 醇或酮之有機溶液中。OCD亦含有例如有機粘合劑之適 當添加物。在此例中亦可使用另一種溶液,其中氧化矽之 細微粒子溶解或擴散在有機溶劑中。例如,由Nissan Kagaku Kogyo Kabushikigaisha 所銷售之 NT — L6008,NHC AT-7 3 2 *NHC A T -7 4 1 R,和NHC CT— 3 3 0 1亦可使用當成氧化 矽膜之啓始材料。 例如,當使用OCD溶液和鎳當成觸媒元素時,可使 (請先閲讀背面之注意事項再填寫本頁) -裝_
-、1T 線 本紙張尺度適用中國國家標準(CNS ) Α4規格(210X297公嫠) -60831 A7 B7 經濟部中央標準局員工消費合作社印製 五、發明説明(6 ) 用下述之方法。 (1 )直接的將鎳化合物加入OCD溶液中,或 (2 )鎳化合物溶解在溶劑中,並將此溶劑中加入 Ο C D溶液。 在上述的方法(1 )中,鎳化合物必需溶解在OCD 之溶劑中。例如,可使用乙醯丙酮酸鎳或2 —乙基己酸鎳 Ο 在使用上述之方法(2 )時,可使用如水,乙醇,酯 ,或酮之溶劑以溶解鎳化合物。但是,最好使用和OC D 溶液之成分相同之溶劑。 可使用乙醇當成溶劑之鎳化合物之例爲溴化鎳,醋酸 鎳,草酸鎳,氣化鎳,碘化鎳,硝酸鎳,硫酸鎳,甲酸鎳 ,乙醯丙酮酸鎳,和4 _環己基丁酸鎳。 再者,在含有觸媒之溶液中加入界面活性劑是有益的 。此外,將黏著增進劑(例如HMDS (六甲基矽胺烷) ,由Tokyo Ohka Kogyo公司所生產之OAP )應用至以含 有觸媒元素之溶液塗覆之表面以增加其間之黏合是相當有 利的。 在上述的例子中,鎳化合物完全的溶解在溶液中。但 是,在此例中亦可使用例如乳化液之溶液,其中鎳元素或 鎳化合物均質的擴散,並將此溶液加入〇CD中。 其他非鎳之觸媒元素亦可以上述相同之方式使用。 雖然加入溶液中之觸媒之量決定於使用之溶液種類, 但是其約爲2 0〜5 0 0 0 ppm,且最好爲2 0 0〜 本紙張尺度逋用中國國家揉準(CNS ) A4規格(210 X 297公釐) (請先閲讀背面之注意事項再填寫本頁) 裝. 訂 線 60831 Α7 Β7 五、發明説明(7 ) 2 0 0 0 ppm,相對於OCD溶液,而OCD溶液含有 之氧化矽膜濃度爲2. 0 w t % 〇 再者,於此亦可以藉由使用含有觸媒元素之OCD溶 液在所選擇之矽膜之部份以選擇性的執行非晶矽膜之晶化 。特別的,此晶化由氧化矽膜所形成之區域進行到氧化矽 膜所未應用之區域。在此一例中,結晶成長於大約平行矽 膜之表面,而本發明之發明人將此區域稱爲側向成長區域 0 結晶膜之側向成長區域傾向於包含低濃度的觸媒元素 ,當與直接提供含有氧化矽之觸媒之區域比較時。因此, 由於在活性區域中雜質的濃度可明顯的降低,側向成長面 域特別有利於當成半導體裝置之活性區域。因此,使用側 向成長區域當成活性區域以製造半導體裝置是相當有利的 0 在本發明中,鎳當成最好的觸媒元素。但是,其他的 觸媒元素亦可以相同的方式使用。這些元素例如Pd, 經濟部中央標準局員工消費合作杜印製 (請先閲讀背面之注意事項再填寫本頁) P t ,Cu,Ag,Au,In,Sn,Pb,P,As 和Sb。最好由日本週期表之VI I I ,I I I b, I V b和V b元索族中選擇一個或多個元索。 在使用鐵的例子中,可使用之鐵鹽如
FeBr26H20, FeBr36H20, Fe(C2H302)3xH2〇, FeCl24H20, FeC136H20, FeF33H20, Fe(N〇3)9H20, Fe3(P04)8H20, and FeP042H20. 在使用鈷的例子中,可使用之鈷鹽如 本紙張尺度適用中國國家標準(CNS ) A4規格(210 X 297公釐),Λ
* 1U 經濟部中央標準局員工消費合作社印製 < 60831 a? B7 五、發明説明(8 )
CoBr6H20, Co(C2H3〇2)24H2〇, CoCl26H2〇, CoF2xH2〇, and Co(N〇3)26ri2〇. 在使用釕的例子中,可使用之釕鹽如R u C 1 3H2〇 Ο 在使用铑的例子中,可使用之铑鹽如R h C 1 3H2〇 0 在使用鈀的例子中,可使用之鈀鹽如P d C 1 2H2〇 Ο 在使用餓的例子中,可使用之餓鹽如O s C 1 3。 Ο 在使用銥的例子中,可使用之銥鹽如 IrCl33H2〇 和 IrCl4° 在使用鉑的例子中,可使用之鉑鹽如 P t C 1 4 5 Η 2 0 ° 在使用銅的例子中,可使用之銅化合物如 Cu(CH3COO)2, CuC122H2〇, and Cu(N03)23H2〇. 在使用金的例子中,可使用之金化合物和 AL1CI3XH2O, AuHC144H2〇, AuNaCl42H2〇. 形成在非晶矽膜上並包含在氧化矽膜中之觸媒元素藉 由熱處理擴散進入非晶矽膜中,藉以促進矽膜之晶化。値 得注意的是,在氧化矽膜中觸媒元素之擴散因素與在非晶 矽膜中之擴散因數比較是非常小。因此,實際作用以促進
本紙張尺度適用中國國家標準(CNS > Λ4規格(210X297公釐y H (请先閲讀背面之注意事項-S-填寫本筲) -装· 訂 經濟部中央標準局員工消費合作社印製 ^60831 A7 __B7 五、發明説明(9 ) 晶化之觸媒元素只是存在於氧化矽膜和非晶矽膜附近之觸 媒元索之一部份。換言之,只有存在於和矽膜接觸之氧化 矽膜介面區域之觸媒材料會擴散進入矽膜。因此,使用氧 化矽膜之優點在於即使氧化矽膜之厚度並不均勻,但是加 入矽膜中之觸媒之置仍可相當均勻。 再者,藉由控制加熱時間或溫度,亦可控制擴散進入 非晶矽膜中觸媒材料之量。 〔例1〕 在此例中,含有觸媒材料之OCD溶液塗覆在非晶砂 膜上以形成氧化矽,而後執行晶化。 參考圖1A,基底1 1爲康寧7 0 5 9玻璃,其尺寸 爲1 0 OmmXl 0 Omm。最初,非晶矽膜1 2以已知 的電漿CVD或LPCVD法形成厚度爲1 〇 〇 — 1500A,例如 1000A。 所形成之非晶矽膜1 2以氫氯酸處理以移去形成在其 上之污染物或自然氧化物。而後,含有鎳當成觸媒元素之 氧化膜1 3以下述之方式形成。 最初*準備OC D溶液(由Tokyo Ohka所生產之 OCD型2 S i 5 9 0 0 0 )。再者,乙醯丙酮酸鎳乃溶 解於醋酸甲酯中。此溶液以下述之方式混合,即, S i 〇2之澳度控制爲2 . 〇重量百分比而鎳之濃度爲 2 0 0〜2 0 0 0 ppm。亦即,在膜中鎳對氧化矽之比 例爲 1:0. 1 至 1:0. 01。 本紙張尺度適用中國國家榡準(CNS > A4規格(2H)X297公釐)""""7 -12 (請先閲讀背面之注$項再填寫本頁) -裝. 訂 60831 經濟部中央標準局員工消費合作社印製 A7 B7 五、發明説明(10) 前述的混合溶液滴1Omi到非晶矽膜12之表面, 而後,藉由旋轉器1 5將基底以2 0 0 r pm旋轉1 5秒 以執行旋轉塗覆。而後,在2 5 0 °C下預先烘乾3 0分鐘 以獲得含有鎳且厚度爲1 3 Ο 0A之氧化矽膜1 3。預先 烘乾之溫度依照所使用之鎳化合物之分解溫度而定。再者 ,藉由控制旋轉塗覆之旋轉速度和相關於溶液之氧化矽之 濃度,氧化矽膜之厚度可任意的決定。發明人認爲由其經 驗而知2 0 0〜1 3 Ο 〇A之厚度最合適。 而後,上述的結構以5 5 0 °C之溫度在爐中在氮氣中 加熱4小時。結果,可在基底11上獲得具有晶態之矽膜 1 2。熱處理之溫度最好爲4 5 0 °C或更高。如果低於 4 5 0 °C,熱處理之時間必需加長,因此無法改善生產率 。再者,當溫度高於5 5 0 °C時,必需特別注意玻璃基底 之耐熱性。 含有觸媒元素之氧化矽膜可形成在非晶矽膜之下。在 此一例中,溶液形成在基底上以形成氧化矽膜,而後,非 晶矽膜形成於氧化矽膜上。在此情形中,氧化矽膜具有在 玻璃基底上當成阻擋層之功能。 再者,依照溶液中S i 〇2之澳度決定在OCD溶液 中鎳之澳度。必需考慮的是,由氧化矽膜中擴散進入矽之 鎳之量受到加熱退火時之時間和溫度之影響。 〔例2〕 在此例中,含有鎳之氧化矽膜選擇性的形成在非晶砂 (請先閲讀背面之注意事項再填寫本頁) -'β 本紙張尺度適用中國國家標準(CNS ) A4規格(2丨Ο X 297公釐} _ 3 經濟部中央標準局員工消費合作杜印裝 -60831 A7 B7五、發明説明(11) 膜上。 參考圖2A,使用1 0平方公分之康寧7 0 5 9玻璃 當成基底2 1。非晶矽膜2 2經由已知的電漿CVD法而 在基底2 1上形成1 0 0 0A之厚度。 在對非晶矽膜2 2以氫氟酸處理以移去形成在其上之 污染物或自然氧化物後,含有鎳之氧化矽膜2 3以下述之 方式形成在膜之選擇部份。 最初,乙醯丙酮酸鎳直接混入OC D溶液(由Tokyo Ohka所生產之0CD型2 S i 2 0 0 0 0 )。在0CD溶 液中之鎳濃度控制爲2 0 0〜2 0 0 Oppm。 將上述之溶液滴1 在非晶矽膜2 2之表面上, 而後使用旋轉機以2 0 0 0 r pm執行旋轉塗覆1 5秒。 而後,藉由在2 5 0 °C下預先烘乾3 0分鐘以形成含有 3 0 0 A厚之含氧化矽膜之鎳。預先烘乾之溫度視乙醯丙 酮酸鎳之分解是否在約2 3 5 °C下完成。但是,如果預先 烘乾之溫度太高,在預先烘乾時,鎳元素會擴散進入非晶 矽膜。 而後,藉由已知的光石印法在氧化矽膜2 3中定圖樣 以形成所需之圈樣。由於由OCD溶液所形成之氧化矽膜 2 3之蝕刻率快達每秒數十埃,定圖樣可輕易的以1/ 10 0 HF完成。因此,可在未傷害氧化矽膜2 2下, 對氧化矽膜定圖樣。結果,氧化矽膜2 3之圖樣形成在非 晶矽膜之選擇部份上,而鎳導入此部份如圖2 B所示。如 果想要獏得更佳的圚樣,亦可使用乾蝕刻法。 (請先閲讀背面之注意事項再填寫本頁) -裝. 訂 線 本紙張尺度適用中國國家樣準(CNS )八4規格(210X297公釐) 經濟部中央標準局員工消費合作社印製 ^〇S31 A7 B7 五、發明説明(l2) 然後,移去在上述圖樣中使用之光阻上物(未顯示) ,而表面以非常稀之氫氟酸溶液(小於1/1 0 0 )清洗 。氫氟酸溶液之密度必需相當稀以免破壤氣化矽膜2 3。 然後,上述的結構在一爐中在氮氣下以5 5 0 °C退火 4小時以使矽膜2 2晶化,如同例1之方式。但是,在本 例中之結晶成長和例1不同處在結晶由1E域2 4側向的成 長區域2 5如圚2 C之箭頭所示。區域2 4表示鎳遣:接由 氧化矽膜導入之區域,而區域2 5表示鎳並未直接導入之 區域。在側向成長區域中結晶成長之方向實質與〔1 1 1 〕之軸對準。 在區域2 4中之鎳澳度可藉由控制溶液之密度而控制 在 1X1 016至 1 0X1 019a t oms/cm3之範園 。在區域2 5中之鎳濃度比區域2 4中之濃度低。再者, 値得注意的是,如果厚度大於大於一確定値時,在矽膜中 鎳之濃度並不會受到氧化矽膜之厚度之影響。此乃因爲在 氧化矽中鎳之擴散因數非常小,因此只有在與矽膜2 2之 介面附近範圍爲數十埃之氧化矽膜之部份之鎳會擴散進入 矽膜中當成觸媒。 和鎳直接經由電漿處理之情形比較,由上述方式所獲 得之結晶矽膜相對於氫氰酸具有較良好的抵抗力。例如, 當必需定圖樣氧化矽膜,而該氧化矽膜形成在結晶矽膜上 當成中間暦絕綠器,或閘絕緣器,以形成一觸媒孔時,通 常使用緩衝的氫氟酸當成蝕刻劑。如果結晶矽膜不具有足 夠抗拒氫氟酸之阻力時,欲選擇性的只移去氧化矽膜而不 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐)_ 15 (請先閲讀背面之注意事項再填寫本頁) -* 經濟部中央標準局員工消費合作社印製 ^60831 五、發明説明(l3) 破壞矽膜是相當困難的。但是,在本發明之情形中,可獲 得相當大的選擇比例(亦即,對氧化矽膜和結晶矽膜之触 刻率間之差異),以只單獨移去氧化矽膜。 如上所述,側向成長區域具有相當小的觸媒澳度且具 有相當良好的晶態。因此,此區域可以使用當成例如薄膜 電晶體之半導體裝置之活性區域。 〔例3〕 本例係說明選擇性的導入觸媒元素之另一例。參考圖 3A,非晶矽膜3 2以已知的電漿CVD在玻璃基底3 1 上形成1 0 0 0A之厚度。而後,形成1 0 〇 0A厚之氧 化矽膜33,再定圖樣以形成一掩模。 在以氫氟酸由表面移去污染物或自然氧化物後,含有 鎳當成觸媒之氧化矽膜3 4以下述之方式形成。 最初,2 —乙基己酸鎳溶液混入OCD溶液中( OCD 型 2 S i 5 9 0 0 0 ,由 Tokyo Ohka所產製的)以 使溶液中Si 〇2之濃度爲4. Owt%而鎳之澳度爲 2 0 0 — 2 0 0 0ppm° 將上述的溶液滴1 〇mj?之量在非晶矽膜3 2之表面 ,而後使用旋轉機在2 0 0 0 r pm下旋轉塗覆1 5秒。 結果,由掩模3 3之步階所引起之步階幾乎由表面消失。 而後,在3 5 0 °C下預先烘乾6 0分鐘以形成含鎳之氧化 矽膜3 4。在預先烘乾時,鎳由區域3 5擴散進入非晶砂 膜3 2中。因此,擴散進入矽膜中之鎳的量可藉由改變預 本紙張尺度適用中國國家標率(CNS ) A4規格(210X297公釐)_ (請先閲讀背面之注意事項再填寫本頁)
T 經濟部中央標準局員工消費合作社印製 "60831 A7 __B7____ 五、發明説明(I4) 先烘乾之時間和溫度而控制。 在上述之預先烘乾後,如果有需要留下矽膜之部份 3 5和圖3B所示,則移去氧化矽膜3 4。再者,區域 3 5含有非常高濃度之鎳。由OCD溶液所形成之氧化矽 膜3 4可以如前所述之方式輕易的移去。 而後,非晶矽膜3 2在氮氣中在5 5 0 °C下加熱4小 時以使晶化。此時,結晶由區域3 6成長至區域3 7如圊 之箭頭所示。區域3 6爲鎳直接導入之區域,而區域3 7 爲鎳未直接導入之區域。 結晶矽膜之區域3 7之表面具有〔1 1 1〕平面。此 乃因爲結晶成長發生在區域3 7中,而其表面覆蓋著氧化 矽膜。另一方面,在例2中之側向成長區域2 5並未具有 〔1 1 1〕平面。此乃因爲結晶成長發生在區域2 5,而 其表面並未覆蓋,亦即,其表面爲自由表面。 〔例4〕 在本例中,依照本發明所形成之結晶矽膜進一步以雷 射光照射以改善晶態。參考圖4A,非晶矽膜4 2以已知 的電漿CVD法在1 0立方公分的康寧7 0 5 9玻璃基底 41上形成1000A厚。 在非晶矽膜4 4以氫氟酸處理以移去其上之污染物或 自然氧化物後,含鎳之氧化矽膜4 3以下述之方式形成在 膜之選擇部份。 最初,乙醢丙酮酸鎳直接混入OCD溶液(由Tokyo 本紙張尺度適用中國國家樣準(CNS ) A4规格(210X297公釐),α _丄/ II Γ-(I 裝 · n 、言 ^旅 (請先閲讀背面之注意事項再填寫本頁) 經濟部中央標準局員工消費合作社印製 *-60831 A7 B7 五、發明説明(l5〉
Ohka所生產之OCD型2 S i 2 0 0 0 0 )。在〇CD溶 液中之鎳漢度控制爲2 0 0〜2 0 0 0 ppm〇 將上述之溶液滴1 Omi?在非晶矽膜2 2之表面上, 而後使用旋轉機以2 0 0 0 r pm執行旋轉塗覆1 5秒。 而後,藉由在2 5 0 °C下預先烘乾3 0分鐘以形成含有 1 3 0 0A厚之含氧化矽膜4 3之鎳。預先烘乾之溫度視 乙醯丙酮酸鎳之分解是否在約2 3 5 °C下完成。但是,如 果預先烘乾之溫度太高,在預先烘乾時,鎳元素會擴散進 入非晶矽膜。 而後,藉由已知的光石印法在氧化矽膜4 3中定圖樣 以形成所需之圖樣。由於由OCD溶液所形成之氧化矽膜 2 3之蝕刻率快達每秒數十埃,定圖樣可輕易的以1 / 10 0 HF完成。因此,可在未傷害氧化矽膜4 2下, 對氧化矽膜定圖樣。結果,氧化矽膜4 3之圚樣形成在非 晶矽膜之選擇部份上,而鎳導入此部份如圖4 B所示。如 果想要獲得更佳的圖樣,亦可使用乾蝕刻法。 然後,移去在上述定圖樣中使用之光阻上物,而表面 以非常稀之氫氟酸溶液(小於1 / 1 〇 〇 )清洗。氫氟酸 溶液之密度必需相當稀以免破壞氧化矽膜4 3。 然後,上述的結構在一爐中在氮氣下以5 5 0 °C退火 4小時以使矽膜4 2晶化。結晶側向成長由區域4 4至面 域4 5。如圖4 C之箭頭所示。區域4 4表示鎳直接由氧 化矽膜導入之區域,而面域4 5表示鎳並未直接導入之區 域。在側向成長區域中結晶成長之方向實質與〔1 1 1〕 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐)_ . — .1— II — — I I 訂 1*_111—^ 务 (請先閲讀背面之注意事項再填寫本頁) 經濟部中央標準局員工消費合作社印製 <60831 A7 ___B7 五、發明説明(l6) 之結晶軸對準。 在區域4 4中之鎳濃度可藉由控制溶液之密度而控制 在 1 X 1 016至 1 Ο X 1 019a t oms/cm3之範圍 內。在區域4 5中之鎳澳度比區域4 4中之濃度低。再者 ,値得注意的是,如果厚度大於大於一確定値時,在矽膜 中鎳之澳度並不會受到氧化矽膜之厚度之影響。 在本例中,所獲得之結晶矽膜之全部表面進一步以雷 射光4 6照射如圖4 D所示以進一步增加結晶。例如可使 用Kr F準分子雷射(波長2 4 8 nm)具有能量密度爲 2 0 OmJ/cm2至 3 5 OmJ/cm2,例如使用 3 5 OmJ/cm2。 關於照射方面,由於直接導入鎳之區域4 4在抵抗雷 射光照射之阻力上較區域4 5差,因此,氧化矽膜4 3當 成阻擋層成阻擋雷射光。 再者,當雷射光照射在氧化矽膜4 3上時,最好對基 底加熱太多以避免鎳由氧化矽膜4 3擴散進入矽膜中。例 如,基底必需保持在不高於3 0 0 °C之溫度下。此外,如 果氧化矽膜4 3在雷射照射之前移去時,基底在雷射照射 時必需加熱至一較高的溫度。但是,雷射光束之强度必需 受到控制以免破壞區域4 4。 因此,在與只有使用雷射照射以晶化之情形比較下, 本例可進一步增加矽膜之晶態。 再者,除了雷射外,亦可使用其他的加强光源,如閃 光燈,特別是紅外線照射。由於紅外線不會被玻璃基底吸 本紙張尺度適用中國國家ϋ ( CNS ) A4規格(210X297公釐)_ I — 裝 , I 訂 II ^旅 (請先閲讀背面之注意事項再填寫本頁) 經濟部中央標準局員工消費合作杜印製 A 7 B7 五、發明説明(17) 收,其可以僅對矽膜加熱。此種照射通常稱爲快熱退火( RTA)或快熱處理(RTP)。 〔例5〕 本例係說明依照本發明製造TFT當成圖素TFT之 例。TFT可使用當成開關元件以提供在活性矩陣液晶裝 置之每個圖素中。再者,TFT亦可使用於所謂的薄膜稹 體《路中。 參考B05A,準備具有2 Ο Ο 0A之氧化矽屉(未顯 示)之玻璃基底11當成雜質阻擋層。在基底上,以已知 的電漿CVD法形成1 Ο Ο 0A之多晶矽膜1 〇 4。在非 晶矽膜1 0 4上,含有氧化矽膜1 0 0之鎳以和例1所述 相同之方式形成。
藉由對形成在非晶矽膜上之氧化矽1 0 0加熱退火以 使非晶矽膜晶化。在晶化後,使用緩衝氫氟酸移去氧化砂 膜1 0 0 ,而後,結晶矽膜定圖樣成矽島1 1 6如圖5B 所示。而源極,汲極和通道區域形成在矽島116中。 而後,參考圖5B,氧化矽膜1 0 5形成厚度爲 2 0 0〜1 5 Ο 0A,例如1 〇 〇 0A,當成閘絕緣膜。 氧化矽膜1 0 5藉由RF電漿CVD法,使用TEOS ( 四乙氧基矽烷)而沈積。亦即,TEOS分解並與氧一起 沈稹在一基底溫度爲1 5 0至6 0 0°C,且最好在3 0 0 至4 5 0 °C之範圍內。在全部壓力爲〇. 0 5至 〇 5Torr下,TEOS和氧之壓力比爲1:1至1 本紙張尺度適用中國國家標準(CNS ) A4規格(210X 297公釐) (請先閱讀背面之注意事項再填寫本頁) •裝. -$ 20 <6〇831 A7 B7 經濟部中央標準局員工消費合作杜印製 五、 發明説明 ( 18) 1 I • • 3 〇 R F 能 置 爲 1 0 0 至 2 5 0 W 〇 另 — 方 面 9 亦 可 藉 1 I 由 減 壓 C V D 或 常 壓 C V D 法 9 使 用 T E 0 S 當 成啓 始 氣 1 1 體 和 臭 氧 氣 體 —- 起 形 成 氧 化 矽 膜 9 而 保 持 基 底 溫 度 在 1 I 請 I 3 5 0 至 6 0 0 °c 之 範 圍 9 特 別 是 在 4 0 0 至 5 5 0 °c 之 先 聞 1 1 讀- I I 範 圍 〇 如 此 沈 稹 之 膜 乃 在 氧 氣 下 退 火 或 在 臭氧 下 9 在 背 1 I 4 0 0 至 6 0 0 °c 之 溫 度 範 圍 下 9 退 火 3 0 至 6 0 分 鍾 0 之 注 素 1 1 1 而 後 9 使 用 選 擇 性 的 K r F 準 分 子 雷 射 ( 波 長 2 4 8 項 再〆 J η m 9 脈 衝 宽 度 2 0 η S e C ) 或 等 效 之 强 光 照 射 以 改 善 4 寫 本 1 晶 態 0 特 別 的 ♦ 由 於 矽 膜 可 選 擇 性 的 加 熱 而 不 會 對 玻 璃 基 頁 1 Ί 底 加 熱 使 用 紅 外 線 照 射 之 R T A 更 爲 有 效 〇 1 1 再 者 9 由 於 R T A 降 低 介 於 矽 層 和 氧 化 矽 膜 間 之 介 面 1 I 位 準 9 其 特 別 有 利 於 製 造 絕 緣 閘 場 效 半 導 體 裝 置 〇 訂 I 而 後 9 藉 由 電 子 束 蒸 鍍 9 使 鋁 膜 沈 積 2 0 0 0 A 至 1 1 1 | μ m 厚 並 定 圖 樣 以 形 成 閘 電 極 1 0 6 0 鋁 膜 可 包 含 1 1 0 1 5 0 2 重 Μ 百 分 比 之 钪 0 此 基 底 於 後 沒 入 乙 二 1 醇 溶 液 ( 其 受 控 制 使 Ρ Η 値 約 爲 7 9 且 包 含 1 3 % 之 酒 - 1 石 酸 ) 9 以 作 用 成 使 用 鉑 當 成 陰 極 而 鋁 閘 電 極 當 成 陽 極 之 | 陽極 氣 化 反 應 0 此 m 極 氧 化 首 先 以 一 固 定 速 率 增 加 電 壓 至 1 2 2 0 V > 而 後 保 持 2 2 0 V 電 壓 1 小 時 以 完 成 氧 化 Ο 在 1 1 I 保 持 固 定 電 流 時 9 電 壓 敢 好 以 2 5 V / m i η 之 速 率 增 1 1 I 加 0 而 後 9 形 成 1 5 0 0 3 5 0 0 A 特 別 是 1 1 2 0 0 0 A 之 m 極 氧 化 物 1 0 9 0 1 1 而 後 > 參 考 圖 5 C 9 藉 由 離 子 滲 入 法 ( 亦 稱 電 漿 滲 入 1 I 法 ) > 使 用 閘 電 極 部 份 當 成 掩 模 9 以 白 我 對 準 方 式 將 亞 磷 1 1 本紙張尺度適用中國國家樣準(CNS〉A4規格(210X297公釐)_ 21 A7 B7 60831 五、發明説明(l9) 酸離子導入矽島之部份。關於滲雜氣體方面,可以使用磷 化氣(pH3 )。其劑量爲1〜4X1 015a t om/ cm2。再者,以Kr F準分子雷射(波長2 4 8 nm, 脈衡宽度2 0 n s e c )照射以治療由於離子摻雜所傷害 之晶態。笛射之能量密度爲1 5 0〜4 0 0mJ/cm2 ,最好爲2 0 0〜2 5 OmJ/cm2°因此,可形成η 型雜質區域1 0 8和1 0 9。而在這些區域中之片電阻爲 2 0 〇〜8 0 0Ω /平方。 雷射退火步驟可利用RTA處理取代之,亦即,使用 閃光燈之加熱退火處理,其包含快速的提升矽膜之溫度由 1 0 0 0〜1 2 0 0 °C (由矽監視器所鼉測到的)。 參考圖5D,藉由使用TEOS和氧一起之電漿 CVD法,或使用TEOS和臭氧之減壓CVD或常壓 CVD法,於後沈積3 0 0 0A厚之氧化矽膜當成中間層 絕緣器1 1 0。基底溫度範圍由2 5 0〜4 5 0 °C,例如 3 5 0 °C。而後,藉由對所得的氧化矽膜機械的拋光以獲 得一平滑表面。I TO塗覆以濺鍍方式沈積於其上,而後 定圖樣以提供圖素電極111。 中間層絕緣器110受蝕刻以在源/汲極形成接觸孔 ,如圖5 E所示,並使用氮化鉻或氮化鈦形成中間連接 1 1 2和1 1 3以將中間連接1 1 3連接至圖素電極 111° 由於在本發明中所形成之結晶矽膜具有足夠抵抗氫氧 酸之阻力,可使用1 0 p pm水溶液之氫氟酸水溶液以形 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐)00
-LL --------0¾ I I (請先閲讀背面之注意事項再填寫本頁) *τ 經濟部中央標準局員工消費合作社印製 A7 B7 經濟部中央標準局員工消費合作社印製 五、 發明説明 ( 20) 1 | 成 具 有 良 好 可 靠 度 之 接 觸 孔 〇 1 1 最 後 9 此 結構在 w< 氣 中 在 3 0 0 4 0 0 °c 之 溫 度 範 1 1 園 內 退 火 0 1 2 小 時 以 使矽 膜 氫 化 0 因 此 , 可 獲 得 —* V 1 I 請 1 T F T 〇 具 有 相 同 結 構 之 多 數 Τ F Τ 可 在 相 同 的 基 底 上 同 閲 1 1 讀 « 1 1 時 形 成 以 形 成 液 晶 裝 S 之 活 性 矩 陣 電 路 0 如 圖 5 E 所 示 之 背 面 1 1 之 1 T F T 具 有 源 極 和 汲 極 TSF 域 1 0 8 和 1 0 9 9 和 通 道 區 域 注 意 1 I 1 1 4 0 再 者 > 參 考 數 字 1 1 5 表 示 N I 接 面 〇 事 項 再 1 依 照 本 發 明 9 包 含 在 活 性 層 中 之 鎳 之 濃 度 範 園 爲 1 X 填 % 本 1 裝 1 0 1 6 3 X 1 0 1 Θ a t 0 τη / C m 3 < 5 頁 1 1 1 ( 例 6 ) 1 1 I 在 此 例 中 9 半 導 體 裝 置 之 活 性 區 域 使 用 結 晶 成 長 在 側 訂 I 方 向 ( 平 行於 基 底 表 面 ) 之 結 晶 矽 膜 之 區 域 形 成 0 藉 由 使 1 I I 用 此 一 面 域 9 可 降 低 在 活 性 域 中 觸 媒 元 索 之 澳 度 0 因 此 1 1 9 可 改 善 裝 S 之 竃 特 性 和 可 靠 度 0 本 例 特 別 是 針 對 使 用 以 控 制 活 性 矩 陣 裝 置 之 圖 素 之 T F T 之 製 造 0 圖 6 A 6 F 爲 依 照 比 例 之 T F T 製 造 之 | 横截面 圖 0 * I I 參 考 圚 6 A 9 基 底 2 0 1 受 清 洗 並 在 其 表 面 上 提 供 氧 1 1 I 化 矽 膜 2 0 2 0 氧 化 矽 膜 2 0 2 使 用 氧 和 四 乙 氧 基 矽 焼 當 1 1 成 啓 始 氣 體 9 以 電 漿 C V D 法 形 成 0 氧 化 矽 膜 之 厚 度 佳 1 1 2 0 0 0 A 0 而 後 厚 度 爲 5 0 0 1 5 0 0 A 9 例 如 1 1 1 0 0 0 A 之 本 質 型 非 晶 矽 膜 2 0 3 形 成 在 氧 化 矽 膜 1 1 2 0 2 上 9 接 著 厚 度 爲 5 0 0 2 0 0 0 A 9 例 如 1 1 本紙張尺度適用中國國家榡準(CNS ) A4规格(210X297公嫠〉_ 23 "60831 A7 B7 五、發明説明(21) 1 0 0 0A之氣化矽膜2 0 5連績的形成在非晶矽膜上。 再者,氣化矽膜選擇性的蝕刻以在非晶矽膜曝露處形成開 口 2 0 6 0 而後,含有鎳用以促進矽之晶化之氧化矽膜2 0 7以 和例3相同之方式形成。 在如例3所討論之預先烘乾後,移去氧化矽膜2 0 7 ,並使基底在氮氣下在5 0 0〜6 2 0 °C退火4小時以使 矽膜2 0 3晶化。結晶由開口 2 0 6下方之區域開始,於 此矽膜直接接觸含氧化矽膜之鎳,而進一步成長在與基底 平行之方向。在圖中,參考數字2 0 4表示矽膜直加入鎳 並晶化之矽膜區域,而參考數字2 0 3表示結晶成長在側 方向的部份。在側向上成長之結晶長度約爲2 5 。再 者,結晶成長之方向大致沿著〔1 1 1〕之結晶軸。 在結晶後,移去氧化矽膜2 0 5。此時,在開口 2 〇 6中存矽膜上之氧化膜同時被移去。再者,矽膜以乾 經濟部中央標準局員工消費合作社印製 (請先閲讀背面之注意事項再填寫本頁) 蝕刻定圖樣以形成島型之活性層2 0 8,如圓6 B所示。 値得注意的是,包含在矽膜中之鎳之高濃度不只低於直接 加入鎳之開口 2 0 6 ,且亦低於存在有結晶之頂端部份。 矽膜之定圖樣以下述之方式爲之,亦即,定圖樣之矽膜 2 0 8並不包括含有鎳爲高濃度之部份。 參考圖6B,定圖樣活性層2 0 8暴露至1 0 a tm 含有1 0 0%水蒸氣之大氣下,在5 0 0〜6 0 0 °C,典 型的爲5 5 0 °C,1小時,以氧化其表面,以形成 1 0 0 0A之氧化矽膜2 0 9。在氧化後,基底保持在氣 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐〉 60831 A7 經濟部中央標準局員工消費合作社印製 B7 五、發明説明(22) 氣(latm,100%)中在400 °C下。在此狀況, 氣化矽膜209以具有波長之强度波峰値在0. 6〜 4//m之範園,例如0. 8〜1. 4#m之紅外線照射 3 0〜1 8 0秒以使氧化矽膜2 0 9氮化。亦可在大氣中 加入0. 1〜10%之HC)?。使用鹵素燈當成紅外線之 光源。IR光之强度受到控制以使在監視單晶矽晶片之表 面溫度設定爲9 0 0〜1 2 0 0 °C。更特別而言,藉由嵌 入單晶矽晶片之熱電偶而監視溫度,並傳回I R光源(娥 回)。在此例中,溫度上升率在5 0〜2 0 0 °C/s e c 之範園保持固定,且基底以2 0〜1 0 0°C/s e c自然 冷卻。由於I R光可對矽膜選擇性的加熱,因此可減少對 玻璃基底之加熱。 參考圚6C,以濺鍍法形成3 0 0 0〜8 0 0 0A, 例如6 0 0 〇A,厚之鋁膜,而後定圖樣成閘電極2 1 0 。鋁膜最好含有钪0. 01〜0. 2%。 而後,如圖6 D所示,鋁電極之表面陽極氧化以形成 陽極氧化膜211。此陽極氧化在含有1〜5%酒石酸之 乙二酵溶液中進行。陽極氧化膜之厚度爲2 0 0 0A。陽 極氧化膜2 1 1之厚度會決定偏置閘區域之厚度,如將解 釋如下。 參考圖6 E,使用閘電極和環繞陽極氧化膜當成掩模 ,藉由離子滲雜方法,N型導電雜質(亞磷酸)以自我對 準方式導入活性層中以形成雜質區域2 1 2和2 1 3。使 用磷化氫當成滲雜氣體。加速電壓爲6 0〜9 0KV,例 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐> _ (請先閲讀背面之注意事項再填寫本頁) -s Γ <60831 A7 B7 經濟部中央標準局員工消費合作社印製 五、 發明説明 ( 23) 1 I 如 8 0 Κ V 0 劑 Μ 爲 1 X 1 0 1 5 8 X 1 0 1 5 C m -2 例 1 1 1 如 4 X 1 0 1 5 C m -2 0 如 圖 所 示 9 雜 質 區 域 2 1 2 和 1 1 2 1 3 與 閘 電 極 偏 移 % X 之 距 離 0 此 種 結 構 之 優 點 爲 可 1 I 請 1 | 降 低 發 生 在施 加 — 逆 向 偏 壓 ( 亦 即 在 N T F T 之 情 形 中 之 先 閱 1 1 讀 I 負 電 壓 ) 至 閘 電 極 時 所 產 生 之 漏 電 流 ( 偏 置 電 流 ) 0 特 別 背 1 1 的 9 由 於 m 要 使 儲 存在 圖 素 電 極 之 電 荷 保 持 著 而 Jhrt. 撕 漏 電 之 之 注 意 1 1 I 情 形 以 獏 得 良 好 的 顯 示 , 當 使 用 T F T 用 以 切 換 活 性 矩 陣 事 項 再J 1 之 圖 素 如 同 在 本 例 中 之 情 形 時 9 此 偏 置 結 構 特 別 有 利 0 寫 本 1 而 後 9 以 雷 射 照 射 執行 退 火 0 關 於 雷 射 方 面 > 可 使 用 頁 1 K r F 準 分 子 雷 射 ( 波 長 2 4 8 η m > 脈 衝 寬 度 1 1 2 0 η S e C ) 或 其 他 雷 射 0 在 Κ r F 準 分 子 雷 射 的 狀況 1 1 如 下 能 量 密 度 2 0 0 4 0 0 m j / C m 2 例如 訂 I 2 5 0 m j / C m 2 每側多數之發射爲2 !々 -] c )次發射 1 1 | > 例 如 2 次 發 射 0 而 基 底 最 好 加 熱 至 2 0 0 4 5 0 °C 以 1 1 增 强 照 射 之 效 果 0 1 參 考 圖 6 F 9 氧 化 矽 之 中 間 層 絕 綠 膜 2 1 4 以 電 漿 - 1 C V D 法 形 成 6 0 0 0 A 之 厚 度 〇 再 者 以 旋 轉 塗 覆 形 成 I 透 明 聚 亞 醯 胺 膜 2 1 5 以 獏 得 . 位 準 表 面 0 而 後 $ 例 如 由 I 銦 錫 氧 化 物 所 製 成 之 透 明 導 電 膜 以 濺 鍍 在 位 準 表 面 形 成 1 1 I 8 0 0 A 之 厚 度 9 並 定 圖 樣 以 形 成 圚 素 電 極 2 1 6 0 1 1 中 間 層 絕 緣 膜 2 1 4 和 2 1 5 中 提 供 有 接 觸 孔 5 經 由 1 1 這 些 接 觸 孔 可 形 成 電 極 / 接 線 2 1 7 和 2 1 8 以 接 觸 1 1 T F Τ 之 雜 質 區 域 0 電 極 / 接 線 2 1 7 和 2 1 8 由 多 靥 的 1 I 氮 化 鈦 和 鋁 等 金 臑 材 料 形 成 0 最 後 在 1 a t m 之 氫 氣 下 1 1 本紙張尺度適用中國國家標準(CNS ) Μ規格(210X297公釐) -26 ^60831 A7 B7 經濟部中央標隼局員工消費合作社印裝 五、 發明説明 ( 24) 1 1 在 3 5 0 °c 下 執 行 退 火 3 0 分 鐘 以 兀 成 具 有 T F T 之 活 1 1 I 性 矩 陣 « 路 之 圖 素 電 路 0 1 1 I 請 1 I C 例 7 λ 閲 I 本 例 係 關 於 T F T 之 製 造 9 且 將 參 考 圖 7 A — 7 D 而 背 Sj 1 I 之 1 說 明 0 注 | 1 I 參 考 圊 1 1 A 9 氧 化 矽 之 基 膜 5 0 2 以 濺 鍍 法 首 先 在 事 項 再 1 填 Ί 康 寧 7 0 5 9 基 底 5 0 1 形 成 2 0 0 0 A 厚 0 基 底 最 好 以 寫 本 λ 1 髙 於 基 底 之 扭 曲 點 爲 溫 度 退 火 ♦ 而 後 玻 璃 以 0 1 頁 1 1 0 °c / 分 之 速 率 冷 卻 至 低 於 扭 曲 點 之 溫 度 0 藉 此 , 由 1 1 於 基 底 加 熱 較 慢 發 生 ( 例 如 熱 氧 化 9 熱 退 火 ) 其 可 減 少 1 1 基 底 之 收 縮 0 結 果 > 有 利 於 掩 模 對 準 處 理 0 此 步 驟 可 在 基 訂 I 膜 5 0 2 形 成 之 前 或 後 執 行 9 或 是 亦 可 以 同 時 在 基 膜 1 i 1 5 0 2 形 成 之 前 或 後 爲 之 〇 在 使 用 康 寧 7 0 5 9 基 底 時 > 1 1 1 基 底 加 熱 6 2 0 6 6 0 °C 9 1 4 小 時 9 而 後 每 分 鐘 冷 卻 0 ♦ 1 0 * 3 °C 而 當 溫 度 降 至 4 0 0 5 0 0 °c 時 由 爐 中 取 出 〇 1 而 後 9 本 質 ( I 型 ) 非 晶 矽 膜 以 已 知 的 電 漿 C V D 法 1 I 形 成 5 0 0 1 5 0 0 A 厚 9 例 如 1 0 0 0 A 厚 〇 非 晶 矽 I 1 膜 以 和 例 1 相 同 之 方 式 晶 化 0 因 此 於 此 不 再 赘 述 0 在 晶 1 1 I 化 後 9 矽 膜 定 圖 樣 爲 1 0 1 0 0 0 平 方 微 米 之 島 型 0 因 1 1 1 此 9 島 型 之 結 晶 矽 膜 5 0 3 形 成 如 圖 T F T 之 活 性 層 , 如 1 1 圖 7 A 所 示 0 1 1 參 考 圖 7 B 9 藉 由 暴 露 矽 膜 之 表 面 至 氧 化 氣 體 中 以 使 1 1 本紙張尺度逋用中國國家橾準(CNS ) A4規格(210X297公釐)nn
—II Γ60831 A7 _B7___ 五、發明説明(25) 其氧化以形成氧化膜5 0 4。氧化氣體包含7 0〜9 0% 之水蒸氣。氣體之壓力和溫度爲1 a tm和5 0 0〜 (請先Η讀背面之注意事項再填寫本頁) 7 5 0 °C,典型的爲6 0 0 °C。此種氣體之產生由氧氣和 氫氣以氫氣/氧氣比1. 5〜1. 9之比例,以高熱反應 產生。矽膜暴露至以上述方式所獲得之氣體中3〜5小時 。結果,可形成厚度5 0 0〜1 5 0 0A,例如 1 0 0 0A之氧化膜2 0 9。由於矽膜之表面受到氧化而 減少5 〇 A或更多,矽膜之最上表面之污染之影響並不會 延伸至矽/氧化矽介面。換言之,藉由氧化,可獲得乾淨 的矽/氧化矽介面。再者,由於氧化矽膜之厚度爲欲受氧 化之矽膜部份之厚度的兩倍,當矽膜原先爲1 0 0 0A厚 且所得之氧化矽膜爲1 0 0 0A厚,在氧化後,矽膜之剩 餘厚度爲5 0 0A。 經濟部中央標準局員工消費合作社印聚 —般而言,氧化矽膜(閘絕緣膜)和活性層愈薄,則 移動率愈高且偏置電流愈小。另一方面,當氧化矽膜較厚 時,非晶矽膜之最初晶化相當容易。因此,關於活性層之 厚度上,在結晶過程和電特性上有所矛盾。而本例解決了 此一問題。亦即,具有大厚度之非晶矽膜首先形成,因此 可獏得較好的結晶矽膜,而後以氧化減少矽膜之厚度,而 改善TFT之活性層之特性。再者,包含在結晶矽膜中之 非晶質成分或顆粒邊界在熱氧化時會受到氧化,因此可減 少包含在活性層中之再結合中心。結果,可改善生產率。 在經由熱氧化形成氧化矽膜2 0 9後,基底在 1 a tm,1 0 0%之一氧化二氮氣體,6 0 0 °C下退火 本紙張尺度適用中國國家標準(CNS ) Α4规格(210X297公釐)_ 28 60831 A7 __B7 五、發明説明(26) 2小時。 參考1I6C,含有0. 0 1至0. 2%之亞磷酸之矽 經由低溫CVD法沈稹3 0 0 0〜8 0 0 0A厚,例如 6 0 0 0A厚,而後定圖樣以形成閘電極5 0 5。再者, 使用閘電極5 0 5當成掩模,N型導電雜質以離子滲雜法 加入以自我對準方式之活性層部份。加速電壓爲6 0〜 9 0KV,例如 8 0KV。劑量爲 1X1 〇15 至 8X1 0 15a t om/cm2,例如 5 X 1 0 15cm.2。因此,可 形成N型雜質區域5 0 6和5 0 7。再者,通道區域 5 1 1以自我對準方式同時形成。 而後,以Kr F準分子雷射(波長2 4 8 nm,脈衝 宽度2 0 τι s e c )執行退火。雷射退火可以利用近紅外 線之燈光退火取代。結晶矽比非晶矽更有效率的吸收近紅 外線。因此,使用近紅外線相當於在1 0 0 0 °C或更高溫 度下熱退火。另一方面,亦可避免玻璃基底受到熱的破壞 ,由於玻璃基底並不吸收近紅外線。亦即,逹紅外線可由 玻璃基底所吸收,而波長由0 . 5〜4 //m之可見光或近 經濟部中央標隼局員工消費合作社印製 (請先閲讀背面之注意事項再填寫本頁) 紅外線並不會被吸收。因此,可對矽膜退火短暫時間,而 不會對玻璃基底加熱,亦不會引起收縮。 參考圇7D,以電漿CVD形成6 0 0 0A厚之氧化 矽之中間層絕綠膜5 0 8。再者,在絕緣膜中形成接觸孔 。藉由使用多層的氮化鈦和鋁膜以經由接觸孔形成電極/ 接線5 0 9和5 1 0。最後,在氣氣1 a tm下,在 3 5 0 °C下執行退火3 0退火以完成一TFT。 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐)_ ^9 經濟部中央標準局員工消費合作社印裝 A7 B7 五、發明説明(27) 如此所形成之TFT之移動率爲1 1 〇〜15 0 cm2/Vs°S 値爲 0· 2 〜0. 5V/digit。 再者,藉由滲雜硼於源極和汲極區域以形成P通道型 TFT之情形中,移動率爲9 0〜1 2 0 cm2/Vs , 而S値爲〇. 4〜0. 6V/digit。當和以已知 PVD或CVD法形成閘絕緣膜之情形比較時,依照本例 之移動率可增加2 0 %或更多,而S値則可降低2 0 %或 更多。 再者,與由熱氧化在1 0 0 o°c之高溫下所產生之 TFT之可靠度比較時,依照本例之TFT之可靠度與其 相當。 〔例8〕 圚8爲依照本例之活性矩陣型液晶裝置之例。 在圖中,參考數字6 1表示玻璃基底,且6 3表示圖 素區域,其具有數百X數百之多數圖素形成矩陣之型式, 且毎一圖索具有T FT當成開關元件。參考數字6 2表示 週邊驅動面域,其中藉由使用TFT形成驅動電路和解碼 電路以驅動圖素區域之TFT。圖素區域6 3和驅動區域 6 2聯合在相同基底6 1上。 提供在驅動區域6 2中之TFT必需具有高的移動率 以使大置的電流可穿過其中。再者,提供在圖素區域6 3 之T F T必需具有低漏電流特性以增加圖素電極之充電保 存能力。例如,在週邊區域用於驅動電路之T F T可依照 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐〉 ---------「'裝一— (請先閱讀背面之注意事項存填寫本頁) 訂 ?60S31 A7 B7 經濟部中央標準局員工消費合作社印製 五、 發明説明 ( 28) 本 發 明 而 氣 髏 9 而 用 於 圖 素 區 域 之 T F T 並 不 需 要 加 入 觸 媒 〇 亦 即 9 非 晶 矽 膜 最 初 形 成 在 基 底 之 全 部 表 面 0 而 後 9 例 如 鎳 之觸 媒依 照 本 發 明 只 選 擇 性 的 導 入 半 導 體 層 之 週 邊 區 域 Ο 在 熱 結 晶 後 9 只 有 半 導 體 厝 之 週 邊 區 域 主 要 晶 化 9 而 半 導 體 層 之 圖 素 區 域 並 不 晶 化 0 但 是 5 或許有 一 些 鎳 由 週 邊 區 域 擴 散進 入 圖 素 區 域 9 但 是 此 擴 散 量 並 不 重 要 Ο 而 後 9 半 導 髖 層 之 全 部 區 域 以 雷 射 光 照 射 以 增 加 晶 態 9 而 後 半 導體 層 定 圖 樣 成相 關 的 矽 島 以 形 成 電 晶 體 Ο 藉 此 9 可 在 一 基 底 上 使 用 高 結 晶 T F T 以 製 造 驅 動 電 路 和 使 用 低 結 晶 T F T 以 製 造 活 性 矩 陣 電 路 0 C 例 9 本 例 係 關 於 形 成 膜 之 改 良 方 法 9 其 中 觸 媒 以 更 均 勻 的 方 式 加 入 非 晶 矽 膜 中 0 ‘、1人 當 含 有 鎳 或 鎳 化 合 物 當 成 觸 媒 之 溶 液 應 用 至 非 晶 矽 時 9 會 發 生 之 問 題 爲 非 晶 矽 膜 之 表 面 會 排 斥 溶 液 而 使 得 塗 覆 之 均 勻 性 並 不 良 好 〇 發 明 人 發 現 當 有 非 常 薄 的 氧 化 膜在 非 晶 矽 膜 上 時 可 改 善 表 面 之 可 濕 性 9 因 此 9 溶 液 可 塗 覆 的 更 均 勻 0 氧 化 膜 必 需 足 夠 的 薄 以 使 鎳 可 穿 透 氧 化 膜 Ο 適 當 的 厚 度 如 約 1 0 A 〇 再 者 氧 化 膜 亦 可 以 U V 氧 化 或 熱 氧 化 形 成 0 例 如 在 U V 氧 化 之 情 形 中 , 非 晶 矽 膜 之 表 面 在 氧 氣 中 暴 β 至 U V 光 3 5 分 鐘 0 基 底 之 溫 度 可 以 是 室 溫 0 但 是 9 最 好 將 基 底 加 熱 至 臭 氧 開始 分 解 以 形 成 氧 根 之 溫 度 9 亦 即 > 約 2 0 0 °c Ο 在 此 — 情 形 中 > 需 要 用 於 氧 化 之 時 間 (請先閲讀背面之注意事項再填寫本頁) 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) 260831 A7 B7 五、發明説明(29) 比在室溫之情形短約1分鐘。 此外,氧化膜亦可藉由將其上具有非晶矽膜之基底浸 入過氧化氫溶液,在7 0°C下,5分鐘而形成。過氣化氫 溶液最好和氨混合以清潔表面。除了氨以外,亦可添加硫 酸或氫氣酸。 在任何情形下,藉由提供薄氧化膜可增加矽膜之可濕 性。此種方法不只可應用於使用溶液以形成例如OC D之 矽膜之方法,如例1至例7所述,且亦可應用至其他方法 ,其中鎳由例如水或乙醇之溶劑所溶解,而此溶液加入非 晶矽膜中。 經濟部中央標準局員工消費合作社印製 (請先閱讀背面之注意事項再填寫本頁) 雖然在本發明中揭示氧化矽膜當成保持觸媒元素之最 佳實施例,但是,亦可使用其他材料以取代氧化矽,只要 這些材料可忍耐高溫。例如,可使用鋁,並將鋁之細粒溶 解在有機溶劑中以形成鋁膜而取代氧化矽。再者,如光阻 材料之有機膜亦可使用以使觸媒懸浮。此外,雖然本發明 之例只針對其平面型TFT之製造,但是,必需了解的是 其他型式之m晶體,例如底閘型電晶體亦可依照本發明而 製造。 本紙張尺度適用中關家標準(CNS>A· (21()><297公菱)

Claims (1)

  1. 60831 Α8 Β8 C8 D8 六、申請專利範圍 1·一種在基底上製造半導镰裝置之方法,包含之步 驟爲: 設置一膜以和含有矽之半導髖層接觸,該膜含有可促 進賅半導體層晶化之金靥或金屬化合物; 藉由加熱將金靥或金屬化合物擴散到該半導《I膜內: 和 使胲半導退火以使半導體層晶化。 2. 如申請専利範園第1項所述之方法,其中骸金靥 遘自含有 Pd,Pt,Cu,AG,Au,In,Sn, Pb,As,Sb,和 Ni 之群。 3. 如申請專利範園第1項所述之方法,其中骸半導 體靨爲非晶形。 4. 如申請專利範園第1項所述之方法,其中賅膜位 於該基底和胲半導«層間。 5. 如申請專利範園第1項所述之方法,其中退火在 不高於5 0 0 °C之溫度下執行。 6. 如申請専利範圍第1項所述之方法,其中該膜形 成在半導體膜上。 7. 如申請專利範園第1項所述之方法,其中該膜包 含氧化矽。 8. —種在基底上製造半導髖裝置之方法,包含之步 踝爲: 設置一腆以和含有矽之半導酱層接觸,胲膜含有可促 進賅半導體層晶化之金屬或金屬化合物; 本紙張尺度逋用中國國家標準(CNS ) Μ規格(210X297公釐) (請先閱讀背面之注意事項再填寫本頁) -裝- 訂 經濟部中央標準局貞工消費合作社印装 經濟部中央標準局貞工消費合作社印策 "60S31 A8 B8 C8 _ D8 六、申請專利範圍 藉由加熱將金屬或金屬化合物擴散到胲半導體膜內; 和 使半導《層退火以使半導體層晶化,其中在晶化時, 金屬或金»化合物擴散至半導髗膜。 9 .如申請專利範園第8項所述之方法,其中該金屬 遍自含有 Pd,Pt,Cu,AG,Au,In,Sn, Pb,As,Sb,和 Ni 之群。 1 0·如申請専利範園第8項所述之方法,其中賅半 導體層爲非晶形。 1 1 ·如申請專利範園第8項所述之方法*其中賅膜 位於賅基底和該半導髗層間。 1 2.如申請專利範園第8項所述之方法,其中退火 在不高於5 0 0 °C之溫度下執行。 1 3.如申請專利範園第8項所述之方法》其中胲膜 形成在半導嫌膜上。 1 4 .—種半導«裝匿之製造方法,包含之步騄爲: 在一基底上形成含有矽之半導體屉: 以一液體先驪材料塗覆半導體層以形成氧化矽,其中 賅液體先臞材料加入可促進半導镰層晶化之金屬或金屬化 合物: 預先烘乾該基底以由賅液髏先軀材料中形成含有氧化 矽之膜:和 使半導髖層退火以使半導體層晶化,其中在晶化時, 金屬或金羼化合物擴散進入半導體膜中。 本紙浪尺度逋用中國國家棟準(CNS ) A4規格(210X297公釐) --------f -裝-------訂------i i (請先閲讀背面之注意事項再填寫本頁) -34 - 8888 ABCD Γ60831 六、申請專利範圍 1 5.如申請專利範園第1 4項所述之方法,其中賅 液髖先願材料包含有機溶劑。 1 6.如申請專利範園第1 4項所述之方法,其中胲 半導體液體先鼷材料以旋轉塗覆而塗覆在半導«層上。 1 7.如申請專利範園第1 4項所述之方法,進一步 包含之步驟爲在退火後,藉由照射光線以增加半導髖層之 晶態。 1 8 . —種半導體裝置之製造方法,包含之步骤爲: 在一基底上形成含有矽之半導《層,該半導髏屠具有 至少一個第一ffi域和互相相鄰之第二區域; 只在半導«層之第一Μ域形成含有觸媒之膜以促進半 導酱膜之晶化; 使胲觸媒擴散進入半導《簷之第一面域:和 對胲半導嫌層加熱以使觸媒由半導«暦第一ffi域擴散 至與其相鄰之半導雔層之第二區域,藉以使半導藿屠之第 二ffi域晶化。 19.如申請專利範園第18項所述之方法,其中該 膜主要包含氧化矽。 2 0.如申腈專利範園第1 8項所述之方法,其中在 第二面域中結晶成長之方向實質的與基底表面平行。 2 1.如申請専利範園第1 8項所述之方法,進一步 包含之步驟爲,在晶化之後移去賅第一區域以剩餘半導體 裝置之活性tt域在第二面域內。 2 2 . —種半導髋裝置之製造方法,包含之步驟爲: 本紙張尺度適用中國國家梂準(CNS ) A4規格(210X297公釐) (請先閱讀背面之注意事項再填寫本頁) -裝· 訂 經濟部中央橾準局貝工消费合作社印装 35 60831 B8 C8 D8 六、申請專利範圍 (請先閱讀背面之注意事項再填寫本頁) 準備半導«液體先軀材料用以形成氣化矽,其中賅半 導酱液《先騷材料加入可促進矽晶化之金屬或金靥化合物 » 在基底上形成含有矽之半導《膜: 將該半導«液«先w材料塗覆在半導《膜上: 預先烘乾該基底以在半導髗膜上形成含有金靥或金靥 化合物之氧化矽膜: 使賅氧化矽膜定圚樣以使只有半導體屠之選擇BE域提 供在氧化矽膜中:和 對半導體層加熱以使其晶化, 其中在加熱時,該金属或金屬半導镰擴散進入半導酱 屠中。 2 3.如申請專利範園第2 2項所述之方法,其中該 預先烘乾乃在一低溫下執行,胲溫度使金屬或金靥化合物 不會實質的擴散進入半導懷層中。 2 4 .如申請專利範麵第2 2項所述之方法,進一步 包含之步驟爲在加热後以光線照射半導《層以增加晶態。 經濟部中央揉準局負工消費合作社印製 2 5 . —種半導髏裝置之製造方法,包含之步骤爲: 在一基底上形成含有矽之半導II膜,骸半導髗膜具有 至少一個第一區域和相鄰之第二®域: 在半導镰膜之第二ffi域上形成含有氧化矽之掩模,而 暴霣第一 1E域; 選擇性的將觸媒導入半導體暦之第一《域:和 使該半導«層加熱晶化, 本紙張尺度逋用中國國家標準(CNS ) A4規格(210X297公釐) -36 - >60831 A8 B8 C8 D8 經濟部中央揉準局員工消費合作社印製 、申請專利範圍 其中在加熱晶化時,賅觸媒由半導髗層之第一區域滲 透進入第二區域,因此使第二苗域晶化。 2 6.如申請專利範園第2 5項所述之方法》其中半 導體屠之第二區域具有〔1 1 1〕平面。 2 7 .如申請專利範園第2 5項所述之方法,其中賅 觸媒導入之方法包含的步驟爲: 準備半導髏液髏先臞材料用以形成氧化矽,其中該半 導體液《I先驅材料加入可促進矽晶化之觸媒; 將賅半導雅液髄先《材料應用於具有掩模之半導酱腆 上,其中該掩模阻播胲觸媒進入第二區域:和 預先烘乾該半導體液酱先軀材料以在含有觸媒之半導 髏層上形成氧化矽膜。 2 8 . —種半導«I裝置之製造方法,包含之步驟爲: 在一基底上形成含有矽之半導«膜; 使該半導體膜之表面氣化以在半導髏膜上形成氧化矽 膜: 將含有金屬或金屬化合物之溶液應用至骸氧化矽膜上 ,賅金《或金靥化合物可促進半導《膜之晶化;和 將金属或金羼化合物經由氧化矽膜擴散進入半導酱膜 中,其中賅氧化矽膜相當薄的足以允許金屬或金靥化合物 之滲透;藉由加熱使半導體膜晶化。 2 9 .如申請專利範園第2 8項所述之方法,其中胺 氧化矽膜以熱氧化形成。 3 0 .如申請專利範園第2 8項所述之方法,其中骸 ^ 1—^ 裝 I 訂 ^ (請先閱讀背面之注意事項再填寫本頁) 本紙張尺度適用中困國家橾準(CNS ) A4規格(210X297公釐) -37 - °、 60831 ll D8 六、申請專利範圍 氣化矽腆以U V氧化形成。 3 1 ·如申請專利範園第2 8項所述之方法,其中該 氧化矽膜藉由將半導镰膜之表面暴霣至過氧化氩溶液中而 形成。 3 2 .如申請専利範園第2 8項所述之方法,其中賅 過氣化氫溶液中加入選自含有氨,硫酸,和氫氣酸之群之 材料。 3 3 . —種半導《裝置,其形成在一基底上且具有至 少一半導髖層當成活性面域,其中賅半導《層包含結晶较 和金屬,且其中賅半導«層具有〔1 1 1〕平面。 3 4 .如申請專利範園第3 3項所述之半導饅裝置, 其中該金屬選自含有Pd,Pt,Cu,AG,Au, In,Sn,Pb,P,As,Sb,和 Ni 之群。 3 5 _如申請專利範園第3 3項所述之半導體裝置, 其中在半導髋層中金屬之澳度不大於1 X 1 0 19 (請先閲讀背面之注意事項再填寫本頁) 、-口 " 經濟部中央標準局tec工消費合作社印聚 本紙張尺度逍用中國國家揉準(CNS ) A4规格(210X297公釐) -38 -
TW083111270A 1993-12-02 1994-12-05 TW260831B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP5339397A JP2860869B2 (ja) 1993-12-02 1993-12-02 半導体装置およびその作製方法

Publications (1)

Publication Number Publication Date
TW260831B true TW260831B (zh) 1995-10-21

Family

ID=18327095

Family Applications (1)

Application Number Title Priority Date Filing Date
TW083111270A TW260831B (zh) 1993-12-02 1994-12-05

Country Status (7)

Country Link
US (1) US5585291A (zh)
EP (2) EP0656644B1 (zh)
JP (1) JP2860869B2 (zh)
KR (1) KR100303110B1 (zh)
CN (3) CN1196089C (zh)
DE (1) DE69425632T2 (zh)
TW (1) TW260831B (zh)

Families Citing this family (122)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1052569C (zh) * 1992-08-27 2000-05-17 株式会社半导体能源研究所 制造半导体器件的方法
US6323071B1 (en) 1992-12-04 2001-11-27 Semiconductor Energy Laboratory Co., Ltd. Method for forming a semiconductor device
US5403762A (en) * 1993-06-30 1995-04-04 Semiconductor Energy Laboratory Co., Ltd. Method of fabricating a TFT
TW226478B (en) * 1992-12-04 1994-07-11 Semiconductor Energy Res Co Ltd Semiconductor device and method for manufacturing the same
US6997985B1 (en) 1993-02-15 2006-02-14 Semiconductor Energy Laboratory Co., Ltd. Semiconductor, semiconductor device, and method for fabricating the same
JP3562588B2 (ja) * 1993-02-15 2004-09-08 株式会社半導体エネルギー研究所 半導体装置の製造方法
EP1119053B1 (en) * 1993-02-15 2011-11-02 Semiconductor Energy Laboratory Co., Ltd. Method for fabricating TFT semiconductor device
TW241377B (zh) 1993-03-12 1995-02-21 Semiconductor Energy Res Co Ltd
US6413805B1 (en) 1993-03-12 2002-07-02 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device forming method
KR100355938B1 (ko) * 1993-05-26 2002-12-16 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체장치제작방법
KR100186886B1 (ko) * 1993-05-26 1999-04-15 야마자끼 승페이 반도체장치 제작방법
US5818076A (en) * 1993-05-26 1998-10-06 Semiconductor Energy Laboratory Co., Ltd. Transistor and semiconductor device
US6090646A (en) 1993-05-26 2000-07-18 Semiconductor Energy Laboratory Co., Ltd. Method for producing semiconductor device
US5663077A (en) 1993-07-27 1997-09-02 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a thin film transistor in which the gate insulator comprises two oxide films
JPH0766424A (ja) 1993-08-20 1995-03-10 Semiconductor Energy Lab Co Ltd 半導体装置およびその作製方法
JP2814049B2 (ja) 1993-08-27 1998-10-22 株式会社半導体エネルギー研究所 半導体装置およびその作製方法
TW264575B (zh) * 1993-10-29 1995-12-01 Handotai Energy Kenkyusho Kk
CN1156918C (zh) 1993-12-02 2004-07-07 株式会社半导体能源研究所 半导体器件
US5869362A (en) * 1993-12-02 1999-02-09 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing semiconductor device
US6798023B1 (en) 1993-12-02 2004-09-28 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device comprising first insulating film, second insulating film comprising organic resin on the first insulating film, and pixel electrode over the second insulating film
KR100319332B1 (ko) 1993-12-22 2002-04-22 야마자끼 순페이 반도체장치및전자광학장치
JP3221473B2 (ja) 1994-02-03 2001-10-22 株式会社半導体エネルギー研究所 半導体装置の作製方法
US6162667A (en) * 1994-03-28 2000-12-19 Sharp Kabushiki Kaisha Method for fabricating thin film transistors
TW273639B (en) * 1994-07-01 1996-04-01 Handotai Energy Kenkyusho Kk Method for producing semiconductor device
JPH0869967A (ja) 1994-08-26 1996-03-12 Semiconductor Energy Lab Co Ltd 半導体装置の作製方法
TW403993B (en) * 1994-08-29 2000-09-01 Semiconductor Energy Lab Semiconductor circuit for electro-optical device and method of manufacturing the same
JP3442500B2 (ja) 1994-08-31 2003-09-02 株式会社半導体エネルギー研究所 半導体回路の作製方法
TW374247B (en) * 1994-09-15 1999-11-11 Semiconductor Energy Lab Co Ltd Method of fabricating semiconductor device
US6127279A (en) * 1994-09-26 2000-10-03 Semiconductor Energy Laboratory Co., Ltd. Solution applying method
US6300659B1 (en) 1994-09-30 2001-10-09 Semiconductor Energy Laboratory Co., Ltd. Thin-film transistor and fabrication method for same
US5915174A (en) * 1994-09-30 1999-06-22 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for producing the same
US5942768A (en) 1994-10-07 1999-08-24 Semionductor Energy Laboratory Co., Ltd. Semiconductor device having improved crystal orientation
US6337229B1 (en) 1994-12-16 2002-01-08 Semiconductor Energy Laboratory Co., Ltd. Method of making crystal silicon semiconductor and thin film transistor
US6331475B1 (en) 1995-01-12 2001-12-18 Semiconductor Energy Laboratory Co., Ltd. Method and manufacturing semiconductor device
JP4130237B2 (ja) * 1995-01-28 2008-08-06 株式会社半導体エネルギー研究所 結晶性珪素膜の作製方法及び半導体装置の作製方法
KR100265179B1 (ko) * 1995-03-27 2000-09-15 야마자끼 순페이 반도체장치와 그의 제작방법
TW383502B (en) 1995-06-01 2000-03-01 Seniconductor Energy Lab Kk Method of manufacturing semiconductor device
US6902616B1 (en) 1995-07-19 2005-06-07 Semiconductor Energy Laboratory Co., Ltd. Method and apparatus for producing semiconductor device
JP4056571B2 (ja) 1995-08-02 2008-03-05 株式会社半導体エネルギー研究所 半導体装置の作製方法
JP3907726B2 (ja) 1995-12-09 2007-04-18 株式会社半導体エネルギー研究所 微結晶シリコン膜の作製方法、半導体装置の作製方法及び光電変換装置の作製方法
JP3124480B2 (ja) * 1995-12-12 2001-01-15 株式会社半導体エネルギー研究所 半導体装置の作製方法
US6204101B1 (en) 1995-12-15 2001-03-20 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing semiconductor device
TW319912B (zh) * 1995-12-15 1997-11-11 Handotai Energy Kenkyusho Kk
JP3645380B2 (ja) 1996-01-19 2005-05-11 株式会社半導体エネルギー研究所 半導体装置の作製方法、情報端末、ヘッドマウントディスプレイ、ナビゲーションシステム、携帯電話、ビデオカメラ、投射型表示装置
JP3645378B2 (ja) * 1996-01-19 2005-05-11 株式会社半導体エネルギー研究所 半導体装置の作製方法
US5985740A (en) * 1996-01-19 1999-11-16 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device including reduction of a catalyst
JP3645379B2 (ja) 1996-01-19 2005-05-11 株式会社半導体エネルギー研究所 半導体装置の作製方法
JP3729955B2 (ja) * 1996-01-19 2005-12-21 株式会社半導体エネルギー研究所 半導体装置の作製方法
US6478263B1 (en) 1997-01-17 2002-11-12 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and its manufacturing method
US5888858A (en) * 1996-01-20 1999-03-30 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and fabrication method thereof
US6331457B1 (en) 1997-01-24 2001-12-18 Semiconductor Energy Laboratory., Ltd. Co. Method for manufacturing a semiconductor thin film
US6180439B1 (en) 1996-01-26 2001-01-30 Semiconductor Energy Laboratory Co., Ltd. Method for fabricating a semiconductor device
US7056381B1 (en) 1996-01-26 2006-06-06 Semiconductor Energy Laboratory Co., Ltd. Fabrication method of semiconductor device
US6465287B1 (en) 1996-01-27 2002-10-15 Semiconductor Energy Laboratory Co., Ltd. Method for fabricating a semiconductor device using a metal catalyst and high temperature crystallization
US6063654A (en) * 1996-02-20 2000-05-16 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a thin film transistor involving laser treatment
TW335503B (en) 1996-02-23 1998-07-01 Semiconductor Energy Lab Kk Semiconductor thin film and manufacturing method and semiconductor device and its manufacturing method
TW317643B (zh) * 1996-02-23 1997-10-11 Handotai Energy Kenkyusho Kk
TW374196B (en) 1996-02-23 1999-11-11 Semiconductor Energy Lab Co Ltd Semiconductor thin film and method for manufacturing the same and semiconductor device and method for manufacturing the same
JP3472024B2 (ja) 1996-02-26 2003-12-02 株式会社半導体エネルギー研究所 半導体装置の作製方法
US6100562A (en) 1996-03-17 2000-08-08 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device
EP1445793A3 (en) 1996-05-15 2004-09-01 Seiko Epson Corporation Thin film device provided with coating film, liquid crystal panel and electronic device, and method for making the thin film device
US6133119A (en) * 1996-07-08 2000-10-17 Semiconductor Energy Laboratory Co., Ltd. Photoelectric conversion device and method manufacturing same
EP1365443A3 (en) 1996-09-19 2004-11-17 Seiko Epson Corporation Matrix type display device and manufacturing method thereof
KR100297498B1 (ko) 1996-11-20 2001-10-24 윤덕용 마이크로파를이용한다결정박막의제조방법
JP3899566B2 (ja) 1996-11-25 2007-03-28 セイコーエプソン株式会社 有機el表示装置の製造方法
JPH10228248A (ja) * 1996-12-09 1998-08-25 Semiconductor Energy Lab Co Ltd アクティブマトリクス表示装置およびその作製方法
JPH10199807A (ja) * 1996-12-27 1998-07-31 Semiconductor Energy Lab Co Ltd 結晶性珪素膜の作製方法
JP3973723B2 (ja) * 1997-02-12 2007-09-12 株式会社半導体エネルギー研究所 半導体装置の作製方法
JP4242461B2 (ja) 1997-02-24 2009-03-25 株式会社半導体エネルギー研究所 半導体装置の作製方法
US5994164A (en) * 1997-03-18 1999-11-30 The Penn State Research Foundation Nanostructure tailoring of material properties using controlled crystallization
JP3544280B2 (ja) 1997-03-27 2004-07-21 株式会社半導体エネルギー研究所 半導体装置の作製方法
JPH10282414A (ja) * 1997-04-09 1998-10-23 Canon Inc ズームレンズ
US6241817B1 (en) * 1997-05-24 2001-06-05 Jin Jang Method for crystallizing amorphous layer
US6541793B2 (en) 1997-05-30 2003-04-01 Semiconductor Energy Laboratory Co., Ltd. Thin-film transistor and semiconductor device using thin-film transistors
JP3376247B2 (ja) * 1997-05-30 2003-02-10 株式会社半導体エネルギー研究所 薄膜トランジスタ及び薄膜トランジスタを用いた半導体装置
US6307214B1 (en) 1997-06-06 2001-10-23 Semiconductor Energy Laboratory Co., Ltd. Semiconductor thin film and semiconductor device
JP3844561B2 (ja) 1997-06-10 2006-11-15 株式会社半導体エネルギー研究所 半導体装置の作製方法
US6501094B1 (en) 1997-06-11 2002-12-31 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device comprising a bottom gate type thin film transistor
JP3717634B2 (ja) 1997-06-17 2005-11-16 株式会社半導体エネルギー研究所 半導体装置の作製方法
JP3830623B2 (ja) * 1997-07-14 2006-10-04 株式会社半導体エネルギー研究所 結晶性半導体膜の作製方法
JP3295346B2 (ja) 1997-07-14 2002-06-24 株式会社半導体エネルギー研究所 結晶性珪素膜の作製方法及びそれを用いた薄膜トランジスタ
JP3974229B2 (ja) 1997-07-22 2007-09-12 株式会社半導体エネルギー研究所 半導体装置の作製方法
JPH1140498A (ja) 1997-07-22 1999-02-12 Semiconductor Energy Lab Co Ltd 半導体装置の作製方法
JP3939399B2 (ja) * 1997-07-22 2007-07-04 株式会社半導体エネルギー研究所 半導体装置の作製方法
JP4318768B2 (ja) * 1997-07-23 2009-08-26 株式会社半導体エネルギー研究所 半導体装置の作製方法
JP4180689B2 (ja) * 1997-07-24 2008-11-12 株式会社半導体エネルギー研究所 半導体装置の作製方法
TW408351B (en) * 1997-10-17 2000-10-11 Semiconductor Energy Lab Semiconductor device and method of manufacturing the same
KR100340124B1 (ko) 1998-02-10 2003-01-29 주승기 박막트랜지스터 제조방법
US6821710B1 (en) * 1998-02-11 2004-11-23 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing semiconductor device
WO1999067813A1 (en) 1998-06-25 1999-12-29 The Penn State Research Foundation Electrostatic printing of a metallic toner to produce a polycrystalline semiconductor from an amorphous semiconductor
US6818059B2 (en) * 1998-07-10 2004-11-16 Lg. Philips Lcd Co., Ltd. Method of crystallizing amorphous silicon layer and crystallizing apparatus thereof
JP2000174282A (ja) * 1998-12-03 2000-06-23 Semiconductor Energy Lab Co Ltd 半導体装置
TWI263336B (en) * 2000-06-12 2006-10-01 Semiconductor Energy Lab Thin film transistors and semiconductor device
JP2002083974A (ja) 2000-06-19 2002-03-22 Semiconductor Energy Lab Co Ltd 半導体装置
AU2002221405A1 (en) * 2000-12-04 2002-06-18 Vortek Industries Ltd. Heat-treating methods and systems
US7045444B2 (en) 2000-12-19 2006-05-16 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing semiconductor device that includes selectively adding a noble gas element
US6858480B2 (en) 2001-01-18 2005-02-22 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing semiconductor device
TWI221645B (en) 2001-01-19 2004-10-01 Semiconductor Energy Lab Method of manufacturing a semiconductor device
US7115453B2 (en) 2001-01-29 2006-10-03 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method of the same
JP2002231627A (ja) 2001-01-30 2002-08-16 Semiconductor Energy Lab Co Ltd 光電変換装置の作製方法
US7141822B2 (en) 2001-02-09 2006-11-28 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the same
JP5088993B2 (ja) 2001-02-16 2012-12-05 株式会社半導体エネルギー研究所 半導体装置の作製方法
JP4993810B2 (ja) 2001-02-16 2012-08-08 株式会社半導体エネルギー研究所 半導体装置の作製方法
JP4718700B2 (ja) 2001-03-16 2011-07-06 株式会社半導体エネルギー研究所 半導体装置の作製方法
US7052943B2 (en) 2001-03-16 2006-05-30 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device
US6812081B2 (en) 2001-03-26 2004-11-02 Semiconductor Energy Laboratory Co.,.Ltd. Method of manufacturing semiconductor device
JP2003163221A (ja) * 2001-11-28 2003-06-06 Semiconductor Energy Lab Co Ltd 半導体装置の作製方法
JP2003273016A (ja) * 2002-01-11 2003-09-26 Sharp Corp 半導体膜およびその形成方法、並びに、その半導体膜を用いた半導体装置、ディスプレイ装置。
JP3937892B2 (ja) * 2002-04-01 2007-06-27 日本電気株式会社 薄膜形成方法および半導体装置の製造方法
US7374976B2 (en) 2002-11-22 2008-05-20 Semiconductor Energy Laboratory Co., Ltd. Method for fabricating thin film transistor
EP1437683B1 (en) * 2002-12-27 2017-03-08 Semiconductor Energy Laboratory Co., Ltd. IC card and booking account system using the IC card
CN1303647C (zh) * 2003-09-30 2007-03-07 佳能株式会社 加热方法、加热装置、以及图像显示装置的制造方法
US20060212644A1 (en) * 2005-03-21 2006-09-21 Acton John D Non-volatile backup for data cache
JP2007073855A (ja) * 2005-09-09 2007-03-22 Toshiba Corp 半導体薄膜の製造方法、電子デバイスの製造方法及び液晶表示デバイスの製造方法
CN100459049C (zh) * 2006-04-28 2009-02-04 台湾薄膜电晶体液晶显示器产业协会 运用金属-硫系元素化合物的先驱物溶液制作主动层薄膜的方法
DE102008051520A1 (de) 2008-10-13 2010-04-22 Helmholtz-Zentrum Berlin Für Materialien Und Energie Gmbh Verfahren zur Erzeugung einer (001)-texturierten Kristallschicht aus einem photoaktiven Schichtgitter-Halbleiter auf einer metallisch leitfähigen Schicht unter Beteiligung eines Metallpromoters
KR101199301B1 (ko) 2008-12-05 2012-11-09 한국전자통신연구원 확산 영역을 포함하는 화합물 반도체 소자의 형성 방법
TWI401635B (zh) * 2009-04-13 2013-07-11 Innolux Corp 顯示面板及應用該顯示面板的影像顯示系統
TWI420438B (zh) * 2010-05-14 2013-12-21 Kinpo Elect Inc 防靜電放電的顯示器
CN102751200B (zh) 2012-06-29 2015-06-10 京东方科技集团股份有限公司 薄膜晶体管、阵列基板及其制造方法
CN106548926B (zh) 2016-10-27 2018-04-10 京东方科技集团股份有限公司 多晶硅层的制备方法、薄膜晶体管、阵列基板及显示装置
CN107516663A (zh) * 2017-08-24 2017-12-26 京东方科技集团股份有限公司 一种阵列基板、显示面板及其制备方法

Family Cites Families (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3108914A (en) * 1959-06-30 1963-10-29 Fairchild Camera Instr Co Transistor manufacturing process
US3389024A (en) * 1964-05-12 1968-06-18 Licentia Gmbh Method of forming a semiconductor by diffusion through the use of a cobalt salt
US3886569A (en) * 1970-01-22 1975-05-27 Ibm Simultaneous double diffusion into a semiconductor substrate
US3873384A (en) * 1970-04-21 1975-03-25 Chang Chun Yen Method of stabilizing a silicon base mos device with zinc
US3783049A (en) * 1971-03-31 1974-01-01 Trw Inc Method of platinum diffusion
US3988762A (en) * 1974-05-28 1976-10-26 General Electric Company Minority carrier isolation barriers for semiconductor devices
US4110488A (en) * 1976-04-09 1978-08-29 Rca Corporation Method for making schottky barrier diodes
US4091527A (en) * 1977-03-07 1978-05-30 Rca Corporation Method for adjusting the leakage current of silicon-on-sapphire insulated gate field effect transistors
US4090915A (en) * 1977-08-12 1978-05-23 Rca Corporation Forming patterned polycrystalline silicon
JPS5559762A (en) * 1978-10-30 1980-05-06 Toshiba Corp Method of fabricating semiconductor device
JPS55121653A (en) * 1979-03-14 1980-09-18 Fujitsu Ltd Method of treating surface of semiconductor substrate
JPS5868923A (ja) * 1981-10-19 1983-04-25 Nippon Telegr & Teleph Corp <Ntt> 結晶薄膜の製造方法
US4534820A (en) * 1981-10-19 1985-08-13 Nippon Telegraph & Telephone Public Corporation Method for manufacturing crystalline film
US4619719A (en) * 1982-01-28 1986-10-28 Owens-Illinois, Inc. Process for forming a doped oxide film and composite article
US5153702A (en) * 1987-06-10 1992-10-06 Hitachi, Ltd. Thin film semiconductor device and method for fabricating the same
JPH07114184B2 (ja) * 1987-07-27 1995-12-06 日本電信電話株式会社 薄膜形シリコン半導体装置およびその製造方法
US5032883A (en) * 1987-09-09 1991-07-16 Casio Computer Co., Ltd. Thin film transistor and method of manufacturing the same
JPH01156725A (ja) * 1987-12-15 1989-06-20 Seiko Epson Corp 表示装置
JP2730900B2 (ja) * 1988-02-15 1998-03-25 三洋電機株式会社 半導体装置の製造方法
JPH02140915A (ja) * 1988-11-22 1990-05-30 Seiko Epson Corp 半導体装置の製造方法
JPH0760807B2 (ja) * 1990-03-29 1995-06-28 株式会社ジーティシー 半導体薄膜の製造方法
GB9014723D0 (en) * 1990-07-03 1990-08-22 Marconi Gec Ltd Crystallisation process
US5037622A (en) * 1990-07-13 1991-08-06 Mobil Solar Energy Corporation Wet-tip die for EFG crystal growth apparatus
US5147826A (en) * 1990-08-06 1992-09-15 The Pennsylvania Research Corporation Low temperature crystallization and pattering of amorphous silicon films
JP2840434B2 (ja) * 1990-11-15 1998-12-24 キヤノン株式会社 結晶の形成方法
EP0499979A3 (en) * 1991-02-16 1993-06-09 Semiconductor Energy Laboratory Co., Ltd. Electro-optical device
JP3055237B2 (ja) * 1991-08-29 2000-06-26 セイコーエプソン株式会社 液晶表示パネル及びその製造方法
JPH0582442A (ja) * 1991-09-18 1993-04-02 Sony Corp 多結晶半導体薄膜の製造方法
DE69230138T2 (de) * 1991-11-29 2000-04-27 Seiko Epson Corp Flüssigkristall-anzeigevorrichtung und verfahren zu ihrer herstellung
EP0554060A3 (en) * 1992-01-31 1993-12-01 Canon Kk Liquid crystal display apparatus
JPH05243579A (ja) * 1992-02-28 1993-09-21 Canon Inc 半導体装置
TW226478B (en) * 1992-12-04 1994-07-11 Semiconductor Energy Res Co Ltd Semiconductor device and method for manufacturing the same
EP1119053B1 (en) * 1993-02-15 2011-11-02 Semiconductor Energy Laboratory Co., Ltd. Method for fabricating TFT semiconductor device
US5275851A (en) * 1993-03-03 1994-01-04 The Penn State Research Foundation Low temperature crystallization and patterning of amorphous silicon films on electrically insulating substrates
TW295703B (zh) * 1993-06-25 1997-01-11 Handotai Energy Kenkyusho Kk
JPH0766195A (ja) * 1993-06-29 1995-03-10 Sumitomo Sitix Corp シリコンウェーハの表面酸化膜形成方法
TW264575B (zh) * 1993-10-29 1995-12-01 Handotai Energy Kenkyusho Kk
JP3562590B2 (ja) * 1993-12-01 2004-09-08 株式会社半導体エネルギー研究所 半導体装置作製方法

Also Published As

Publication number Publication date
EP0656644B1 (en) 2000-08-23
JPH07161635A (ja) 1995-06-23
CN1645621A (zh) 2005-07-27
CN1052565C (zh) 2000-05-17
EP0656644A1 (en) 1995-06-07
EP0984317A2 (en) 2000-03-08
EP0984317A3 (en) 2001-03-21
CN1196089C (zh) 2005-04-06
DE69425632D1 (de) 2000-09-28
DE69425632T2 (de) 2000-12-28
JP2860869B2 (ja) 1999-02-24
US5585291A (en) 1996-12-17
CN1248757A (zh) 2000-03-29
CN1109211A (zh) 1995-09-27
KR100303110B1 (ko) 2001-12-15
CN100521222C (zh) 2009-07-29

Similar Documents

Publication Publication Date Title
TW260831B (zh)
JP3431033B2 (ja) 半導体作製方法
JP3464287B2 (ja) 半導体装置の作製方法
JP3431041B2 (ja) 半導体装置の作製方法
US6589824B2 (en) Process for fabricating semiconductor device
US6184068B1 (en) Process for fabricating semiconductor device
KR100303898B1 (ko) 반도체디바이스제조방법
US6027987A (en) Method of manufacturing a crystalline semiconductor
US6048780A (en) Semiconductor device and manufacturing method for the same
JP2791635B2 (ja) 半導体装置およびその作製方法
JP4115590B2 (ja) 半導体装置の作製方法
JP4145963B2 (ja) 半導体装置作製方法
JP3973960B2 (ja) 半導体装置の作製方法
JP4125387B2 (ja) 半導体装置作製方法
JP4684246B2 (ja) 半導体装置の作製方法
JP3545289B2 (ja) 半導体装置作製方法
JP3573969B2 (ja) 半導体装置作製方法
JP3529586B2 (ja) 半導体装置の作製方法
JP3626102B2 (ja) 集積回路の作製方法
JP3950307B2 (ja) 半導体装置の作製方法
JP3939718B2 (ja) 集積回路の作製方法
JP3672850B2 (ja) 集積回路の作製方法
JP3672849B2 (ja) 集積回路の作製方法
KR100314705B1 (ko) 반도체장치및그제조방법
JP3529726B2 (ja) 半導体集積回路の作製方法

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees