TW202141774A - 影像感測器及其形成方法 - Google Patents

影像感測器及其形成方法 Download PDF

Info

Publication number
TW202141774A
TW202141774A TW110100946A TW110100946A TW202141774A TW 202141774 A TW202141774 A TW 202141774A TW 110100946 A TW110100946 A TW 110100946A TW 110100946 A TW110100946 A TW 110100946A TW 202141774 A TW202141774 A TW 202141774A
Authority
TW
Taiwan
Prior art keywords
doped
photodiode
layer
image sensor
deep trench
Prior art date
Application number
TW110100946A
Other languages
English (en)
Other versions
TWI794723B (zh
Inventor
鄭有宏
李靜宜
蔡敏瑛
許喬竣
郭俊聰
盧玠甫
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US17/017,854 external-priority patent/US11869761B2/en
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202141774A publication Critical patent/TW202141774A/zh
Application granted granted Critical
Publication of TWI794723B publication Critical patent/TWI794723B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14643Photodiode arrays; MOS imagers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14683Processes or apparatus peculiar to the manufacture or treatment of these devices or parts thereof
    • H01L27/14698Post-treatment for the devices, e.g. annealing, impurity-gettering, shor-circuit elimination, recrystallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/1463Pixel isolation structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/14634Assemblies, i.e. Hybrid structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/1464Back illuminated imager structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14683Processes or apparatus peculiar to the manufacture or treatment of these devices or parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14683Processes or apparatus peculiar to the manufacture or treatment of these devices or parts thereof
    • H01L27/1469Assemblies, i.e. hybrid integration

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Electromagnetism (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Solid State Image Pick-Up Elements (AREA)

Abstract

本揭露有關於一種具有被BDTI結構環繞的光電二極體的影像感測器及相關聯形成方法。在一些實施例中,多個畫素區設置於影像感測晶粒內,且分別包括被配置成將輻射轉變成電性訊號的光電二極體。光電二極體包括被光電二極體摻雜層環繞的光電二極體摻雜柱,光電二極體摻雜柱具有第一摻雜類型,光電二極體摻雜層具有與第一摻雜類型不同的第二摻雜類型。BDTI結構設置於相鄰的畫素區之間且自影像感測器晶粒的背側延伸至位於光電二極體摻雜層內的位置。BDTI結構包括具有第二摻雜類型的經摻雜襯層且包括介電填充層。經摻雜襯層加襯於介電填充層的側壁表面。

Description

用於影像感測器的背側深溝渠隔離結構
諸多現代電子裝置包括使用影像感測器的光學成像裝置(例如,數位照相機)。影像感測器可包括畫素感測器陣列及支援邏輯。畫素感測器量測入射輻射(例如,光)並轉變成數位資料,且支援邏輯促進讀出所述量測。一種類型的影像感測器是背側照明式(backside illuminated,BSI)影像感測器裝置。BSI影像感測器裝置用於感測朝向基底的背側(與基底的前側相對,在前側上構建有包括多個金屬層及介電層的內連線結構)投射的光的量。與前側照明式(front-side illuminated,FSI)影像感測器裝置相較而言,BSI影像感測器裝置使得相消干涉減少。
以下揭露內容提供用於實施所提供標的的不同特徵的諸多不同的實施例或實例。以下闡述組件及排列的具體實例以簡化本揭露。當然,該些僅為實例而非旨在進行限制。舉例而言,以下說明中將第一特徵形成於第二特徵「之上」或第二特徵「上」可包括其中第一特徵及第二特徵被形成為直接接觸的實施例,且亦可包括其中第一特徵與第二特徵之間可形成有額外特徵以使得所述第一特徵與所述第二特徵可能不直接接觸的實施例。此外,本揭露可在各種實例中重複使用參考編號及/或字母。此種重複使用是出於簡潔及清楚的目的,而非自身表示所論述的各種實施例及/或配置之間的關係。
此外,為易於說明,本文中可使用例如「位於...之下」、「位於...下方」、「下部的」、「位於...上方」、「上部的」等空間相對性用語來闡述圖中所說明的一個元件或特徵與另一(其他)元件或特徵的關係。除圖中所繪示的定向之外,所述空間相對性用語亦旨在囊括裝置在使用或操作中的不同定向。設備可被另外定向(旋轉90度或處於其他定向),且本文所使用的空間相對性描述語可同樣相應地加以解釋。
不斷對積體電路(Integrated circuit,IC)技術進行改良。此種改良經常涉及按比例縮小裝置幾何形狀以達成更低的製作成本、更高的裝置積體密度、更高的速度及更好的效能。由於裝置縮放,影像感測器的畫素感測器具有更小的尺寸且彼此更靠近。需要改良影像感測器的鄰近畫素之間的電性隔離及光學隔離以減少輝散(blooming)及串擾。可製作介電溝渠及植入井作為隔離影像感測器畫素的隔離結構。一種影像感測器製作製程包括穿過光電二極體的深度形成深植入井來作為隔離井的植入製程(例如,被稱為陣列深p井植入的植入製程)。然而,除製作複雜性之外,該些植入製程亦涉及會減小曝光解析度的厚光阻層。舉例而言,若臨界尺寸小於0.2微米,則利用大於3微米的光阻層難以達成精確的微影製程。
鑒於上文,本揭露是有關於一種包括具有經摻雜襯層的背側深溝渠隔離(BDTI)結構的影像感測器及相關聯形成方法。在一些實施例中,影像感測器具有設置於影像感測晶粒內的多個畫素區。畫素區分別具有被配置成將輻射轉變成電訊號的光電二極體。光電二極體包括被光電二極體摻雜層環繞的光電二極體摻雜柱,所述光電二極體摻雜柱具有第一摻雜類型,所述光電二極體摻雜層具有與所述第一摻雜類型不同的第二摻雜類型。BDTI結構設置於相鄰的畫素區之間且自影像感測晶粒的背側延伸至位於光電二極體摻雜層內的位置。BDTI結構包括:經摻雜襯層,具有第二摻雜類型,加襯於光電二極體摻雜層的深溝渠的側壁表面;及填充層,設置於深溝渠的其餘內側空間中。在BDTI結構深入地延伸且用作鄰近畫素之間的深空乏與隔離結構的情況下,不需要自感測晶粒的前側進行深植入。
另外,在一些實施例中,在形成深溝渠之後且在於所述深溝渠中形成經摻雜襯層之前執行循環清潔製程,以使得移除或至少減小光電二極體摻雜層的暴露至深溝渠的缺陷上部部分及深溝渠的頂部隅角處的彎曲尖端,從而為深溝渠留下平滑的側壁表面及不太彎曲的頸部。因此,在後續溝渠填充製程期間可更容易達成平滑且均勻的填充結果。在一些另外的實施例中,藉由進行低溫磊晶製程然後進行用於使摻雜劑活化的雷射退火製程來形成經摻雜襯層。從而,在無需引入不期望的顯著熱量預算(heat budget)的情況下,形成共形、平滑且在缺陷更少的經摻雜襯層。下文與對製造製程加以說明的圖2A至圖2D及圖13至圖15相關聯地闡述形成經摻雜襯層的方法的一些實施例的更多細節。
圖1說明根據一些實施例的具有光電二極體104的影像感測器100的剖視圖,光電二極體104被具有經摻雜襯層114的BDTI結構111環繞。影像感測晶粒134具有前側122及背側124。影像感測器100包括具有多個畫素區(例如,圖1中所示的畫素區103a、103b)的影像感測晶粒134,所述多個畫素區可被排列成包括列及/或行的陣列。畫素區103a、103b分別包括被配置成將入射輻射或入射光120(例如,光子)轉變成電訊號的光電二極體104。在一些實施例中,光電二極體104包括:第一區(例如光電二極體摻雜柱104a),具有第一摻雜類型(例如,藉由例如磷、砷銻等摻雜劑進行的n型摻雜);及毗連的第二區(例如光電二極體摻雜層128),具有與第一摻雜類型不同的第二摻雜類型(例如,藉由例如硼、鋁、銦等摻雜劑進行的p型摻雜)。
BDTI結構111設置於相鄰的畫素區103a、103b之間且將相鄰的畫素區103a、103b隔離。BDTI結構111可自影像感測晶粒134的背側124延伸至位於光電二極體摻雜層128內的位置,或者延伸穿過圖1中所示的光電二極體摻雜層128。在一些實施例中,BDTI結構111包括具有第二摻雜類型(例如,p型摻雜)的經摻雜襯層114且包括介電填充層112。經摻雜襯層114加襯於光電二極體摻雜層128的深溝渠的側壁表面,且介電填充層112填充所述深溝渠的其餘空間。經摻雜襯層114可包含摻雜有硼或其他p型摻雜劑的矽或其他半導體材料。介電填充層112可由二氧化矽、氮化矽及/或其他可適用的介電材料製成。經摻雜襯層114及介電填充層112可在側向上沿著影像感測晶粒134的背側124延伸。在一些實施例中,BDTI結構111的頂部隅角處的彎曲尖端具有自BDTI結構111的上側壁至與光電二極體摻雜層128的側向平面垂直的垂直線的處於約8°至15°範圍內的彎曲角度。在一些實施例中,彎曲尖端小於約8°。如前文及後文所揭露,彎曲尖端可由藉由蝕刻製程形成BDTI結構111的深溝渠的製造步驟所致。蝕刻製程可涉及非等向性蝕刻製程,所述非等向性蝕刻製程包括可形成底切輪廓的乾式蝕刻及濕式蝕刻。然後可藉由循環清潔製程移除或至少減小彎曲頂部,從而為深溝渠留下平滑的側壁表面及不太彎曲的頸部。
在一些實施例中,多個彩色濾光器116排列於影像感測晶粒134的背側124之上。所述多個彩色濾光器116分別被配置成透射入射輻射或入射光120的特定波長。舉例而言,第一彩色濾光器(例如,紅色濾光器)可透射波長在第一範圍內的光,而第二彩色濾光器可透射波長在與所述第一範圍不同的第二範圍內的光。在一些實施例中,所述多個彩色濾光器116可排列於上覆於多個光電二極體104上的柵格結構內。
在一些實施例中,多個微透鏡118排列於所述多個彩色濾光器116之上。相應的微透鏡118在側向上與彩色濾光器116對齊且上覆於畫素區103a、103b上。在一些實施例中,所述多個微透鏡118具有與所述多個彩色濾光器116鄰接的實質上平整的底部表面且具有彎曲上表面。彎曲上表面被配置成聚焦入射輻射或入射光120(例如,朝向下伏的畫素區103a、103b的光)。在影像感測器的操作期間,微透鏡118將入射輻射或入射光120聚焦至下伏的畫素區103a、103b。當具有充足能量的入射輻射或入射光照射光電二極體104時,所述入射輻射或入射光產生會生成光電流的電子電洞對。注意,儘管圖1中將微透鏡118示出為固定至影像感測器上,但應瞭解,影像感測器可不包括微透鏡,且可以後在單獨的製造活動中將微透鏡貼合至影像感測器。
圖2A至圖2D說明根據一些實施例的為影像感測器製備深溝渠1202且在深溝渠1202的側壁表面上形成經摻雜襯層114的方法的一系列示意圖。圖2A至圖2D示出在製造製程期間的本申請案中所揭露的影像感測器(例如,上文在圖1中所揭露的影像感測器100)的一些中間部件。由於可得到的形成方法所限,深溝渠1202並非是筆直柱。舉例而言,如圖2A中所示,藉由蝕刻製程自光電二極體摻雜層128的背側124形成深溝渠1202。蝕刻製程涉及例如使用氫氧化四甲銨(TMAH)作為蝕刻劑中的一種的非等向性蝕刻製程,所述非等向性蝕刻製程包括乾式蝕刻及濕式蝕刻。深溝渠1202可具有底切輪廓及位於深溝渠1202的頂部隅角處的彎曲尖端。彎曲尖端可具有自深溝渠1202的上側壁至與光電二極體摻雜層128的平面垂直的垂直線的處於約15°至30°範圍內的彎曲角度θ1 。此外,光電二極體摻雜層128的暴露至深溝渠1202的上部部分由於錯位及原生氧化物形成而被損壞,並且由於蝕刻製程所致的損壞而轉變成具有厚度Td 的缺陷層128’。
圖2B示出在循環清潔製程之後的深溝渠1202。在一些實施例中,循環清潔製程用於移除缺陷層128’且使深溝渠1202的側壁表面變平滑。循環清潔製程可包括將至少兩種不同蝕刻劑(例如,氫氟酸(hydrofluoric acid,HF)及氨與過氧化氫混合物(hydrogen peroxide mixture,APM))的溶液交替地使用多個循環。由於循環清潔製程旨在移除光電二極體摻雜層128的上部部分的大部分以完全移除缺陷層128’且達成平滑表面以供進行後續沉積製程,因此此製程不同於一般的清潔製程(例如,使用氫氟酸溶液的濕式清潔、SiCoNi預先清潔及/或其他電漿增強預先清潔製程)。在一些實施例中,循環清潔製程移除厚度Td 處於約1奈米至20奈米範圍內或至少約20奈米的缺陷層128’。因此,深溝渠1202的側壁表面變平滑,且彎曲尖端減小。彎曲寬度Wb 被界定為自深溝渠1202的彎曲尖端至主體的側向距離,如圖2B中所示。彎曲寬度Wb 可隨著清潔製程的循環增加而線性地減小。所得的彎曲尖端可具有自深溝渠1202的上側壁至與光電二極體摻雜層128的平面垂直的垂直線的減小至小於15°的彎曲角度θ2 。舉例而言,在每一循環移除6埃(Å)左右的同時,光電二極體摻雜層128的上部部分可被移除21奈米(nm)左右。可藉由36個此清潔循環將彎曲寬度Wb 減小至10奈米左右。因此,BDTI結構的側壁輪廓被形成為具有不太彎曲的頸部,且由於深溝渠1202的更筆直的側壁將使溝渠填充品質得到提高,因此影像感測器的效能可得到改良。
然後,如圖2C中所示,在填充深溝渠1202的其餘空間之前,經由磊晶沉積製程在深溝渠1202的平滑側壁表面上形成經摻雜襯層前驅物114’。經摻雜襯層前驅物114’是藉由較低溫度的磊晶沉積製程以p型摻雜劑的δ摻雜(delta doping)形成。在一些實施例中,經摻雜襯層前驅物114’可具有1.3奈米左右的厚度以及1×1019 /立方公分左右的硼濃度。在一些實施例中,經摻雜襯層前驅物114’的摻雜劑濃度可處於近似5×1019 個原子/立方公分至近似2×1020 個原子/立方公分的範圍內。經摻雜襯層前驅物114’的厚度可處於近似0.5奈米與近似3奈米之間的範圍內。經摻雜襯層前驅物114’可具有不超過10奈米的厚度。較厚的經摻雜襯層、較高的形成溫度或較小的摻雜劑濃度會給影像感測器的白色畫素數目及/或暗電流造成負面影響。舉例而言,厚度為10奈米左右且摻雜劑濃度與經摻雜襯層前驅物114’相同的經摻雜襯層前驅物導致影像感測器的白色畫素數目及/或暗電流變成5倍以上。摻雜劑濃度小於8×1019 /立方公分的經摻雜襯層極大地增大白色畫素的數目且可甚至導致影像感測器出現故障。
如圖2D中所示,在形成經摻雜襯層前驅物114’之後,進行摻雜劑活化製程以促進摻雜劑自經摻雜襯層前驅物114’擴散至所述經摻雜襯層前驅物114’的毗連部分並形成經摻雜襯層114。在一些實施例中,摻雜劑活化製程是雷射退火製程(例如,動態表面退火製程)且可包括多個輪次以達成均勻的摻雜劑分佈。舉例而言,摻雜劑可以是硼。表面硼濃度可大於1020 /立方公分,且擴散深度可為20奈米左右,在所述深度下自頂部開始硼濃度減小至1015 /立方公分左右。在一些實施例中,在形成圖2C及圖2D中所述的經摻雜襯層114之後,深溝渠1202的彎曲寬度Wb 及彎曲角度θ2 可實質上維持不變。
圖3說明根據一些其他實施例的包括光電二極體104的影像感測器300的剖視圖,光電二極體104被經摻雜淺隔離井110及具有經摻雜襯層114的BDTI結構111隔離。在可適用時,圖1及其他圖中所示的影像感測器100的特徵可併入於影像感測器300中。在一些實施例中,BDTI結構111可具有處於近似1.5微米與近似5微米之間範圍內的深度D。BDTI結構111的側向尺寸W可具有介於近似0.1微米與近似0.3微米之間的範圍。BDTI結構111的側向尺寸應足以執行經摻雜襯層114及其他層在BDTI結構內部的形成(舉例而言,如下文與圖13至圖16相關聯地闡述)。經摻雜襯層114的表面粗糙度可小於3埃。經摻雜襯層114自頂部至底部的共形度大於90%。在一些實施例中,使用上文與圖2B至圖2D相關聯地闡述的循環清潔製程、磊晶沉積製程及摻雜劑活化製程達成經摻雜襯層114的更共形的厚度、更平滑的表面及更均勻的摻雜劑濃度。亦與圖13至圖15相關聯地論述經摻雜襯層114的形成方法的更多細節。
另外,在一些實施例中,經摻雜淺隔離井110設置於相鄰的畫素區103a、103b之間且將相鄰的畫素區103a、103b隔離,自影像感測晶粒134的前側122延伸至位於光電二極體摻雜層128內的位置。經摻雜淺隔離井110可具有第二摻雜類型(例如,p型摻雜)。在一些實施例中,BDTI結構111的底部部分可設置於經摻雜淺隔離井110的凹陷頂表面內。在此種情形中,經摻雜淺隔離井110可達到小於BDTI結構111的深度的一半或甚至小於¼。經摻雜淺隔離井110可與BDTI結構111在垂直方向上對齊(例如,共享共同中心線126)。BDTI結構111與經摻雜淺隔離井110共同地用於隔離畫素區103a、103b,以使得畫素區103a、103b之中的串擾及輝散可減輕。由於BDTI結構111及經摻雜淺隔離井110向光電二極體104提供額外的p型摻雜劑,因此BDTI結構111與經摻雜淺隔離井110在操作期間亦共同地促進光電二極體104空乏,以使得全井容量得以提高。
在一些實施例中,BDTI結構111更包括設置於經摻雜襯層114與介電填充層112之間且將經摻雜襯層114與介電填充層112隔開的高介電常數介電襯層113。高介電常數介電襯層113亦可以是共形層。舉例而言,高介電常數介電襯層113可包含氧化鋁(Al2 O3 )、氧化鉿(HfO2 )、氧化鉿矽(HfSiO)、氧化鉿鋁(HfAlO)、氧化鉭(Ta2 O5 )或氧化鉿鉭(HfTaO)。其他可適用的高介電常數介電材料亦處於本揭露的範疇內。在一些實施例中,高介電常數介電襯層113可具有介於近似30奈米與近似100奈米之間的厚度範圍且可由多種高介電常數介電材料的複合物製成。經摻雜襯層114、高介電常數介電襯層113及介電填充層112可在側向上沿著影像感測晶粒134的背側124延伸。
在一些實施例中,浮置擴散井204自影像感測晶粒134的前側122至位於光電二極體摻雜層128內的位置而設置於相鄰的畫素區103a、103b之間。在一些實施例中,BDTI結構111延伸至上覆於浮置擴散井204上的位點。BDTI結構111與浮置擴散井204可在垂直方向上對齊(例如,共享共同中心線302)。轉移閘極202在光電二極體摻雜層128之上排列於在側向上位於光電二極體104與浮置擴散井204之間的位置處。在操作期間,轉移閘極202控制自光電二極體104至浮置擴散井204的電荷轉移。若在浮置擴散井204內電荷位準足夠高,則啟動源極隨耦器電晶體(未示出)且根據用於定址的列選擇電晶體(未示出)的操作選擇性地輸出電荷。可使用重設電晶體(未示出)在曝光週期之間重設光電二極體104。
圖4說明根據一些其他實施例的包括光電二極體104的影像感測器400的剖視圖,光電二極體104被具有經摻雜襯層114的BDTI結構111環繞。當可適用時,圖1及圖3中所示的影像感測器100及300的特徵以及其他圖中所示的影像感測器的特徵可併入於影像感測器400中。另外,在替代圖3的一些實施例中,經摻雜淺隔離井110與BDTI結構111可藉由光電二極體摻雜層128隔開。此外,淺溝渠隔離(STI)結構402可自影像感測晶粒134的前側122至位於光電二極體摻雜層128內的位置設置於相鄰的畫素區103a、103b之間。STI結構402與BDTI結構111可在垂直方向上對齊(例如,共享共同中心線404,可或可不與經摻雜淺隔離井110共享中心線)。在一些實施例中,經摻雜淺隔離井110自影像感測晶粒134的前側122延伸至位於光電二極體摻雜層128內的位置且環繞STI結構402。經摻雜淺隔離井110可將STI結構402與光電二極體摻雜層128及/或BDTI結構111隔開。在一些另外的實施例中,光電二極體摻雜柱104a可自影像感測晶粒134的背側124延伸成到達(reach)BDTI結構111的經摻雜襯層114的側向部分上。BDTI結構111、經摻雜淺隔離井110及STI結構402共同地用於隔離畫素區103a、103b,以使得畫素區103a、103b間的串擾及輝散可減輕。BDTI結構111的經摻雜襯層114與經摻雜淺隔離井110在操作期間亦共同地促進光電二極體104空乏,以使得全井容量得以提高。
圖5說明根據一些其他實施例的包括接合在一起的影像感測晶粒134與邏輯晶粒136的積體晶片500的剖視圖,其中影像感測晶粒134具有被具有經摻雜襯層114的BDTI結構111環繞的光電二極體104。當可適用時,圖1、圖3及圖4中所示的影像感測器100、300及400的特徵及其他圖中所示的影像感測器的特徵可併入於影像感測晶粒134中。影像感測晶粒134可更包括設置於畫素區103a與畫素區103b之間且上覆於畫素區103a、103b上的複合柵格(composite grid)506。複合柵格506可包括在影像感測晶粒134的背側124處彼此堆疊的金屬層502及介電層504。介電襯層508加襯於複合柵格506的側壁及頂部。金屬層502可以是鎢、銅、鋁銅或氮化鈦的一或多個層或可包括鎢、銅、鋁銅或氮化鈦的一或多個層。金屬層502可具有介於近似100奈米與近似500奈米之間的厚度範圍。介電層504可以是二氧化矽、氮化矽或其組合的一或多個層或可包括二氧化矽、氮化矽或其組合的一或多個層。介電層504可具有介於近似200奈米與近似800奈米之間的厚度範圍。介電襯層508可以是氧化物(例如,二氧化矽)或可包含氧化物。介電襯層508可具有介於近似5奈米與近似50奈米之間的厚度範圍。其他可適用的金屬材料亦處於本揭露的範疇內。金屬化堆疊108可排列於影像感測晶粒134的前側122上。金屬化堆疊108包括排列於一或多個層間介電(inter-level dielectric,ILD)層106內的多個金屬內連線層。ILD層106可包括低介電常數介電層(即,介電常數小於約3.9的介電質)、超低介電常數介電層或氧化物(例如,氧化矽)中的一或多種。在一些實施例中,BDTI結構111可延伸穿過光電二極體摻雜層128並到達電晶體裝置的ILD層106或閘極介電層(例如,轉移閘極202的閘極介電質)上。
邏輯晶粒136可包括設置於邏輯基底140之上的邏輯裝置142。邏輯晶粒136可更包括設置於ILD層146內且上覆於邏輯裝置142上的金屬化堆疊144。影像感測晶粒134與邏輯晶粒136可面對面、面對背或背對背地接合。舉例而言,圖4示出面對面接合結構,在所述面對面接合結構中,一對中間接合介電層138、148及接合接墊150、152排列於影像感測晶粒134與邏輯晶粒136之間,且經由熔融或共晶接合結構分別接合金屬化堆疊108與接合金屬化堆疊144。
圖6至圖20說明示出形成具有光電二極體的影像感測器的方法的剖視圖600至2000的一些實施例,所述光電二極體被具有經摻雜襯層的BDTI結構環繞。在一些實施例中,BDTI結構的形成包括在蝕刻出深溝渠之後進行循環清潔製程,以使得移除缺陷層且使深溝渠的側壁表面變平滑。然後,在經由磊晶沉積製程在深溝渠的平滑側壁表面上形成經摻雜襯層,再填充深溝渠的其餘空間。因此,BDTI結構的側壁輪廓被形成為具有不太彎曲的頸部,且影像感測器的效能可得以改良。儘管例如針對不同的經摻雜區提供摻雜類型,但應瞭解,相反的摻雜類型可用於該些經摻雜區以實現相反的影像感測器裝置結構。
如圖6的剖視圖600中所示,為影像感測晶粒134提供基底102’。在各種實施例中,基底102’可包括任何類型的半導體主體(例如,矽/鍺/互補金屬氧化物半導體(Complementary Metal-Oxide-Semiconductor,CMOS)塊、SiGe、絕緣體上矽(SOI)等),例如半導體晶圓或位於晶圓上的一或多個晶粒、以及任何其他類型的半導體及/或形成於半導體上及/或以其他方式與半導體相關聯的的磊晶層。舉例而言,可在處理基底102上形成畫素陣列深p型井132。處理基底102可以是或包括經高度摻雜的p型基底層。可在畫素陣列深p型井132上形成畫素陣列深n型井130。可藉由植入製程形成畫素陣列深n型井130及畫素陣列深p型井132。在一些實施例中,形成光電二極體摻雜層128作為基底102’的上部部分。可藉由p型磊晶製程形成光電二極體摻雜層128。在一些實施例中,在邊界處及/或在相鄰的畫素區103a、103b之間自影像感測晶粒134的前側122至位於光電二極體摻雜層128內的位置形成多個淺溝渠隔離(STI)結構402。可藉由選擇性地蝕刻影像感測晶粒134的前側122以形成淺溝渠且隨後在所述淺溝渠內形成氧化物來形成所述一或多個STI結構402。
如圖7的剖視圖700中所示,將摻雜劑物種植入至光電二極體摻雜層128中以形成經摻雜區。可藉由分別在畫素區103a、103b內植入n型摻雜劑物種來形成多個光電二極體摻雜柱104a。可藉由在相鄰的畫素區103a、103b之間將p型摻雜劑物種植入至光電二極體摻雜層128中來形成多個經摻雜淺隔離井110。所述多個經摻雜淺隔離井110可被形成為自影像感測晶粒134的前側122至比STI結構402深的位置。經摻雜淺隔離井110可分別與STI結構402在中心對齊。在一些實施例中,可根據包括光阻的經圖案化遮蔽層(未示出)對光電二極體摻雜層128進行選擇性地植入。
如圖8的剖視圖800中所示,在影像感測晶粒134的前側122之上形成轉移閘極202。可藉由在基底102’之上沉積閘極介電層及閘極電極層形成轉移閘極202。隨後,將閘極介電層及閘極電極層圖案化以形成閘極介電質802及閘極電極804。在一些實施例中,在影像感測晶粒134的前側122內執行植入製程,以沿著轉移閘極202的一側或一對轉移閘極202的相對兩側形成浮置擴散井204。
如圖9的剖視圖900中所示,可在影像感測晶粒134的前側122上形成金屬化堆疊108。在一些實施例中,可藉由在影像感測晶粒134的前側122上形成ILD層106來形成金屬化堆疊108,ILD層106包括一或多個ILD材料層。隨後,對ILD層106進行蝕刻以形成通孔孔及/或金屬溝渠。然後,使用導電材料填充所述通孔孔及/或金屬溝渠以形成所述多個金屬內連通孔510及金屬線512。在一些實施例中,可藉由沉積技術(例如,物理氣相沉積(physical vapor deposition,PVD)、化學氣相沉積(chemical vapor deposition,CVD)等)沉積ILD層106。可使用沉積製程及/或鍍覆製程(例如,電鍍覆、無電鍍覆等)形成所述多個金屬內連線層。在各種實施例中,所述多個金屬內連線層可包含例如鎢、銅或鋁銅。
如圖10的剖視圖1000中所示,然後,可將影像感測晶粒134接合至一或多個其他晶粒。舉例而言,可將影像感測晶粒134接合至被製備成具有邏輯裝置142的邏輯晶粒136。影像感測晶粒134與邏輯晶粒136可面對面、面對背或背對背地接合。舉例而言,接合製程可使用一對中間接合介電層138、148及接合接墊150、152以將影像感測晶粒134的金屬化堆疊108、144與邏輯晶粒136接合。接合製程可包括熔融或共晶接合製程。接合製程亦可包括混雜接合製程,所述混雜接合製程包括接合接墊150、152的金屬對金屬接合及中間接合介電層138、148的介電質對介電質接合。可在混雜接合製程之後進行退火製程,且舉例而言可在介於約250攝氏度至約450攝氏度之間的溫度範圍下執行退火製程達在約0.5小時至約4小時範圍內的時間。
如圖11的剖視圖1100中所示,在與前側122相對的背側124上對影像感測晶粒134進行薄化。薄化製程可部分地或完全移除處理基底102(參見圖10)且允許輻射穿過影像感測晶粒134的背側124而到光電二極體104。在一些實施例中,對影像感測晶粒134進行薄化以暴露出光電二極體摻雜柱104a,以使得輻射可更容易到達光電二極體上。然後,可將稍後形成的BDTI結構或BDTI結構中的半導體層(舉例而言,參見圖16中的BDTI結構111或經摻雜襯層114)形成為到達光電二極體摻雜柱104a的表面上。可藉由蝕刻影像感測晶粒134的背側124對基底102’進行薄化。作為另外一種選擇,可藉由機械研磨影像感測晶粒134的背側124來對基底102’進行薄化。舉例而言,可首先將基底102’研磨至介於近似17微米與近似45微米之間的厚度範圍。然後,可應用侵蝕性濕式蝕刻以將基底102’進一步薄化。蝕刻劑的實例可包括氟酸/硝酸/醋酸(HNA)。然後,可接著進行化學機械製程及氫氧化四甲銨(TMAH))濕式蝕刻以將厚度範圍進一步薄化成介於近似2.8微米與近似7.2微米之間,因此輻射可穿過影像感測晶粒134的背側124而到達光電二極體104。
如圖12的剖視圖1200中所示,選擇性地蝕刻基底102’以在影像感測晶粒134的背側124內形成深溝渠1202,從而在側向上將光電二極體104隔開。在一些實施例中,可藉由將遮蔽層形成至影像感測晶粒134的背側124上來蝕刻基底102’。然後,在未被遮蔽層覆蓋的區中將基底102’暴露於蝕刻劑。蝕刻劑蝕刻基底102’以形成延伸至基底102’中的深溝渠1202。在一些替代實施例中,當形成深溝渠1202時在深度方向上深入地蝕刻基底102’或光電二極體摻雜層128,且深溝渠1202延伸穿過基底102’並可到達ILD層106上,以使得達成完全隔離。在各種實施例中,遮蔽層可包含使用光微影製程圖案化的光阻或氮化物(例如,SiN)。遮蔽層亦可包括具有介於約200埃(Å)至約1000埃(Å)之間的厚度範圍的原子層沉積(atomic layer deposition,ALD)的氧化物層或電漿增強CVD的氧化物層。在各種實施例中,蝕刻劑可包括:乾式蝕刻劑,具有包含氟物種的蝕刻化學品(例如CF4 、CHF3 、C4 F8 等);或濕式蝕刻劑(例如,氫氟酸(HF)或氫氧化四甲銨(TMAH))。深溝渠1202可具有介於近似1.5微米與近似5微米之間的深度範圍。側向尺寸可具有介於近似0.1微米與近似0.3微米之間的範圍。深溝渠1202可具有底切輪廓及在深溝渠1202的頂部處的彎曲尖端。此外,光電二極體摻雜層128的上部部分由於蝕刻製程所致的損壞而形成暴露至深溝渠1202的缺陷層128’,且可包括原生氧化物及其他非期望的雜質層。
如圖13的剖視圖1300中所示,對深溝渠1202執行循環清潔製程以移除缺陷層128’且使深溝渠1202的側壁表面變平滑。循環清潔製程可包括將氫氟酸(HF)的溶液及氨與過氧化氫混合物(APM)的溶液交替地使用多個循環。舉例而言,在每一循環移除6埃(Å)左右的同時,缺陷層128’可被移除21奈米(nm)左右。因此,除深溝渠1202的側壁表面變平滑之外,彎曲尖端亦減小。所得的彎曲尖端可具有自深溝渠1202的上側壁至與光電二極體摻雜層128的平面垂直的垂直線小於15°的彎曲角度θ2 。在一些實施例中,彎曲角度θ2 小於8°,以使得可達成更好的填充結果。在一些實施例中,可在循環清潔製程之後進行一些其他清潔製程。可執行使用HF及遠端電漿SiCoNi清潔的額外濕式清潔製程以進一步改良影像感測器的暗電流及白色畫素的特性。可在循環清潔製程之前使用HF溶液的預先清潔製程,以移除原生氧化物。舉例而言,預先清潔製程可使用具有130(水):1(化學製品)比率的HF溶液達90秒,且使用小於兩小時的等待時間(queue time)。
如圖14的剖視圖1400中所示,在深溝渠1202的側壁及底表面上形成經摻雜襯層前驅物114’。在一些實施例中,可藉由低溫磊晶生長製程(例如,溫度低於500攝氏度的磊晶生長製程)形成經摻雜襯層前驅物114’。加工氣體可包括矽烷(SiH4 )、二氯矽烷(DCS或H2 SiCl2 )、乙硼烷(B2 H6 )、氫(H2 )或其他可適用的氣體。可以低壓化學氣相沉積磊晶工具、在介於近似4托與近似200托之間範圍內的壓力下、在介於近似400攝氏度至近似490攝氏度之間的溫度範圍下執行磊晶生長製程,以形成厚度處於近似0.5奈米與近似3奈米之間範圍內(例如,2奈米左右)的磊晶經摻雜層作為經摻雜襯層前驅物114’。經摻雜襯層前驅物114’的厚度可不超過10奈米,且更可不超過3奈米便足以對缺陷及粗糙度加以限制。由於形成溫度越高則將會導致摻雜劑濃度越低且導致粗糙度增大,因此形成溫度不應高於490攝氏度。經摻雜襯層前驅物114’形成於深溝渠1202的平滑側壁表面上且將比傳統的束線植入技術達到更好的共形度,所述傳統束線植入技術會給三維結構造成陰影效應且無法達成所期望的共形度。經摻雜襯層前驅物114’是以δ摻雜形成。硼濃度可處於自約5×1019 /立方公分至約2×1020 /立方公分範圍內,且更可不小於1×1019 /立方公分。較厚的經摻雜襯層或較小的摻雜劑濃度會給影像感測器的白色畫素數目及/或暗電流造成負面影響。
如圖15的剖視圖1500中所示,然後,執行摻雜劑活化製程以促進擴散且形成經摻雜襯層114。在一些實施例中,所述摻雜劑活化製程包括或是雷射退火製程或動態表面退火製程。舉例而言,退火可使用綠色雷射,且退火溫度可處於近似800攝氏度與近似1100攝氏度之間的範圍內,退火時間處於近似10奈秒與近似100奈秒之間的範圍內。摻雜劑活化製程有益於低熱預算的產品,與例如先後進行沉積製程及熱驅入製程等其他方法相較而言尤其如此,例如先後進行沉積製程及熱驅入製程等其他方法無法提供足夠的接面深度或對於低熱預算的產品而言不可接受,原因在於高溫接面驅入及退火需要進行損壞修復及摻雜劑活化。
如圖16的剖視圖1600中所示,然後,使用介電材料填充深溝渠1202。在一些實施例中,在深溝渠1202內沿著經摻雜襯層114形成高介電常數介電襯層113。高介電常數介電襯層113可藉由沉積技術形成且可包含氧化鋁(AlO)、氧化鉿(HfO)、氧化鉭(TaO)或介電常數大於氧化矽的介電常數的其他介電材料。經摻雜襯層114及高介電常數介電襯層113加襯於深溝渠1202的側壁及底表面。在一些實施例中,經摻雜襯層114及高介電常數介電襯層113可在深溝渠1202之間延伸於影像感測晶粒134的背側124之上。形成介電填充層112以填充深溝渠1202的其餘部分。在一些實施例中,在形成介電填充層112之後執行平坦化製程以形成沿著高介電常數介電襯層113的上表面及介電填充層112的上表面延伸的平坦表面。經摻雜襯層114、高介電常數介電襯層113及介電填充層112可經受平坦化製程,所述平坦化製程移除直接上覆於畫素區103a、103b上的上覆的介電填充層112、高介電常數介電襯層113及經摻雜襯層114的側向部分。在一些實施例中,可使用物理氣相沉積技術或化學氣相沉積技術沉積高介電常數介電襯層113及介電填充層112。因此,BDTI結構111形成於基底102’中,自背側124延伸至位於光電二極體摻雜層128內的位置。BDTI結構111形成於相鄰的畫素區103a、103b之間且將相鄰的畫素區103a、103b隔離。
上述清潔製程、磊晶生長製程及活化製程提供改良的共形摻雜襯層,所述改良的共形摻雜襯層具有更共形的厚度、更均勻的摻雜濃度及與下伏的光電二極體摻雜層128的更平滑界面。與在不進行循環清潔製程或磊晶生長製程的情況下所形成的經摻雜襯層的表面粗糙度相較而言,表面粗糙度亦可減小。
圖17至圖19示出在光電二極體摻雜柱104a上面形成彩色濾光器116的方法的一些實施例。如圖17的剖視圖1700中所示,沿著影像感測晶粒134的背側124在基底102’之上堆疊金屬層502及介電層504。金屬層502可以是或包括鎢、銅、鋁銅或氮化鈦的一或多個層。其他可適用的金屬材料亦處於本揭露的範疇內。介電層504可以是或包括二氧化矽、氮化矽或其組合的一或多個層。介電層504可用作硬罩幕層。如圖18的剖視圖1800中所示,對金屬層502及介電層504執行蝕刻以形成複合柵格506。開口1802可與光電二極體摻雜柱104a在中心對齊,以使得複合柵格506排列於光電二極體摻雜柱104a周圍及光電二極體摻雜柱104a之間。作為另外一種選擇,開口1802可在至少一個方向上自光電二極體摻雜柱104a在側向上移位或偏移,以使得複合柵格506至少部分地上覆於光電二極體摻雜柱104a上。然後,形成介電襯層508,從而加襯於複合柵格506的側壁及頂部且加襯於開口1802。可使用例如(舉例而言)化學氣相沉積(CVD)或物理氣相沉積(PVD)等共形沉積技術形成介電襯層508。介電襯層508可例如由氧化物(例如,二氧化矽)形成。如圖19中所示,在對應畫素感測器的開口1802中形成與畫素感測器對應的彩色濾光器116。彩色濾光器層由允許對應色彩的光穿過而阻擋其他色彩的光的材料形成。此外,可依據指定的色彩形成彩色濾光器116。舉例而言,依據紅色、綠色及藍色的指定色彩交替地形成彩色濾光器116。彩色濾光器116可被形成為上表面與複合柵格506的上表面對齊。彩色濾光器116可在至少一個方向上自對應畫素感測器的光電二極體摻雜柱104a在側向上移位或偏移。根據移位或偏移的程度,彩色濾光器116可部分地填充對應畫素感測器的開口且可部分地填充與所述對應畫素感測器鄰近的畫素感測器的開口。作為另外一種選擇,彩色濾光器116可關於與對應畫素感測器的光電二極體中心對齊的垂直軸線對稱。形成彩色濾光器116的製程可包括:針對色彩指定的不同色彩中的每一種,形成彩色濾光器層並將彩色濾光器層圖案化。可在形成之後將彩色濾光器層平坦化。可藉由以下方式執行圖案化:在彩色濾光器層之上形成具有圖案的光阻層,根據光阻層的圖案對彩色濾光器層施加蝕刻劑,並移除經圖案化光阻層。
如圖20所說明,在對應畫素感測器的彩色濾光器116之上形成與畫素感測器對應的微透鏡118。在一些實施例中,可藉由在所述多個彩色濾光器上方沉積微透鏡材料(例如,藉由旋轉塗佈方法或沉積製程)形成所述多個微透鏡。在微透鏡材料上方圖案化出具有彎曲上表面的微透鏡模板。在一些實施例中,微透鏡模板可包含光阻材料,所述光阻材料是使用分配曝光劑量進行曝光(例如,就負性光阻而言,彎曲部(curvature)的底部處曝光較多,且彎曲部的頂部處曝光較少)、顯影並烘烤以形成圓形形狀。然後,根據微透鏡模板對微透鏡材料進行選擇性地蝕刻來形成微透鏡118。
圖21說明形成具有光電二極體的影像感測器的方法2100的一些實施例的流程圖,所述光電二極體被具有共形經摻雜層的BDTI結構環繞。
雖然本文中將所揭露的方法2100說明並闡述為一系列動作或事件,但應瞭解,該些動作或事件的所說明排序不應被解釋為具有限制意義。舉例而言,一些動作可以不同的次序發生及/或與除本文中所說明及/或所述的動作或事件之外的其他動作或事件同時發生。另外,可能並不需要所有所說明的動作來實施本文中說明的一或多個態樣或實施例。此外,本文中所繪示的動作中的一或多者可在一或多個單獨的動作及/或階段中施行。
在動作2102處,為影像感測晶粒製備基底。自影像感測晶粒的前側在基底中形成光電二極體及經摻雜隔離井。在一些實施例中,在處理基底之上形成磊晶層作為光電二極體摻雜層,且可藉由將摻雜劑物種植入至磊晶層中來形成光電二極體摻雜柱及/或經摻雜隔離井。可藉由選擇性植入以形成延伸至光電二極體摻雜層中的多個柱來形成經摻雜隔離井。在一些實施例中,可藉由選擇性地蝕刻基底以形成淺溝渠且隨後在所述淺溝渠內形成介電質(例如,氧化物)來在影像感測晶粒的前側內形成淺溝渠隔離區。圖6至圖7說明與對應於動作2102的一些實施例對應的剖視圖。
在動作2104處,在影像感測晶粒的前側上形成轉移閘極。然後,在所述轉移閘極之上形成金屬化堆疊。圖8至圖9說明與對應於動作2104的一些實施例對應的剖視圖。
在動作2106處,在一些實施例中,將影像感測器接合至一或多個其他晶粒,例如邏輯晶粒或其他影像感測晶粒。圖10說明與對應於動作2106的一些實施例對應的剖視圖。
在動作2108處,對基底進行選擇性地蝕刻以在相鄰的感測畫素區之間形成自影像感測晶粒的背側延伸至基底中的深溝渠。深溝渠可具有與經摻雜隔離井的中心線及/或淺溝渠隔離區的中心線對齊的中心線。在一些實施例中,在蝕刻之前對基底進行薄化以形成深溝渠。可自影像感測晶粒的背側部分地或完全移除處理基底。圖11至圖12說明與對應於動作2108的一些實施例的對應的剖視圖。
在動作2110處,對深溝渠執行循環清潔製程。圖13說明與對應於動作2110的一些實施例對應的剖視圖。
在動作2112處,沿著深溝渠的側壁及底部形成經摻雜襯層。在一些實施例中,可藉由低溫磊晶製程形成經摻雜襯層。圖14說明與對應於動作2112的一些實施例對應的剖視圖。
在動作2114處,執行退火製程以促進摻雜劑自經摻雜襯層擴散至下伏的光電二極體摻雜層。圖15說明與對應於動作2114的一些實施例對應的剖視圖。
在動作2116處,使用介電材料填充深溝渠的其餘空間。可在深溝渠內將高介電常數介電襯層形成至經摻雜襯層上。圖16說明與對應於動作2116的一些實施例對應的剖視圖。
在動作2118處,在影像感測晶粒的背側上形成抗反射層及複合柵格。圖17至圖18說明與對應於動作2118的一些實施例對應的剖視圖。
在動作2120處,在影像感測晶粒的背側上形成彩色濾光器及微透鏡。圖19至圖20說明與對應於動作2120的一些實施例對應的剖視圖。
因此,本揭露是有關於一種具有被BDTI結構環繞的光電二極體的影像感測器及一種相關聯形成方法。BDTI結構包括加襯於深溝渠的側壁表面的經摻雜襯層及填充深溝渠的其餘空間的介電層。藉由形成所揭露的BDTI結構以用作經摻雜井及隔離結構,將自影像感測晶粒的前側進行的植入製程簡化,且因此光電二極體的曝光解析度及全井容量得以提高,且輝散及串擾減小。藉由執行循環清潔製程以移除BDTI結構的深溝渠內的缺陷層且然後在深溝渠中形成薄的磊晶經摻雜襯層,在經摻雜襯層與下伏的光電二極體摻雜層之間提供平滑界面,且因此明顯地減少白色畫素及暗電流。在一些另外的實施例中,BDTI結構可不僅用於影像感測器,例如亦可用於包括深溝渠電容器的半導體裝置。
在一些實施例中,本揭露是有關於一種形成影像感測器的方法。自影像感測晶粒的前側形成用於多個畫素區的多個光電二極體。光電二極體被形成為具有被光電二極體摻雜層環繞的光電二極體摻雜柱,所述光電二極體摻雜柱具有第一摻雜類型,所述光電二極體摻雜層具有與所述第一摻雜類型不同的第二摻雜類型。藉由自影像感測晶粒的背側蝕刻所述光電二極體摻雜層在相鄰的畫素區之間形成深溝渠。在所述深溝渠的蝕刻期間,光電二極體摻雜層的暴露於所述深溝渠的上部部分轉變成缺陷層。交替地執行至少兩種不同蝕刻劑的循環清潔製程,以移除所述缺陷層。形成加襯於所述深溝渠的側壁表面的經摻雜襯層,所述經摻雜襯層具有所述第二摻雜類型。形成填充於所述深溝渠的內側空間的介電填充層,以形成背側深溝渠隔離(BDTI)結構。
在一些替代實施例中,本揭露是有關於一種形成影像感測器的方法。所述方法包括自影像感測晶粒的前側形成用於多個畫素區的光電二極體。光電二極體被形成為具有被光電二極體摻雜層環繞的光電二極體摻雜柱,所述光電二極體摻雜柱具有第一摻雜類型,所述光電二極體摻雜層具有與所述第一摻雜類型不同的第二摻雜類型。藉由經由多個植入製程將摻雜劑植入至所述光電二極體摻雜層中而自影像感測晶粒的前側形成經摻雜隔離井。在所述影像感測晶粒的所述前側上形成閘極結構及金屬化堆疊,其中所述金屬化堆疊包括排列於一或多個層間介電層內的多個金屬內連線層。自所述影像感測晶粒的所述前側將所述影像感測晶粒接合至邏輯晶粒,其中所述邏輯晶粒包括邏輯裝置。藉由自所述影像感測晶粒的背側進行蝕刻來在相鄰的畫素區之間形成深溝渠。交替地執行至少兩種不同蝕刻劑的循環清潔製程以移除光電二極體摻雜層的暴露於所述深溝渠的上部部分。形成加襯於所述深溝渠的側壁表面的經摻雜襯層,所述經摻雜襯層具有所述第二摻雜類型。形成填充於所述深溝渠的內側空間的介電填充層,以形成背側深溝渠隔離(BDTI)結構。
在又一些其他實施例中,本揭露是有關於一種影像感測器。所述影像感測器包括具有前側及與所述前側相對的背側的影像感測晶粒。多個畫素區設置於所述影像感測晶粒內且分別包括光電二極體,所述光電二極體被配置成將自所述影像感測器的所述背側進入的輻射轉變成電性訊號。所述光電二極體包括被光電二極體摻雜層環繞的光電二極體摻雜柱,所述光電二極體摻雜柱具有第一摻雜類型,所述光電二極體摻雜層具有與所述第一摻雜類型不同的第二摻雜類型。BDTI結構設置於相鄰的畫素區之間且自所述影像感測晶粒的所述背側延伸至位於所述光電二極體摻雜層內的位置。所述BDTI結構包括經摻雜襯層及介電填充層,所述經摻雜襯層具有所述第二摻雜類型,所述經摻雜襯層加襯於所述介電填充層的側壁表面。
以上概述了若干實施例的特徵以使得熟習此項技術者可更佳地理解本揭露的各個態樣。熟習此項技術者應理解,他們可容易使用本揭露作為設計或修改其他製程及結構的基礎來施行與本文所介紹的實施例相同的目的及/或達成與本文所介紹的實施相同的優點。熟習此項技術者亦應認識到此類等效構造並不背離本揭露的精神及範圍,且他們可在不背離本揭露的精神及範圍的條件下在本文中作出各種改變、替代及變更。
100、300、400:影像感測器 102:處理基底 102’:基底 103a、103b:畫素區 104:光電二極體 104a:光電二極體摻雜柱 106、146:層間介電(ILD)層 108、144:金屬化堆疊 110:經摻雜淺隔離井 111:背側深溝渠隔離(BDTI)結構 112:介電填充層 113:高介電常數介電襯層 114:經摻雜襯層 114’:經摻雜襯層前驅物 116:彩色濾光器 118:微透鏡 120:入射輻射或入射光 122:前側 124:背側 126、302、404:共同中心線 128:光電二極體摻雜層 128’:缺陷層 130:畫素陣列深n型井 132:畫素陣列深p型井 134:影像感測晶粒 136:邏輯晶粒 138:中間接合介電層 140:邏輯基底 142:邏輯裝置 148:中間接合介電層 150、152:接合接墊 202:轉移閘極 204:浮置擴散井 402:淺溝渠隔離(STI)結構 500:積體晶片 502:金屬層 504:介電層 506:複合柵格 508:介電襯層 510:金屬內連通孔 512:金屬線 600、700、800、900、1000、1100、1200、1300、1400、1500、1600、1700、1800、1900、2000:剖視圖 802:閘極介電質 804:閘極電極 1202:深溝渠 1802:開口 2100:方法 2102、2104、2106、2108、2110、2112、2114、2116、2118、2120:動作 D:深度 Td:厚度 w:側向尺寸 wb :彎曲寬度θ1 θ2 :彎曲角度
結合附圖閱讀以下詳細說明,會最佳地理解本揭露的各個態樣。注意,根據本行業中的標準慣例,各種特徵未必按比例繪製。事實上,為使論述清晰起見,可任意增大或減小各種特徵的尺寸。 圖1說明包括光電二極體的影像感測器的一些實施例的剖視圖,所述光電二極體被具有經摻雜襯層的背側深溝渠隔離(BDTI)結構環繞。 圖2A至圖2D說明為影像感測器形成具有經摻雜襯層的BDTI結構的方法的一些實施例的一系列示意圖。 圖3說明包括光電二極體的影像感測器的一些其他實施例的剖視圖,所述光電二極體被淺隔離井及具有經摻雜襯層的BDTI結構隔離。 圖4說明包括光電二極體的影像感測器的一些其他實施例的剖視圖,所述光電二極體被具有經摻雜襯層的BDTI結構、淺隔離井及淺溝渠隔離結構環繞。 圖5說明包括接合在一起的影像感測晶粒與邏輯晶粒的積體晶片的一些實施例的剖視圖,其中影像感測晶粒具有被具有經摻雜襯層的BDTI結構環繞的光電二極體。 圖6至圖20說明示出形成具有光電二極體的影像感測器的方法的剖視圖的一些實施例,所述光電二極體被具有共形經摻雜層的BDTI結構環繞。 圖21說明形成具有光電二極體的影像感測器的方法的一些實施例的流程圖,所述光電二極體被具有經摻雜層的BDTI結構環繞。
103a、103b:畫素區
104:光電二極體
104a:光電二極體摻雜柱
106、146:層間介電(ILD)層
108、144:金屬化堆疊
110:經摻雜淺隔離井
111:背側深溝渠隔離(BDTI)結構
112:介電填充層
113:高介電常數介電襯層
114:經摻雜襯層
116:彩色濾光器
118:微透鏡
122:前側
124:背側
128:光電二極體摻雜層
130:畫素陣列深n型井
132:畫素陣列深p型井
134:影像感測晶粒
136:邏輯晶粒
138:中間接合介電層
140:邏輯基底
142:邏輯裝置
148:中間接合介電層
150、152:接合接墊
202:轉移閘極
204:浮置擴散井
402:淺溝渠隔離(STI)結構
500:積體晶片
502:金屬層
504:介電層
506:複合柵格
508:介電襯層
510:金屬內連通孔
512:金屬線

Claims (20)

  1. 一種形成影像感測器的方法,包括: 自影像感測晶粒的前側形成用於多個畫素區的多個光電二極體,其中所述多個光電二極體中的每一者被形成為具有被光電二極體摻雜層環繞的光電二極體摻雜柱,所述光電二極體摻雜柱具有第一摻雜類型,所述光電二極體摻雜層具有與所述第一摻雜類型不同的第二摻雜類型; 自所述影像感測晶粒的背側在所述光電二極體摻雜層中的相鄰的畫素區之間形成深溝渠,其中在所述深溝渠的蝕刻期間所述光電二極體摻雜層的暴露於所述深溝渠的上部部分轉變成缺陷層; 交替地執行至少兩種不同蝕刻劑的循環清潔製程,以移除所述缺陷層; 形成加襯於所述深溝渠的側壁表面的經摻雜襯層,所述經摻雜襯層具有所述第二摻雜類型;以及 形成填充於所述深溝渠的內側空間的介電填充層,以形成背側深溝渠隔離(BDTI)結構。
  2. 如請求項1所述的形成影像感測器的方法,其中執行所述循環清潔製程包括:將氫氟酸(HF)的溶液以及氨與過氧化氫混合物(APM)的溶液交替地使用多個循環。
  3. 如請求項1所述的形成影像感測器的方法,其中所述循環清潔製程將所述光電二極體摻雜層的所述上部部分移除至少約1奈米至20奈米。
  4. 如請求項1所述的形成影像感測器的方法,其中所述經摻雜襯層是藉由在低於500攝氏度的溫度下進行磊晶沉積製程、然後進行摻雜劑活化製程而形成。
  5. 如請求項4所述的形成影像感測器的方法,其中所述經摻雜襯層被形成為具有小於10奈米的厚度。
  6. 如請求項4所述的形成影像感測器的方法,其中所述經摻雜襯層是以具有大於1×1019 /立方公分左右的摻雜濃度的硼的δ摻雜形成的。
  7. 如請求項4所述的形成影像感測器的方法,其中所述摻雜劑活化製程是雷射退火製程。
  8. 如請求項1所述的形成影像感測器的方法,其中在所述循環清潔製程之後,所述深溝渠的彎曲寬度及彎曲角度減小。
  9. 如請求項1所述的形成影像感測器的方法,其中所述背側深溝渠隔離結構是穿過所述光電二極體摻雜層形成的。
  10. 如請求項1所述的形成影像感測器的方法,其中所述經摻雜襯層被形成為到達所述光電二極體摻雜柱的表面上。
  11. 一種形成影像感測器的方法,包括: 自影像感測晶粒的前側形成用於多個畫素區的光電二極體,其中所述光電二極體中的每一者被形成為具有被光電二極體摻雜層環繞的光電二極體摻雜柱,所述光電二極體摻雜柱具有第一摻雜類型,所述光電二極體摻雜層具有與所述第一摻雜類型不同的第二摻雜類型; 藉由經由至少一個植入製程將摻雜劑植入至所述光電二極體摻雜層中而自所述影像感測晶粒的所述前側形成經摻雜隔離井; 在所述影像感測晶粒的所述前側上形成閘極結構及金屬化堆疊,其中所述金屬化堆疊包括排列於一或多個層間介電層內的多個金屬內連線層; 自所述影像感測晶粒的所述前側將所述影像感測晶粒接合至邏輯晶粒,其中所述邏輯晶粒包括邏輯裝置; 在所述影像感測晶粒的背側中在相鄰的畫素區之間形成深溝渠; 執行清潔製程,以移除所述光電二極體摻雜層的暴露於所述深溝渠的上部部分,其中所述清潔製程包括具有氫氟酸(HF)的第一蝕刻劑及具有氨與過氧化氫混合物(APM)的第二蝕刻劑; 形成加襯於所述深溝渠的側壁表面的經摻雜襯層,所述經摻雜襯層具有所述第二摻雜類型;以及 形成填充於所述深溝渠的內側空間的介電填充層,以形成背側深溝渠隔離(BDTI)結構。
  12. 如請求項11所述的形成影像感測器的方法,其中執行所述清潔製程包括:將氫氟酸(HF)的溶液以及氨與過氧化氫混合物(APM)的溶液交替地使用多個循環。
  13. 如請求項11所述的形成影像感測器的方法,更包括: 在所述相鄰的畫素區之間自所述影像感測晶粒的所述前側至位於所述光電二極體摻雜層內的位置形成淺溝渠隔離(STI)結構; 其中所述深溝渠被形成為暴露出所述淺溝渠隔離結構。
  14. 如請求項11所述的形成影像感測器的方法,更包括:在形成所述深溝渠之前對所述影像感測晶粒的所述背側進行薄化以暴露出所述光電二極體摻雜柱。
  15. 如請求項11所述的形成影像感測器的方法,其中所述深溝渠被形成為暴露出所述經摻雜隔離井。
  16. 一種影像感測器,包括: 影像感測晶粒,具有前側及與所述前側相對的背側; 多個畫素區,設置於所述影像感測晶粒內且分別包括光電二極體,所述光電二極體被配置成將自所述影像感測器的所述背側進入的輻射轉變成電性訊號,所述光電二極體包括被光電二極體摻雜層環繞的光電二極體摻雜柱,所述光電二極體摻雜柱具有第一摻雜類型,所述光電二極體摻雜層具有與所述第一摻雜類型不同的第二摻雜類型;以及 背側深溝渠隔離結構,設置於相鄰的畫素區之間且自所述影像感測晶粒的所述背側延伸至位於所述光電二極體摻雜層內的位置; 其中所述背側深溝渠隔離結構包括經摻雜襯層及介電填充層,所述經摻雜襯層具有所述第二摻雜類型,所述經摻雜襯層加襯於所述介電填充層的側壁表面。
  17. 如請求項16所述的影像感測器, 其中所述背側深溝渠隔離結構的所述經摻雜襯層及所述介電填充層在側向上沿著所述影像感測晶粒的所述背側延伸;且 其中所述經摻雜襯層的側向部分設置於所述光電二極體摻雜柱上; 其中所述經摻雜襯層具有1奈米至20奈米的厚度以及介於近似5×1019 個原子/立方公分至近似2×1020 個原子/立方公分之間範圍內的硼濃度。
  18. 如請求項16所述的影像感測器,更包括: 具有所述第二摻雜類型的經摻雜隔離井,設置於所述相鄰的畫素區之間且自所述影像感測晶粒的所述前側延伸至位於所述光電二極體摻雜層內的位置; 其中所述經摻雜隔離井藉由所述光電二極體摻雜層而與所述背側深溝渠隔離結構隔開。
  19. 如請求項16所述的影像感測器,更包括: 淺溝渠隔離結構,自所述影像感測晶粒的所述前側至位於所述光電二極體摻雜層內的位置設置於所述相鄰的畫素區之間; 其中所述背側深溝渠隔離結構延伸穿過所述淺溝渠隔離結構。
  20. 如請求項16所述的影像感測器,其中所述背側深溝渠隔離結構的頂部隅角處的彎曲尖端具有自所述背側深溝渠隔離結構的上側壁至與所述光電二極體摻雜層的側向平面垂直的垂直線的介於約8º至15º範圍內的彎曲角度。
TW110100946A 2020-04-24 2021-01-11 影像感測器及其形成方法 TWI794723B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202063014856P 2020-04-24 2020-04-24
US63/014,856 2020-04-24
US17/017,854 US11869761B2 (en) 2020-04-24 2020-09-11 Back-side deep trench isolation structure for image sensor
US17/017,854 2020-09-11

Publications (2)

Publication Number Publication Date
TW202141774A true TW202141774A (zh) 2021-11-01
TWI794723B TWI794723B (zh) 2023-03-01

Family

ID=76710184

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110100946A TWI794723B (zh) 2020-04-24 2021-01-11 影像感測器及其形成方法

Country Status (3)

Country Link
US (1) US20220384496A1 (zh)
CN (1) CN113113433A (zh)
TW (1) TWI794723B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI832714B (zh) * 2022-09-12 2024-02-11 台灣積體電路製造股份有限公司 積體電路裝置及其製造方法

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20230082312A1 (en) * 2021-09-16 2023-03-16 Magvision Semiconductor (Beijing) Inc. Image Sensor Pixel with Deep Trench Isolation Structure
CN114220886B (zh) * 2021-12-14 2023-11-24 中国电子科技集团公司第四十四研究所 一种拉通型硅apd阵列及其像元间隔离方法
CN116053289B (zh) * 2023-03-06 2023-06-27 合肥新晶集成电路有限公司 图像传感器及其制备方法
CN117219649B (zh) * 2023-11-09 2024-02-20 合肥晶合集成电路股份有限公司 半导体结构及其制备方法
CN117594624B (zh) * 2024-01-19 2024-04-09 合肥晶合集成电路股份有限公司 一种图像传感器及其制作方法
CN117790523B (zh) * 2024-02-23 2024-05-17 合肥晶合集成电路股份有限公司 一种图像传感器及其制作方法

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003151965A (ja) * 2001-11-08 2003-05-23 Matsushita Electric Ind Co Ltd 半導体装置の洗浄方法及びその製造方法
JP2015088568A (ja) * 2013-10-29 2015-05-07 株式会社東芝 固体撮像装置および固体撮像装置の製造方法
US9349768B2 (en) * 2014-03-28 2016-05-24 Taiwan Semiconductor Manufacturing Company, Ltd. CMOS image sensor with epitaxial passivation layer
US9847363B2 (en) * 2015-10-20 2017-12-19 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with a radiation sensing region and method for forming the same
US9954022B2 (en) * 2015-10-27 2018-04-24 Taiwan Semiconductor Manufacturing Co., Ltd. Extra doped region for back-side deep trench isolation
US9799702B2 (en) * 2016-03-24 2017-10-24 Taiwan Semiconductor Manufacturing Company, Ltd. Deep trench isolation structure and method of forming same
DE102018122505A1 (de) * 2017-09-28 2019-03-28 Taiwan Semiconductor Manufacturing Co., Ltd. Rückseitige Tiefgrabenisolier- (BDTI) Struktur für Bildsensor mit Pinned-Photodiode
US10304886B2 (en) * 2017-09-28 2019-05-28 Taiwan Semiconductor Manufacturing Co., Ltd. Back-side deep trench isolation (BDTI) structure for pinned photodiode image sensor
US10461109B2 (en) * 2017-11-27 2019-10-29 Taiwan Semiconductor Manufacturing Co., Ltd. Multiple deep trench isolation (MDTI) structure for CMOS image sensor
US10432883B1 (en) * 2018-06-12 2019-10-01 Semiconductor Components Industries, Llc Backside illuminated global shutter pixels
US10658410B2 (en) * 2018-08-27 2020-05-19 Taiwan Semiconductor Manufacturing Co., Ltd. Image sensor having improved full well capacity and related method of formation

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI832714B (zh) * 2022-09-12 2024-02-11 台灣積體電路製造股份有限公司 積體電路裝置及其製造方法

Also Published As

Publication number Publication date
TWI794723B (zh) 2023-03-01
US20220384496A1 (en) 2022-12-01
CN113113433A (zh) 2021-07-13

Similar Documents

Publication Publication Date Title
TWI794723B (zh) 影像感測器及其形成方法
KR102583440B1 (ko) 이미지 센서를 위한 후면 딥 트렌치 격리 구조물
TWI767044B (zh) 影像感測器之光電改善機制
KR102192867B1 (ko) 핀형 포토다이오드 이미지 센서에 대한 후방 측 깊은 트렌치 격리(bdti) 구조물
TWI734108B (zh) 整合式晶片及其形成方法
TW201830679A (zh) 影像感測器積體晶片及其形成方法
TWI809657B (zh) 影像感測器及其形成方法
KR20200002571A (ko) 균열에 내성이 있는 딥 트렌치 절연 구조물
TWI796625B (zh) 積體電路、影像感測器及其形成方法
TW202032778A (zh) 影像感測器、像素感測器與其形成方法
US20230411425A1 (en) Light absorbing layer to enhance p-type diffusion for dti in image sensors
TW202133418A (zh) 圖像感測器、其形成方法及積體晶片
TWI717795B (zh) 影像感測器及其形成方法
TW202133425A (zh) 影像感測器及其形成方法
TWI832079B (zh) 積體晶片及其形成方法
KR102699394B1 (ko) 이미지 센서를 위한 후면 구조체
TWI815124B (zh) 影像感測器及其形成方法
JP2023152717A (ja) イメージセンサ性能を向上させるための分離構造