TW202045942A - 記憶體測試結構、積體電路以及形成積體電路的方法 - Google Patents

記憶體測試結構、積體電路以及形成積體電路的方法 Download PDF

Info

Publication number
TW202045942A
TW202045942A TW109113052A TW109113052A TW202045942A TW 202045942 A TW202045942 A TW 202045942A TW 109113052 A TW109113052 A TW 109113052A TW 109113052 A TW109113052 A TW 109113052A TW 202045942 A TW202045942 A TW 202045942A
Authority
TW
Taiwan
Prior art keywords
dummy
gate
memory
logic
pair
Prior art date
Application number
TW109113052A
Other languages
English (en)
Other versions
TWI726689B (zh
Inventor
施宏霖
才永軒
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202045942A publication Critical patent/TW202045942A/zh
Application granted granted Critical
Publication of TWI726689B publication Critical patent/TWI726689B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/40Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the peripheral circuit region
    • H10B41/42Simultaneous manufacture of periphery and memory cells
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/30Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C29/00Checking stores for correct operation ; Subsequent repair; Testing stores during standby or offline operation
    • G11C29/04Detection or location of defective memory elements, e.g. cell constructio details, timing of test signals
    • G11C29/50Marginal testing, e.g. race, voltage or current testing
    • G11C29/50004Marginal testing, e.g. race, voltage or current testing of threshold voltage
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C29/00Checking stores for correct operation ; Subsequent repair; Testing stores during standby or offline operation
    • G11C29/04Detection or location of defective memory elements, e.g. cell constructio details, timing of test signals
    • G11C29/08Functional testing, e.g. testing during refresh, power-on self testing [POST] or distributed testing
    • G11C29/12Built-in arrangements for testing, e.g. built-in self testing [BIST] or interconnection details
    • G11C29/14Implementation of control logic, e.g. test mode decoders
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C29/00Checking stores for correct operation ; Subsequent repair; Testing stores during standby or offline operation
    • G11C29/04Detection or location of defective memory elements, e.g. cell constructio details, timing of test signals
    • G11C29/08Functional testing, e.g. testing during refresh, power-on self testing [POST] or distributed testing
    • G11C29/12Built-in arrangements for testing, e.g. built-in self testing [BIST] or interconnection details
    • G11C29/18Address generation devices; Devices for accessing memories, e.g. details of addressing circuits
    • G11C29/24Accessing extra cells, e.g. dummy cells or redundant cells
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements
    • H01L22/34Circuits for electrically characterising or monitoring manufacturing processes, e. g. whole test die, wafers filled with test structures, on-board-devices incorporated on each die, process control monitors or pad structures thereof, devices in scribe line
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40114Multistep manufacturing processes for data storage electrodes the electrodes comprising a conductor-insulator-conductor-insulator-semiconductor structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42324Gate electrodes for transistors with a floating gate
    • H01L29/42328Gate electrodes for transistors with a floating gate with at least one additional gate other than the floating gate and the control gate, e.g. program gate, erase gate or select gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66825Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a floating gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/788Field effect transistors with field effect produced by an insulated gate with floating gate
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/40Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the peripheral circuit region
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/40Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the peripheral circuit region
    • H10B41/42Simultaneous manufacture of periphery and memory cells
    • H10B41/49Simultaneous manufacture of periphery and memory cells comprising different types of peripheral transistor
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C29/00Checking stores for correct operation ; Subsequent repair; Testing stores during standby or offline operation
    • G11C29/04Detection or location of defective memory elements, e.g. cell constructio details, timing of test signals
    • G11C2029/0403Detection or location of defective memory elements, e.g. cell constructio details, timing of test signals during or with feedback to manufacture
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C29/00Checking stores for correct operation ; Subsequent repair; Testing stores during standby or offline operation
    • G11C29/04Detection or location of defective memory elements, e.g. cell constructio details, timing of test signals
    • G11C29/50Marginal testing, e.g. race, voltage or current testing
    • G11C2029/5002Characteristic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Geometry (AREA)
  • Automation & Control Theory (AREA)
  • Semiconductor Memories (AREA)
  • Non-Volatile Memory (AREA)

Abstract

本申請案之各種實施例係針對包含浮動閘極測試裝置的積體電路(IC),以及用於形成所述IC的方法。在一些實施例中,IC包含整合於基板中的記憶體區及邏輯區。記憶體單元結構安置於記憶體區上,且邏輯裝置安置於邏輯區上。記憶體測試結構安置於記憶體單元結構的周邊處。記憶體測試結構包括藉由一對虛設浮動閘極分別與基板分離的一對虛設控制閘極,及安置於此對虛設控制閘極之相對側上的一對虛設選擇閘極電極。記憶體測試結構進一步包括一對導電浮動閘極測試接觸通孔,此對導電浮動閘極測試接觸通孔分別延伸通過此對虛設控制閘極且到達虛設浮動閘極。

Description

用於嵌入式存儲器的浮動閘極測試結構
積體電路(integrated circuit, IC)製造行業在過去數十年已經歷指數生長。隨著IC已發展,功能密度(亦即,每晶片區域之互連裝置的數目)通常已增大,同時幾何大小(亦即,可產生之最小元件)已減小。IC發展中的一些進步包括嵌入式記憶體技術。嵌入式記憶體技術為記憶體裝置與邏輯裝置在同一半導體晶片上之整合,使得記憶體裝置可支援邏輯裝置的操作。嵌入式記憶體可應用在智慧卡(smart cards)及汽車裝置或外加至其他事項中。
本發明各實施方式提供用於實施不同特徵的許多不同實施例或實例。元件及配置之特定實例在下文予以描述以簡化本發明各實施方式。當然,此等元件及配置僅為實例,且並非意欲為限制性的。舉例而言,在以下描述中第一特徵形成於第二特徵上方或上可包括第一特徵及第二特徵直接接觸地形成的實施例,且亦可包括額外特徵可形成於第一特徵與第二特徵之間使得第一特徵與第二特徵可不直接接觸的實施例。此外,在本發明各種實例中可重複參考數字及/或字母。此重複係出於簡單及清楚之目的,且本身並不指明所論述之各種實施例及/或組態之間的關係。
另外,諸如「下面」、「下方」、「下部」、「上方」、「上部」及類似者的空間相對術語本文中可出於易於描述之目的而使用以描述如諸圖中所說明的一個組件或特徵與另一組件或特徵的關係。空間相對術語意欲涵蓋裝置或設備在使用中或操作中除諸圖中描繪之定向外之不同定向。裝置或設備可以其他方式定向(旋轉90度或處於其他定向),且本文中所使用之空間相對描述詞可同樣因此予以解譯。再者,術語「第一」、「第二」、「第三」、「第四」及類似者僅為通用識別符,且因此在各種實施例中可經互換。舉例而言,雖然組件(例如,開口)在一些實施例中可被稱作「第一」組件,但該組件在其他實施例中可被稱作「第二」組件。
根據一種用於運用嵌入式記憶體技術製造積體電路(IC)之方法,浮動閘極測試結構形成於IC之記憶體區的周邊上,以在晶圓驗收測試(wafer acceptance test, WAT)期間測試浮動閘極的品質。一種浮動閘極測試結構與記憶體單元一起形成並圖案化,且可包含堆疊於彼此上方之浮動閘極介電層、浮動閘極電極層、控制閘極層及硬式遮罩層。浮動閘極測試結構經受額外圖案化製程以移除硬式遮罩層及控制閘極層且形成一開口,從而暴露出浮動閘極電極層,以便形成浮動閘極測試觸點(floating gate test contact, FGCT)。形成FGCT需要額外光微影製程。
鑒於前述內容,本申請案之各種實施例提供一種用於在不使用額外光微影製程情況下形成包含記憶體單元結構及浮動閘極測試結構之IC的方法。在一些實施例中,浮動閘極測試結構藉由在記憶體區上形成多層膜並圖案化多層膜而與記憶體單元結構同時形成。記憶體單元結構是由以下各者所形成:分別在基板之第一通道區及第二通道區上的一對浮動閘極、分別在浮動閘極上之一對控制閘極、分別在控制閘極上之一對硬式遮罩,及分別在第一通道區及第二通道區上和側向在控制閘極旁邊的一對選擇閘極電極。類似於記憶體單元結構之結構,記憶體測試結構由至少一虛設浮動閘極、虛設浮動閘極上方之虛設控制閘、虛設控制閘上方之虛設硬式遮罩及基板上方的虛設選擇閘所形成。虛設浮動閘極及虛設控制閘極經堆疊且藉由虛設控制閘極介電質彼此分離。虛設選擇閘極形成於虛設浮動閘極及虛設控制閘極的一側上。在一些實施例中,虛設硬式遮罩之移除運用製造製程整合以回蝕並移除邏輯裝置的硬式遮罩,使得製造被簡化。接著通過虛設控制閘極形成導電浮動閘極測試接觸通孔且到達用於記憶體測試結構的虛設浮動閘極。在一些實施例中,記憶體測試結構可具有每一虛設元件之與記憶體單元之對應元件的大小相同或實質上相同的大小。在一些替代性實施例中,記憶體測試結構之元件可大於記憶體單元之對應元件的大小,及/或與該大小成比例。藉由一起移除虛設硬式遮罩與邏輯閘極硬式遮罩且通過虛設控制閘極形成浮動閘極測試接觸通孔,不需要獨立之光微影及蝕刻製程即可對浮動閘極測試結構開孔,使得製造製程得以簡化。
第1圖展示根據一些實施例之IC 100的橫截面視圖。IC 100具有包括記憶體區104m及邏輯區104l的基板104。複數個記憶體單元結構108作為陣列安置於記憶體區104m上,且複數個邏輯裝置110安置於邏輯區104l上。在記憶體區之周邊處,安置複數個記憶體測試結構112,從而包圍複數個記憶體單元結構108。層間介電(inter-layer dielectric, ILD)層162填充於以下各者之間並覆蓋以下各者:記憶體單元結構108、記憶體測試結構112及邏輯裝置110。在一些實施例中,記憶體測試結構112包含與記憶體單元結構108類似之結構且可包括藉由一對虛設浮動閘極134'分別與基板104分離的一對虛設控制閘極138',及安置於該對虛設控制閘極138'之相對側上的一對虛設選擇閘極電極150'。記憶體測試結構112進一步包含一對導電浮動閘極測試接觸通孔168,該等導電浮動閘極測試接觸通孔分別延伸通過該對虛設控制閘極138'且到達虛設浮動閘極134'。
記憶體單元結構108包含一對個別記憶體源極/汲極區126、共同源極/汲極區128及一對選擇性導電記憶體通道130。個別記憶體源極/汲極區126及共同源極/汲極區128係在基板104之上部部分中,且共同源極/汲極區128在個別記憶體源極/汲極區126之間側向隔開。另外,個別記憶體源極/汲極區126及共同源極/汲極區128為具有第一摻雜類型(例如,p型或n型)的經摻雜半導體區。選擇性導電記憶體通道130為具有與第一摻雜類型相反之第二摻雜類型(例如,p型或n型)的經摻雜半導體區。
記憶體單元結構108可為或以其他方式包含例如第三代嵌入式超級快閃(third generation embedded superflash, ESF3)記憶體、第一代嵌入式超級快閃(first generation embedded superflash, ESF1)記憶體、矽-氧化物-氮化物-氧化物-矽(silicon-oxide-nitride-oxide-silicon, SONOS)記憶體、金屬-氧化物-氮化物-氧化物-矽(metal-oxide-nitride-oxide-silicon, MONOS)記憶體,或某(某些)其他合適類型之記憶體。一對浮動閘極介電層132、一對浮動閘極134、一對控制閘極介電層136及一對控制閘極138堆疊於選擇性導電記憶體通道130上。浮動閘極介電層132分別覆蓋選擇性導電記憶體通道130,且可為或以其他方式包含例如氧化矽或某(些)其他合適介電質。浮動閘極134分別覆蓋浮動閘極介電層132,控制閘極介電層136分別覆蓋浮動閘極134,且控制閘極138分別覆蓋控制閘極介電層136。控制閘極138及浮動閘極134可為或以其他方式包含例如經摻雜多晶矽、金屬,或某(些)其他合適導電材料。控制閘極介電層136可為或以其他方式包含例如氮化矽、氧化矽、某(些)其他合適介電質或前述各者的任何組合。在一些實施例中,控制閘極介電層136各自包含ONO膜,使得控制閘極介電層136各自包含下部氧化物層、上部氧化物層及包夾於下部氧化物層與上部氧化物層之間的中間氮化物層。
一對控制閘極間隔物140覆蓋浮動閘極134中之每一者。每一浮動閘極134之控制閘極間隔物140分別為對應控制閘極138中每一者之相對側壁加襯裡。浮動閘極間隔物142藉由浮動閘極134中之一各別浮動閘極與共同源極/汲極區128側向隔開。另外,浮動閘極間隔物142各自為浮動閘極134中之各別浮動閘極的側壁加襯裡。控制閘極間隔物140及浮動閘極間隔物142可為或以其他方式包含例如氮化矽、氧化矽、某(些)其他合適介電質或前述各者的任何組合。在一些實施例中,控制閘極間隔物140各自為ONO膜,這些ONO膜之成份為易於說明而未展示。
抹除閘極電極144及抹除閘極介電層146側向地在浮動閘極134之間覆蓋共同源極/汲極區128。抹除閘極電極144覆蓋抹除閘極介電層146,且在一些實施例中具有頂表面,該頂表面分別與控制閘極138的頂表面齊平。抹除閘極介電層146使抹除閘極電極144的下側成杯狀以垂直地隔開抹除閘極電極144與共同源極/汲極區128且側向隔開抹除閘極電極144與浮動閘極134及控制閘極間隔物140。抹除閘極電極144可為或以其他方式包含例如經摻雜多晶矽、金屬或某(些)其他合適導電材料。抹除閘極介電層146可為或以其他方式包含例如氧化矽、氮化矽,或某(些)其他合適介電質。
一對選擇閘極介電層148及一對選擇閘極電極150堆疊於選擇性導電記憶體通道130上。選擇閘極介電層148分別覆蓋選擇性導電記憶體通道130,各自藉由浮動閘極134中之各別浮動閘極與共同源極/汲極區128側向隔開。選擇閘極介電層148可為或以其他方式包含例如氧化矽、氮化矽或某(些)其他合適介電質。選擇閘極電極150可為或以其他方式包含例如經摻雜多晶矽、金屬或某(些)其他合適導電材料。
記憶體測試結構112可包含對應於記憶體單元結構108之元件的虛設元件。虛設元件標記為記憶體單元結構108之對應元件的相同數字繼之以單撇符號(')。舉例而言,記憶體測試結構112可包含類似於記憶體單元結構108之浮動閘極134的虛設浮動閘極134'、類似於記憶體單元結構108之控制閘極138的安置於虛設浮動閘極134'上方的虛設控制閘極138'及類似於記憶體單元結構108之選擇閘極電極150的沿著虛設浮動閘極134'及虛設控制閘極138'的相對側安置的虛設選擇閘極電極150'等。虛設元件在諸圖中展示並標記出,且此處為易於說明並未重複地描述。不同於記憶體單元結構108,在一些實施例中,記憶體單元結構108之個別記憶體源極/汲極區126上對應於個別記憶體源極/汲極區126的經摻雜區及對應於矽化物襯墊312的矽化物襯墊在記憶體測試結構112中不存在。一對浮動閘極測試接觸通孔168通過虛設控制閘極138'安置從而到達虛設浮動閘極134',且用以在驗收測試期間提供對浮動閘極結構的測試探測點。藉由使記憶體測試結構112具有與記憶體單元結構108類似的結構,製造步驟經整合並簡化,此係由於針對浮動閘極測試結構的獨立光微影以及對應蝕刻及清潔製程在製造過程中不再被需要。
基板104可包含例如塊體矽基板、第III-V族基板、絕緣體上矽(silicon-on-insulator, SOI)基板或某(些)其他合適半導體基板。邏輯裝置110可為或以其他方式包含例如絕緣場效電晶體(insulated field-effect transistor, IGFET)、金屬-氧化物-半導體場效電晶體(metal-oxide-semiconductor field-effect transistor, MOSFET)、雙重擴散金屬-氧化物-半導體(double-diffused metal-oxide-semiconductor, DMOS)裝置、雙極互補金屬-氧化物-半導體(complementary metal-oxide-semiconductor, CMOS) DMOS (BCD)裝置、某(些)其他合適電晶體裝置或某(些)其他合適半導體裝置。在一些實施例中,邏輯裝置110包含一對邏輯源極/汲極區152及選擇性導電邏輯通道154。另外,邏輯源極/汲極區152為具有第一摻雜類型(例如,p型或n型)的經摻雜半導體區。另外,選擇性導電邏輯通道154為具有與第一摻雜類型相反之第二摻雜類型(例如,p型或n型)的經摻雜半導體區。
邏輯閘極介電層156覆蓋選擇性導電邏輯通道154,且邏輯閘極電極158覆蓋邏輯閘極介電層156。邏輯閘極電極158可為或以其他方式包含導電材料,例如經摻雜多晶矽或某(些)其他合適導電材料。邏輯閘極介電層156可為或以其他方式包含例如氮化矽、氧化矽、高κ介電質、某(些)其他合適介電質,或前述各者的任何組合。如本文中所使用,高κ介電質為具有大於約3.9之介電常數κ的介電質。在一些實施例中,邏輯閘極電極158為金屬,而選擇閘極電極150、抹除閘極電極144、控制閘極138及浮動閘極134為經摻雜多晶矽。
在一些實施例中,側壁間隔物160為邏輯閘極電極158之側壁表面、選擇閘極電極150之側壁表面及虛設選擇閘極電極150'的側壁表面加襯裡。側壁間隔物160可為或以其他方式包含例如氮化矽、氧化矽或某(些)其他合適介電質。另外,在一些實施例中,接觸蝕刻擋止層(contact etch stop layer, CESL) 166沿著基板104之頂表面安置,從而在記憶體單元區104c內沿著該對選擇閘極電極150的側壁表面且在記憶體測試區104t內沿著該對虛設選擇閘極電極150'的側壁表面向上延伸且在邏輯區104l內沿著側壁間隔物160的側壁表面向上延伸。層間介電(ILD)層162安置於接觸蝕刻擋止層(CESL) 166上,覆蓋記憶體單元結構108及邏輯裝置110。層間介電(ILD)層162可為或以其他方式包含例如氧化矽、氮化矽、低κ介電質、某(些)其他合適介電質或前述各者的任何組合。如本文中所使用,低κ介電質為具有小於約3.9之介電常數κ的介電質。再者,在一些實施例中,接觸通孔164延伸通過層間介電(ILD)層162至邏輯源極/汲極區152及個別記憶體源極/汲極區126。接觸通孔164為導電的,且可為或以其他方式包含例如鎢、鋁銅、銅、鋁、某(些)其他合適金屬,或某(些)其他合適導電材料。
第2圖展示根據一些額外實施例之包含嵌入式記憶體邊界結構與浮動閘極測試結構的積體電路(IC)的橫截面圖。除與第1圖相關聯地描述的特徵外,在一些實施例中,一對控制閘極硬式遮罩210分別覆蓋控制閘極138。控制閘極硬式遮罩210可各自為或以其他方式包含例如氮化矽、氧化矽、某(些)其他合適介電質或前述各者的任何組合。在一些替代性實施例中,控制閘極硬式遮罩210中的一些或全部可能不存在於最終裝置結構中。在一些實施例中,控制閘極介電層136包含下部氧化物層、覆蓋下部氧化物層的上部氧化物層,及垂直包夾於下部氧化物層與上部氧化物層之間的中間氮化物層。控制閘極間隔物140亦可包含包夾於兩個氧化物層之間的中間氮化物層。浮動閘極間隔物142在控制閘極間隔物140及浮動閘極134旁邊安置。導電浮動閘極測試接觸通孔168可鄰接控制閘極間隔物140及浮動閘極間隔物142。在一些實施例中,矽化物襯墊312分別覆蓋邏輯源極/汲極區152、個別記憶體源極/汲極區126、抹除閘極電極144、選擇閘極電極150及/或邏輯閘極電極158。在一些實施例中,虛設選擇閘極電極150'及虛設抹除閘極144'經浮置且並未耦接至導電結構,諸如矽化物襯墊、觸點或其他導電特徵。矽化物襯墊312可為或以其他方式包含例如鎳矽化物或某(些)其他合適矽化物。
第一邏輯裝置110a及第二邏輯裝置110b係在基板104之邏輯區104l上,在第一及第二邏輯裝置110a、110b之間藉由隔離結構310側向地電分離。隔離結構310可為或以其他方式包含例如STI結構、DTI結構或某(些)其他合適隔離結構。第一邏輯裝置110a及第二邏輯裝置110b可各自為例如IGFET、MOSFET、DMOS裝置、BCD裝置、某(些)其他合適電晶體裝置或某(些)其他合適半導體裝置。在一些實施例中,第一邏輯裝置110a為IGFET,且第二邏輯裝置110b為用以而相較於第二邏輯裝置110b以較高電壓(例如,高出一個數量級之電壓)操作的電源MOFSET。電源MOSFET可為或以其他方式包含例如雙重擴散金屬-氧化物-半導體(DMOS)裝置或某(些)其他合適電源MOSFET。第一邏輯裝置110a及第二邏輯裝置110b各自包含一對邏輯源極/汲極區152及選擇性導電邏輯通道154。每一對之邏輯源極/汲極區152是在基板104頂部中且經側向隔開。另外,每一對之邏輯源極/汲極區152為具有第一摻雜類型(例如,p型或n型)的經摻雜半導體區。選擇性導電邏輯通道154為經摻雜半導體區,其具有與各別對之邏輯源極/汲極區152之第一摻雜類型相反的第二摻雜類型(例如,p型或n型)。第一邏輯裝置110a及第二邏輯裝置110b對於不同操作電壓可具有不同閘極介電質成份。作為非限制性實例的實施例,第一邏輯閘極介電層156a、第二邏輯閘極介電層156b及邏輯閘極電極158堆疊於第一邏輯裝置110a的選擇性導電邏輯通道154上,而第一邏輯閘極介電層156a在第二邏輯裝置110b中不存在。邏輯閘極電極158可為或以其他方式包含例如金屬或某(些)其他合適導電材料。第一邏輯閘極介電層156a及第二邏輯閘極介電層156b可為或以其他方式包含例如氮化矽、氧化矽、高κ介電質、某(些)其他合適介電質或前述各者的組合。在一些實施例中,第一邏輯閘極介電層156a為氧化矽及高κ介電質堆疊,第二邏輯閘極介電層156b為較厚的氧化矽與高κ介電質的堆疊,且邏輯閘極電極158為金屬。在一些實施例中,側壁間隔物160包含分別為邏輯閘極電極158之側壁加襯裡的複數個側壁間隔物。
下部ILD層162l及上部ILD層162u堆疊於基板104上,且容納接觸通孔164及浮動閘極測試接觸通孔168。下部ILD層162l是位於記憶體單元結構108、記憶體測試結構112的側面,且位於第一邏輯裝置110a及第二邏輯裝置110b的側面。另外,下部ILD層162l可在記憶體測試區104t中具有上表面,該上表面與記憶體測試結構112之頂表面及第一邏輯裝置110a之頂表面及第二邏輯裝置110b的頂表面齊平(平坦的或實質上平坦的)。上部ILD層162u覆蓋下部ILD層162l、記憶體單元結構108、記憶體測試結構112、第一邏輯裝置110a及第二邏輯裝置110b。下部ILD層162l及上部ILD層162u可為或以其他方式包含例如氧化矽、氮化矽、低κ介電質、某(些)其他合適介電質或前述各者的任何組合。
在一些實施例中,浮動閘極測試接觸通孔168延伸通過上部ILD層162u、虛設控制閘極138'、虛設控制介電質136'以到達虛設浮動閘極134'。導電浮動閘極測試接觸通孔168可安置於虛設控制閘極138'的中心區處。在一些替代性實施例中,導電浮動閘極測試接觸通孔168可安置於虛設控制閘極138'邊界區處,此邊界區與控制閘極間隔物140及/或浮動閘極間隔物142鄰接或重疊。控制閘極間隔物140及浮動閘極間隔物142可由一或多個介電材料(諸如,二氧化矽、氮化矽或其組合)層製成。
參看第3圖,提供根據一些實施例的第1圖及第2圖之IC之記憶體區104m的佈局視圖。記憶體區104m包含安置於記憶體單元區104c之周邊或結束側處的記憶體單元區104c及記憶體測試區104t。複數個記憶體單元結構安置於記憶體單元區104c上。複數個記憶體測試結構安置於記憶體區104m的包圍複數個記憶體單元結構的周邊處。第1圖或第2圖可為記憶體單元108中之一者沿著線A-A'截取及記憶體測試結構112中之一者沿著線B-B'截取的橫截面圖。該對接觸通孔164在該對選擇閘極電極150之相對側上分別安置於基板104中。該對浮動閘極測試接觸通孔168分別通過虛設控制閘極138'安置以到達虛設浮動閘極134'(亦參見第1圖或第2圖)。浮動閘極測試控制通孔168可具有與接觸通孔164相同或實質上相同的側向尺寸。在一些實施例中,共同源極觸點的共同源極搭接區104cs安置於記憶體測試區104t的外部周邊處。在一些實施例中,控制閘極觸點的控制閘極搭接區104cg亦安置於記憶體測試區104t的外部周邊處。在一些替代性實施例中,共同源極搭接區104cs及/或控制閘極搭接區104cg可安置於記憶體單元區104c與記憶體測試區104t之間。基板104之主動區藉由虛線區塊作為實例予以圖示。
參看第4圖,提供第1圖及第2圖之IC之記憶體區104m的佈局視圖。根據展示於第3圖中之特徵的一些額外實施例,該對浮動閘極測試接觸通孔168可安置於通過虛設控制閘極138'的狹長溝槽中,且延伸越過記憶體測試區104t中之記憶體測試結構112的一個以上單元(例如,延伸越過單元112a、112b)。
參看第5圖至第24圖,一系列橫截面視圖500至2400圖示一種用於形成IC之方法的一些實施例,該IC包含嵌入式記憶體,該嵌入式記憶體在記憶體區內無側壁間隔物。
如藉由第5圖之橫截面視圖500所圖示,製備基板104,其包括記憶體區104m及邏輯區104l。在一些實施例中,犧牲下部襯墊層402'及犧牲上部襯墊層404'在邏輯區104l中形成於基板104上方並經圖案化。犧牲下部襯墊層402'及犧牲上部襯墊層404'由不同材料形成,且可例如藉由以下各者形成:化學氣相沉積(chemical vapor deposition, CVD)、物理氣相沉積(physical vapor deposition, PVD)、濺射、熱氧化或某(些)其他合適生長或沉積製程。如本文中所使用,具有詞尾「(es)」之術語(例如,製程(process))可例如為單數或複數。犧牲下部襯墊層402'可例如由氧化矽或某(些)其他合適介電質形成,及/或犧牲上部襯墊層404'可例如藉由氮化矽或某(些)其他合適介電質形成。在一些實施例中,基板104藉由自基板104之上表面形成前驅體介電層502而凹入於記憶體區104m內,且因此減小基板104之上表面在記憶體區104m內的高度。在一些實施例中,前驅體介電層502為氧化物層且藉由熱氧化製程來形成。
如藉由第6圖的橫截面視圖600所說明,可移除前驅體介電層502、犧牲上部襯墊層404'及犧牲下部襯墊層402'(參見第5圖)。記憶體介電層604及記憶體襯墊層602在記憶體區104m中形成於基板104上方。下部襯墊層402及上部襯墊層404在邏輯區104l中形成於基板104上方。記憶體襯墊層602及下部襯墊層402可係作為一個保形層沉積的介電材料。接著,保形介電材料在記憶體區104m中的部分經蝕刻並圖案化以具有頂表面,此頂表面與保形介電材料的在邏輯區104l中之部分的頂表面對準。接著,通過記憶體襯墊層602及/或上部襯墊層404形成隔離結構,這些隔離結構在邏輯區104l內包括隔離結構310。除充當針對變化之記憶體及邏輯裝置的淺溝槽隔離外,隔離結構310亦可將記憶體區104m在記憶體單元區104c之結束邊緣處劃分成記憶體單元區104c及記憶體測試區104t。隔離結構310亦可將邏輯區104l劃分成第一邏輯區104l1 及第二邏輯區104l2 。第一邏輯區104l1 可例如支撐其後形成的核心邏輯裝置,而第二邏輯區104l2 可例如支撐其後形成的高電壓邏輯裝置。高電壓邏輯裝置可例如為用以相較於核心邏輯裝置以較高電壓(例如,高出一個數量級)操作的邏輯裝置。隔離結構310可例如包含介電材料,及/或可為或以其他方式包含例如STI結構、DTI結構,或某(些)其他合適隔離區。
在一些實施例中,用於形成隔離結構310及/或其他隔離結構的製程包含運用隔離結構310及/或其他隔離結構之佈局而圖案化下部襯墊層402及上部襯墊層404,且在下部襯墊層402及上部襯墊層404就位的情況下對基板104執行蝕刻,以形成具有該等佈局的溝槽。形成介電層以填充溝槽,且對上部襯墊層404執行平坦化以在溝槽中形成隔離結構。介電層可例如由氧化矽或某(些)其他合適介電材料形成,及/或可例如藉由CVD、PVD、濺射或某(些)其他合適沉積製程執行。平坦化可例如藉由化學機械研磨(chemical mechanical polish, CMP)或某(些)其他合適平坦化製程執行。圖案化可例如使用光微影及蝕刻製程來執行。
如藉由第7圖至第15圖之橫截面視圖700至1500所圖示,執行一系列製造製程,以便記憶體單元結構及記憶體測試結構自多層記憶體膜之堆疊形成於記憶體區104m上,同時在邏輯區104l上留下多層記憶體膜的剩餘部分。製造製程之一些在下文作為實例且並非出於限制目的予以描述。
如藉由第7圖之橫截面視圖700所圖示,移除記憶體襯墊層602及前驅體介電層502(參看第6圖),且記憶體介電層706及浮動閘極層702形成於記憶體區104m上。在一些實施例中,形成並圖案化頂蓋層704以充當用於形成並圖案化浮動閘極層702的遮蔽層。在一些實施例中,頂蓋層704可包含一或多個介電層。舉例而言,頂蓋層704可包含氮化矽層及形成於氮化矽層上的氧化矽層。頂蓋層704經形成並圖案化以具有對應於記憶體區104m的開口且覆蓋邏輯區104l。浮動閘極層702首先形成於記憶體介電層706上方從而覆蓋記憶體區104m,且形成於頂蓋層704上方從而覆蓋邏輯區104l。浮動閘極層702可例如經保型地形成,及/或可例如由經摻雜多晶矽、金屬或某(些)其他合適導電材料形成。在一些實施例中,浮動閘極層702藉由CVD、PVD或某(些)其他合適沉積製程來形成。接著,對浮動閘極層702之頂部執行平坦化,直至到達頂蓋層704,藉此自頂蓋層704移除浮動閘極層702。在一些實施例中,平坦化使浮動閘極層702之頂端表面凹入以大約與頂蓋層704之頂端表面齊平。平坦化可例如藉由CMP或某(些)其他合適平坦化製程來執行。
如藉由第8圖之橫截面視圖800所圖示,進一步降低浮動閘極層702以獲得更好的耦接比率(couple ratio)。浮動閘極層702可藉由濕式回蝕製程降低。在降低浮動閘極層702之後,頂蓋層704可隨後被移除。舉例而言,至少頂蓋層之氧化矽層可在降低浮動閘極層702期間或在降低浮動閘極層之後被移除。
如藉由第9圖之橫截面視圖900所圖示,形成多層記憶體膜從而覆蓋浮動閘極層702及上部襯墊層404。多層記憶體膜包含控制閘極介電層902、控制閘極層904及控制閘極硬式遮罩層906。在一些實施例中,控制閘極介電層902包含氧化矽、氮化矽、某(些)其他合適介電質或前述各者的任何組合。舉例而言,控制閘極介電層902可為ONO膜,及/或可包含下部氧化物層902l、覆蓋下部氧化物層902l之中間氮化物層902m及覆蓋中間氮化物層902m的上部氧化物層902u。控制閘極介電層902可例如藉由CVD、PVD、某(些)其他合適沉積製程或前述各者的任何組合來形成。形成控制閘極層904從而覆蓋控制閘極介電層902。控制閘極層904可例如保型地形成,及/或可例如由經摻雜多晶矽、金屬或某(些)其他合適導電材料形成。另外,在一些實施例中,控制閘極層904藉由CVD、PVD或某(些)其他合適沉積製程來形成。形成控制閘極硬式遮罩層906從而覆蓋控制閘極層904。在一些實施例中,控制閘極硬式遮罩層906包含氧化矽、氮化矽、某(些)其他合適介電質或者前述各者之任何組合。舉例而言,控制閘極硬式遮罩層906可為氮化物-氧化物-氮化物(nitride-oxide-nitride, NON)膜,及/或可包含下部氮化物層906l、覆蓋下部氮化物層906l之中間氧化物層906m及覆蓋中間氧化物層906m的上部氮化物層906u。控制閘極硬式遮罩層906可例如藉由CVD、PVD、某(些)其他合適沉積製程或前述各者的任何組合來形成。
如藉由第10圖之橫截面視圖1000所圖示,對多層記憶體膜進行蝕刻以自記憶體單元區104c移除多層記憶體膜的數個部分,藉此在浮動閘極層702上形成一對控制閘極介電層136、一對控制閘極138及一對控制閘極硬式遮罩210。對應地,一對虛設控制閘極介電層136'、一對虛設控制閘極138'及一對虛設控制閘極硬式遮罩210'亦在記憶體測試區104t中形成於浮動閘極層702上。在一些實施例中,用於執行蝕刻之製程包含:在多層記憶體膜上形成遮蔽層(例如,圖中未展示之光阻層)並對其圖案化以覆蓋邏輯區104l,並利用控制閘極138及虛設控制閘極138'之佈局部分覆蓋記憶體區104m。然後將蝕刻劑施加到具有適當遮蔽層的多層記憶體膜上,直至蝕刻劑到達浮動閘極層702,然後移除遮蔽層。
如藉由第11圖之橫截面視圖1100所圖示,形成控制閘極間隔物層1102,從而覆蓋第10圖之結構並為該結構加襯裡。控制閘極間隔物層1102可例如經保型地形成,及/或可例如由氧化矽、氮化矽、某(些)其他合適介電質或前述各者的任何組合形成。在一些實施例中,控制閘極間隔物層1102為或以其他方式包含ONO膜,及/或包含下部氧化物層1102l、覆蓋下部氧化物層1102l的中間氮化物層1102m及覆蓋中間氮化物層1102m的上部氧化物層1102u。另外,控制閘極間隔物層1102可例如藉由CVD、PVD或某(些)其他合適沉積製程來形成。
如藉由第12圖之橫截面視圖1200所圖示,首先,對控制閘極間隔物層1102(參見第11圖)進行第一蝕刻,以沿著控制閘極138及虛設控制閘極138'的側壁形成控制閘極間隔物140。在一些實施例中,用於執行蝕刻之製程包含施加一或多種蝕刻劑至控制閘極間隔物層1102,直至控制閘極間隔物層1102之水平區段被移除。接著,在控制閘極間隔物140就位並作為遮罩的情況下,對浮動閘極層702及記憶體介電層706(第11圖)進行第二蝕刻,以形成一對浮動閘極134、一對浮動閘極介電層132、一對虛設浮動閘極134'及一對虛設浮動閘極介電層132'。
如藉由第13圖之橫截面視圖1300所圖示,浮動閘極間隔物142形成於浮動閘極134及虛設浮動閘極134'之側壁上。在一些實施例中,浮動閘極間隔物142包含氧化矽、某(些)其他合適氧化物或某(些)其他合適介電質。另外,在一些實施例中,一種用於形成浮動閘極間隔物142的製程包含沉積浮動閘極間隔物層,繼之以蝕刻以在不移除浮動閘極間隔物層之垂直區段情況下移除浮動閘極間隔物層的水平區段。浮動閘極間隔物層可例如經保型沉積,及/或可例如藉由CVD、PVD或某(些)其他合適沉積製程形成。
接著,共同源極/汲極區128及虛設共同源極/汲極區128'分別側向地在浮動閘極134之間且與虛設浮動閘極134'之間形成於基板104中。在一些實施例中,一種用於形成共同源極/汲極區128及虛設共同源極/汲極區128'之製程包含: 形成並圖案化遮蔽層1302,此遮蔽層分別在浮動閘極134之間和虛設浮動閘極134'之間側向地覆蓋共同源極/汲極間隙外部的邏輯區104l及記憶體區104m。對在遮蔽層1302之適當的位置上進行離子植入或某(些)其他合適的摻雜製程,然後移除遮蔽層。
如藉由第14圖之橫截面視圖1400所圖示,形成抹除閘極介電層146,從而覆蓋共同源極/汲極區128,且在共同源極/汲極間隙內將浮動閘極134之側壁及控制閘極間隔物140的側壁進一步添加襯裡。抹除閘極介電層146可例如由氧化物、氮化物或某(些)其他合適介電質形成。在一些實施例中,一種用於形成抹除閘極介電層146的製程包含高溫氧化(high temperature oxidation, HTO)、現場蒸汽產生 (in situ steam generation, ISSG)氧化、某(些)其他合適沉積或生長製程,或前述各者的任何組合。另外,在一些實施例中,製程包含移除介電材料,此介電材料在記憶體區104m之在共同源極/汲極間隙外部的部分上形成。虛設抹除閘極介電層146可以與上文所論述方式相同的方式同時形成。
接著,形成記憶體介電層1402,從而覆蓋記憶體區104m之在浮動閘極134及虛設浮動閘極134'的相對側上之部分。記憶體介電層1402可例如由氧化物、氮化物或某(些)其他合適介電質形成。記憶體介電層1402可例如藉由HTO、ISSG氧化、某(些)其他合適沉積或生長製程或前述各者之任何組合來形成。記憶體閘極層1404及記憶體硬式遮蔽層1406形成於記憶體介電層1402上。記憶體閘極層1404可例如經保型地形成,及/或可例如由經摻雜多晶矽、金屬或某(些)其他合適導電材料形成。記憶體閘極層1404可例如藉由CVD、PVD或某(些)其他合適沉積製程來形成。
如藉由第15圖之橫截面視圖1500所圖示,圖案化記憶體硬式遮罩層1406(參見第14圖)以在共同源極/汲極區128及覆蓋共同源極/汲極區128之抹除閘極硬式遮罩層212的相對側上形成一對選擇閘極硬式遮罩208。接著,在選擇閘極硬式遮罩208及抹除閘極硬式遮罩212就位的情況下對記憶體閘極層1404及記憶體介電層1402(參見第14圖)進行蝕刻,以形成一對選擇閘極電極150、抹除閘極電極144及一對選擇閘極介電層148。一對虛設選擇閘極電極150'、虛設抹除閘極電極144'及一對虛設選擇閘極介電層148'以與如上文所論述之方式相同的方式同時形成。
如藉由第16圖之橫截面視圖1600所圖示,邏輯裝置形成於邏輯區104l內。在一些實施例中,記憶體區104m可在邏輯裝置之形成期間藉由保護層172覆蓋並保護。保護層172可以由多晶矽及/或其他適用的材料製成,以在形成邏輯裝置時保護記憶體區104m免受損害。在一些實施例中,在邏輯區104l內形成具有變化的閘極介電質及閘極電極成份的多種邏輯裝置。作為實例,第一邏輯裝置110a形成於第一邏輯區104l1 中,且第二邏輯裝置110b形成於第二邏輯區104l2 中。可藉由分別在第一邏輯區104l1 及第二邏輯區104l2 中形成第一邏輯閘極介電層156a及第二邏輯閘極介電層156b來形成第一邏輯裝置110a及第二邏輯裝置110b。第二邏輯閘極介電層156b可藉由在第二邏輯區104l2 中沉積並圖案化HV介電層且在第一邏輯區104l1 不沉積HV介電層而形成。接著,在第二邏輯區104l2 中之HV介電層上形成邏輯介電層並圖案化以在第一邏輯閘極介電層156a上形成,且在第一邏輯區104l1 中直接形成於基板104上以與HV介電層共同形成第二邏輯閘極介電層156b。儘管在圖中未展示,但邏輯介電層可包含一或多個氧化物或其他介電層,且可在基板104之不同邏輯區中以變化之成份及厚度形成並圖案化。另外,在第一邏輯閘極介電層156a上形成並圖案化邏輯閘極層,以在第一邏輯區104l1 中形成第一邏輯閘極電極158a且在第二邏輯閘極介電層156b上形成並圖案化以在第二邏輯區104l2 中形成第二邏輯閘極電極158b。可根據邏輯閘極硬式遮罩214分別圖案化第一邏輯閘極電極158a及第二邏輯閘極電極158b。HV介電層可例如由氧化物、高κ介電質(大於3.9之介電常數)某(些)其他合適介電質或前述各者之任何組合形成。HV介電層可經保型地形成,及/或藉由CVD、PVD、某(些)其他合適生長或沉積製程或前述各者的任何組合來形成。邏輯介電層可例如由氧化物、高κ介電質、某(些)其他合適介電質或前述各者的任何組合來形成。邏輯閘極層可例如由經摻雜或未經摻雜多晶矽、金屬、某導電材料或某(些)其他合適材料形成。邏輯閘極硬式遮罩214及記憶體硬式遮罩174可自邏輯閘極遮蔽層形成並圖案化,該邏輯閘極遮蔽層由諸如二氧化矽或氮化矽之一或多種介電材料製成。在一些實施例中,邏輯閘極遮蔽層、邏輯介電層及邏輯閘極層經保型地形成,及/或藉由CVD、PVD、無電鍍敷、電鍍、某(些)其他合適生長或沉積製程或者前述各者的任何組合來形成。
如藉由第17圖之橫截面視圖1700所圖示,側壁間隔物160沿著邏輯閘極電極158a、158b之側壁且沿著選擇閘極電極150及虛設選擇閘極電極150'的側壁形成。在一些實施例中,側壁間隔物160可藉由氧化矽、氮化矽、某(些)合適介電質或者前述各者之任何組合來形成。另外,在一些實施例中,一種用於形成側壁間隔物160之製程包含沉積間隔物層,從而覆蓋第16圖之結構並對該結構加襯裡。接著對間隔物層進行回蝕以移除間隔物層的水平區段而不去移除間隔物層的垂直區段。間隔物層可藉由例如保型地沉積,及/或可例如藉由CVD、PVD、某(些)其他合適沉積製程或者前述各者之任何組合來形成。
如藉由第18圖之橫截面視圖1800所圖示,個別記憶體源極/汲極區126形成於記憶體單元區104c中,從而分別對選擇閘極電極150定界。又,邏輯源極/汲極區152成對地形成於邏輯區104l內,其中每一對之源極/汲極區分別與邏輯閘極電極158a、158b的相對側壁鄰接。在一些實施例中,用於形成個別記憶體源極/汲極區126及邏輯源極/汲極區152的製程包含:對基板104進行離子植入。在其他實施例中,使用不同於離子植入之某製程以形成個別記憶體源極/汲極區126及邏輯源極/汲極區152。在一些實施例中,個別記憶體源極/汲極區並不形成於記憶體測試區104t中。可沉積抗氧化保護(resist protective oxide, RPO)襯裡1802以覆蓋記憶體測試區104t。
又藉由第18圖之橫截面視圖1800所圖示,矽化物襯墊312形成於個別記憶體源極/汲極區126以及邏輯源極/汲極區152上。矽化物襯墊在記憶體測試區104t中可不存在。矽化物襯墊312可為或以其他方式包含例如矽化鎳或某(些)其他合適矽化物,及/或可例如藉由矽化製程或某(些)其他合適生長製程形成。首先,可保型地沉積抗氧化保護(RPO)襯裡1802,接著在需要矽化處自記憶體單元區104c及邏輯區104l選擇性地移除。RPO襯裡1802可覆蓋記憶體測試區104t並防止記憶體測試區104t的矽化。
如藉由第19圖之橫截面視圖1900所圖示,在一些實施例中,可接著形成ARC層1902於基板104上方。ARC層1902可形成平坦或實質上平坦的頂表面。形成ARC層1902之製程可包括旋塗有機ARC塗層。
如藉由第20圖之橫截面視圖2000所圖示,在一些實施例中,執行回蝕製程以從第19圖之橫截面視圖1900所圖示的結構移除上部層。回蝕製程移除硬式遮罩及下部變化的間隔物140、142、160及ARC層1902。回蝕製程亦可暴露出虛設控制閘極138'。藉由移除虛設硬式遮罩210'與邏輯閘極硬式遮罩214,不需要單獨之光微影及蝕刻製程使浮動閘極測試結構形成開口,且因此簡化製造製程。在一些實施例中,使用一種或多種製程來完成移除,且所移除的各種材料具有類似敏感性(susceptibilities),藉以保持上表面實質上的平坦。合適的蝕刻製程可包括來自碳氟化合物及He蝕刻劑之電漿的乾燥蝕刻。在一些替代性實施例中,移除製程可包括CMP製程。在一些額外實施例中,執行光微影製程以在執行回蝕製程之前覆蓋並保護記憶體單元區104c。
如藉由第21圖之橫截面視圖2100所圖示,在一些實施例中,移除ARC層1902,繼之以形成接觸蝕刻擋止層(contact etch stop layer, CESL) 166及下部層間介電(ILD)層162l以覆蓋第21圖的結構。下部ILD層162l可被稱作ILD0層,且可包含例如氧化物、低κ介電質、某(些)其他合適介電質,或前述各者的任何組合。下部ILD層162l可例如藉由CVD、PVD、濺射或前述各者的任何組合來沉積。
如藉由第22圖之橫截面視圖2200所圖示,對下部層間介電(ILD)層162l及接觸蝕刻擋止層(CESL) 166執行平坦化製程。平坦化製程可例如CMP或某(些)其他合適的平坦化製程。形成具有頂表面的下部ILD層162l,此頂表面與剩餘結構之頂表面共面或實質上共平面(coplanar)。平坦化製程可例如CMP或某(些)其他合適的平坦化製程。平坦化製程亦可使下部ILD層162l的頂表面凹入以與邏輯閘極電極158a、158b之頂表面大約齊平,藉此暴露出邏輯閘極電極158a、158b、抹除閘極電極144及選擇閘極電極150。在一些實施例中,在平坦化製程之後,類似於第3圖中所展示的,矽化物襯墊亦可形成於抹除閘極電極144及選擇閘極電極150上。
如藉由第23圖之橫截面視圖2300所圖示,接著執行替換閘極製程:對邏輯閘極電極158a、158b進行蝕刻以移除邏輯閘極電極158a、158b。在一些實施例中,在遮蔽層之適當的位置進行蝕刻以保護結構之其他區域,直至邏輯閘極電極158a、158b被移除。接著,替代邏輯閘極電極158a、158b而形成金屬閘極電極158a'、158b'。金屬閘極電極158a'、158b'可例如為金屬、不同於邏輯閘極電極158a、158b的材料,或某(些)其他合適導電材料。在一些實施例中,一種用於形成金屬閘極電極158a'、158b'的製程包含:藉由例如CVD、PVD、無電鍍敷、電鍍或某(些)其他合適生長或沉積製程來形成導電層。然後對導電層進行平坦化,直至到達下部ILD層162l。平坦化可藉由例如CMP或某(些)其他合適平坦化製程來執行。
如藉由第24圖之橫截面視圖2400所圖示,形成上部ILD層162u,從而覆蓋第25圖之結構且具有平坦或實質上平坦的頂表面。上部ILD層162u可例如為氧化物、低κ介電質、某(些)其他合適介電質或前述各者之任何組合。另外,可例如形成上部ILD層162u,從而沉積上部ILD層162u且隨後對上部LID層162u之頂表面進行平坦化。沉積可藉由例如CVD、PVD、濺射或前述各者的任何組合來執行。平坦化可藉由例如CMP或某(些)其他合適平坦化製程來執行。
又藉由第24圖之橫截面視圖2400所圖示,形成接觸通孔164以延伸通過上部ILD層162u及下部ILD層162l至個別記憶體源極/汲極區126、邏輯源極/汲極區152、共同源極/汲極區128、控制閘極138、選擇閘極電極150、抹除閘極電極144、邏輯閘極電極158a、158b,或前述各者的任何組合。形成浮動閘極測試接觸通孔168以延伸通過上部ILD層162u、虛設控制閘極138'、虛設控制介電質136'以到達虛設浮動閘極134'。導電浮動閘極測試接觸通孔168之開口藉由一系列蝕刻製程而形成。蝕刻製程包括介電質過度蝕刻之蝕刻步驟,及介電質(例如,二氧化矽)材料對於多晶體材料之強的蝕刻選擇性情況下的最終線性移除步驟。在一些實施例中,形成導電浮動閘極測試接觸通孔168的開口以到達虛設浮動閘極134'之鄰接控制閘極間隔物140及/或浮動閘極間隔物142的邊界區。控制閘極間隔物140及浮動閘極間隔物142可由一或多個介電材料(諸如,二氧化矽、氮化矽或其組合)層製成。用於圖案化開口之蝕刻劑對於控制閘極間隔物及浮動閘極間隔物的材料更具選擇性。因此,所形成之導電浮動閘極測試接觸通孔168可為非對稱的,且相較於鄰接控制閘極間隔物140及/或浮動閘極間隔物142的相對側在鄰接虛設控制閘極138'的側上自垂直方向傾斜較少。
參看第25圖,提供一種用於形成IC之方法之一些實施例的流程圖2500,IC包含具有浮動閘極測試結構之嵌入式記憶體邊界結構。IC可例如對應於第5圖至第24圖之IC。
在2502處,提供基板。基板包含記憶體區及邏輯區。在一些實施例中,基板凹入於記憶體區中記憶體介電層形成於記憶體區中。參見例如第5圖。
在2504處,記憶體介電層形成於記憶體區內。參見例如第6圖。
在2506處,多層記憶體膜形成於記憶體區內,從而覆蓋基板。參見例如第7圖至第9圖。
在2508處,記憶體單元結構及記憶體測試結構自多層記憶體薄膜形成於記憶體區內。參見例如第10圖至第15圖。
在2510處,於邏輯區內形成且圖案化邏輯裝置。在一些實施例中,邏輯裝置藉由沉積前驅體層之堆疊繼之以圖案化製程來形成,該前驅體層堆疊包括一或多個邏輯介電層、一或多個邏輯閘極層及邏輯閘極遮蔽層。記憶體區在形成邏輯裝置期間藉由保護層及記憶體硬式遮罩來覆蓋並保護。接著,側壁間隔物及源極/汲極區形成於邏輯區及記憶體區內。參見例如第16圖至第17圖。
在2512處,在一些實施例中,形成抗氧化保護(RPO)襯裡以覆蓋記憶體測試區。接著,源極/汲極區形成在記憶體單元結構及邏輯裝置的旁邊,且在記憶體測試區不存在。可接著執行矽化製程以將矽化物襯墊形成於源極/汲極區上。參見例如第18圖。
在2514處,記憶體測試結構在邏輯裝置之回蝕製程期間被回蝕以暴露出虛設控制閘極。參見例如第19圖至第20圖。
在2516處,形成下部層間介電層以填充記憶體區中記憶體裝置結構之間及邏輯區內邏輯裝置之間的空間。對於層間介電層的填充,由於在記憶體區中不存在側壁間隔物,因此降低了記憶體裝置之間的縱橫比(aspect ratio)。參見例如第21圖。
在2518處,執行替換閘極製程以使金屬閘極電極替換邏輯閘極電極,以用於邏輯區內的邏輯裝置。參見例如第22圖至第23圖。
在2520處,上部層間介電層形成於下部層間介電層上,從而覆蓋記憶體區中之記憶體裝置結構及邏輯區內的邏輯裝置。隨後通過上部層間介電層形成接觸通孔及浮動閘極接觸通孔,且分別著陸在源極/汲極區及虛設浮動閘極上。可通過虛設控制閘極及/或虛設控制閘極旁邊的間隔物形成浮動閘極測試收縮通孔。參見例如第24圖。
雖然第25圖之流程圖2500本文中說明並描述為一系列動作或事件,但應瞭解,此等動作或事件之所說明排序並非解譯為限制性的。舉例而言,一些動作可以不同次序發生及/或與本文中所說明及/或描述之動作或事件不同的其他動作或事件同時發生。另外,並非要求所有所說明動作來實施本描述中之一或多個態樣或實施例,且本文中所描繪之動作中的一或多者可在一或多個分離動作及/或階段中實行。
鑒於前述內容,本申請案之一些實施例係針對積體電路(IC)。IC包含整合於基板中之記憶體區及邏輯區。記憶體單元結構安置於記憶體區上。記憶體單元結構包含分別安置於基板上方之一對控制閘極,及安置於該對控制閘極之相對側上的一對選擇閘極電極。邏輯裝置安置於邏輯區上。邏輯裝置包含藉由邏輯閘極介電質與基板分離的邏輯閘極電極。記憶體測試結構安置於記憶體單元結構的周邊處。記憶體測試結構包含藉由一對虛設浮動閘極分別與基板分離的一對虛設控制閘極,以及安置於該對虛設控制閘極之相對側上的一對虛設選擇閘極電極。記憶體測試結構進一步包含一對導電浮動閘極測試接觸通孔,該對導電浮動閘極測試接觸通孔分別延伸通過該對虛設控制閘極並到達虛設浮動閘極。
另外,本申請案之一些實施例係針對一種方法,此方法包含提供包括記憶體區及邏輯區的基板,及形成並圖案化多層膜以在記憶體單元結構之周邊處形成複數個記憶體單元結構及複數個記憶體測試結構。複數個記憶體測試結構中之一記憶體測試結構包含藉由一對虛設浮動閘極分別與基板分離的一對虛設控制閘極,及安置於該對虛設控制閘極之相對側上的一對虛設選擇閘極電極。此方法進一步包含通過虛設控制閘極形成導電浮動閘極測試觸點並到達虛設浮動閘極。
另外,本申請案之一些實施例係針對嵌入式記憶體裝置的記憶體測試結構。記憶體測試結構包含安置於基板上方之虛設選擇閘極,及安置在虛設選擇閘極旁邊的虛設浮動閘極。記憶體測試結構進一步包含覆蓋虛設浮動閘極並藉由虛設控制閘極介電質與虛設浮動閘極分離的虛設控制閘極,以及通過虛設控制閘極安置且到達虛設浮動閘極的導電浮動閘極測試觸點。
前述內容概述若干實施例之特徵,使得熟習此項技術者可更佳地理解本發明之各個態樣。熟習此項技術者應瞭解,其可易於使用本發明之各個態樣作為用於描述或修改用於實現相同目的及/或達成與本文中引入之實施例之相同優勢的其他製程及結構之基礎。熟習此項技術者亦認識到,此類等效構造並不偏離本發明之各個態樣之精神及範疇,且其在本文中可進行各種改變、取代及替代而不偏離本發明之各個態樣的精神及範疇。
100:積體電路 104:基板 104c:記憶體單元區 104cs:共同源極搭接區 104cg:控制閘極搭接區 104t:記憶體測試區 104l:邏輯區 104l1:第一邏輯區 104l2:第二邏輯區 104m:記憶體區 108:記憶體單元結構 110:邏輯裝置 110a:第一邏輯裝置 110b:第二邏輯裝置 112:記憶體測試結構 112a:單元 112b:單元 126:個別記憶體源極/汲極區 128:共同源極/汲極區 128':虛設共同源極/汲極區 130:選擇性導電記憶體通道 132:浮動閘極介電層 132':虛設浮動閘極介電層 134:浮動閘極 134':虛設浮動閘極 136:控制閘極介電層 136':虛設控制閘極介電層 138:控制閘極 138':虛設控制閘極 140:控制閘極間隔物 142:浮動閘極間隔物 144:抹除閘極電極 144':虛設抹除閘極 146:抹除閘極介電層 148:選擇閘極介電層 148':虛設選擇閘極介電層 150:選擇閘極電極 150':虛設選擇閘極電極 152:邏輯源極/汲極區 154:選擇性導電邏輯通道 156:邏輯閘極介電層 156a:第一邏輯閘極介電層 156b:第二邏輯閘極介電層 158:邏輯閘極電極 158a:第一邏輯閘極電極 158a':金屬閘極電極 158b:第二邏輯閘極電極 158b':金屬閘極電極 160:側壁間隔物 162:層間介電層 162l:下部層間介電層 162u:上部層間介電層 164:接觸通孔 166:接觸蝕刻擋止層 168:導電浮動閘極測試接觸通孔 172:保護層 174:記憶體硬式遮罩 208:選擇閘極硬式遮罩 210:控制閘極硬式遮罩 210':虛設控制閘極硬式遮罩 214:邏輯閘極硬式遮罩 310:隔離結構 312:矽化物襯墊 402:下部襯墊層 402':犧牲下部襯墊層 404:上部襯墊層 404':犧牲上部襯墊層 500:橫截面視圖 502:前驅體介電層 600:橫截面視圖 602:記憶體襯墊層 604:記憶體介電層 700:橫截面視圖 702:浮動閘極層 704:頂蓋層 706:記憶體介電層 800:橫截面視圖 900:橫截面視圖 902:控制閘極介電層 902l:下部氧化物層 902m:中間氮化物層 902u:上部氧化物層 904:控制閘極層 906:控制閘極硬式遮罩層 906l:下部氮化物層 906m:中間氧化物層 906u:上部氮化物層 1000,1100,1200,1300,1400:橫截面視圖 1102:控制閘極間隔物層 1102l:下部氧化物層 1102m:中間氮化物層 1102u:上部氧化物層 1302:圖案化遮蔽層 1402:記憶體介電層 1404:記憶體閘極層 1406:記憶體硬式遮蔽層 1500,1600,1700,1800,1900:橫截面視圖 1802:抗氧化保護襯裡 1902:ARC層 2000,2100,2200,2300,2400:橫截面視圖 2500:用於形成IC之方法的流程圖
本發明之態樣在與隨附諸圖一起研讀時自以下詳細描述得到最佳理解。應注意到,根據行業中的標準慣例,各種特徵未按比例繪製。實際上,各種特徵之尺寸可出於論述清楚經任意增大或減小。 第1圖圖示包含嵌入式記憶體邊界結構與浮動閘極測試結構之積體電路(IC)之一些實施例的橫截面視圖。 第2圖圖示包含嵌入式記憶體邊界結構與浮動閘極測試結構之積體電路(IC)的一些額外實施例之橫截面視圖。 第3圖圖示第1圖或第2圖之IC之一些實施例的佈局視圖。 第4圖圖示第1圖或第2圖之IC之一些替代性實施例的佈局視圖。 第5圖至第24圖圖示用於形成IC的方法之一些實施例的一系列橫截面視圖,其中IC包含嵌入式記憶體邊界結構與浮動閘極測試結構。 第25圖圖示第5圖至第24圖之方法之一些實施例的流程圖。
100:積體電路
104:基板
104c:記憶體單元區
104t:記憶體測試區
1041:邏輯區
104m:記憶體區
108:記憶體單元結構
110:邏輯裝置
112:記憶體測試結構
126:個別記憶體源極/汲極區
128:共同源極/汲極區
128':虛設共同源極/汲極區
130:選擇性導電記憶體通道
132:浮動閘極介電層
134:浮動閘極
134':虛設浮動閘極
136:控制閘極介電層
136':虛設控制閘極介電層
138:控制閘極
138':虛設控制閘極
140:控制閘極間隔物
142:浮動閘極間隔物
144:抹除閘極電極
146:抹除閘極介電層
148:選擇閘極介電層
150:選擇閘極電極
150':虛設選擇閘極電極
152:邏輯源極/汲極區
154:選擇性導電邏輯通道
156:邏輯閘極介電層
158:邏輯閘極電極
160:側壁間隔物
162:層間介電層
164:接觸通孔
166:接觸蝕刻擋止層
168:導電浮動閘極測試接觸通孔
312:矽化物襯墊

Claims (20)

  1. 一種積體電路,包含: 一記憶體區及一邏輯區,整合於一基板中; 複數個邏輯裝置,安置於該邏輯區中,其中該些邏輯裝置中之一邏輯裝置包含一邏輯閘極電極,且藉由一邏輯閘極介電質將該基板與該邏輯閘極電極分離; 複數個記憶體單元結構,安置於該記憶體區之一記憶體單元區中,其中該些記憶體單元結構中之一記憶體單元結構包含一對控制閘極及安置於該對控制閘極之相對側上的一對選擇閘極電極,且藉由一對浮動閘極分別將該基板與該對控制閘極分離;以及 複數個記憶體測試結構,安置在一記憶體測試區中並位於圍繞該些記憶體單元結構之該記憶體區的一周邊處,其中該些記憶體測試結構中之一記憶體測試結構包含一對虛設控制閘極及安置於該對虛設控制閘極之相對側上的一對虛設選擇閘極電極,且藉由一對虛設浮動閘極分別將該基板與該對虛設控制閘極分離; 其中該記憶體測試結構進一步包含一對導電浮動閘極測試接觸通孔,其分別延伸通過該對虛設控制閘極並到達該些虛設浮動閘極。
  2. 如請求項1所述之積體電路,進一步包含: 一控制閘極間隔物,沿著該些控制閘極及該些虛設控制閘極的側壁安置;以及 一浮動閘極間隔物,與該控制閘極間隔物、該些浮動閘極及該些虛設浮動閘極並排設置; 其中該些導電浮動閘極測試接觸通孔鄰接該控制閘極間隔物及該浮動閘極間隔物。
  3. 如請求項1所述之積體電路,其中該些導電浮動閘極測試接觸通孔分別連續地延伸越過一個以上的該些記憶體測試結構。
  4. 如請求項1所述之積體電路,其中該記憶體單元結構包含第一個別源極/汲極區、第二個別源極/汲極區及一共同源極/汲極區,該第一及第二個別源極/汲極區設置於該基板中並位於該對選擇閘極電極之相對側上,且該共同源極/汲極區設置於該基板中並位在該對控制閘極之間,其中該共同源極/汲極區藉由一第一通道區與該第一個別源極/汲極區分離,且其中該共同源極/汲極區藉由一第二通道區與該第二個別源極/汲極區分離;且 其中該記憶體測試結構包含一虛設共同源極/汲極區,該虛設共同源極/汲極區設置於該基板中並位在該對虛設控制閘極之間,其中該對該些導電浮動閘極測試接觸通孔遠離該虛設共同源極/汲極區並配置於該對該些虛設浮動閘極的相對側上。
  5. 如請求項4所述之積體電路,其中在該記憶體測試區中之該些記憶體測試結構中不存在該些個別源極/汲極區。
  6. 如請求項1所述之積體電路,進一步包含: 一下部層間介電層,設置在該記憶體區內的該些記憶體單元結構之間以及該邏輯區內的該些邏輯裝置之間,其中該下部層間介電層具有一平坦頂表面與該對虛設控制閘極及該邏輯閘極電極的頂表面齊平;及 一上部層間介電層,覆蓋該下部層間介電層; 其中該記憶體測試結構延伸通過該上部層間介電層。
  7. 如請求項1所述之積體電路,其中該些虛設控制閘極之該些頂表面與該邏輯閘極電極之一頂表面共平面。
  8. 一種嵌入式記憶體裝置的一記憶體測試結構,包含: 一虛設選擇閘極,安置於一基板上方; 一虛設浮動閘極,安置在該虛設選擇閘極旁邊; 一虛設控制閘極,覆蓋該虛設浮動閘極且藉由一虛設控制閘極介電質與該虛設浮動閘極分離;以及 一導電浮動閘極測試接觸通孔,通過該虛設控制閘極且到達該虛設浮動閘極。
  9. 如請求項8所述之記憶體測試結構,進一步包含: 一控制閘極間隔物,安置於該虛設控制閘極介電質上且位於該虛設控制閘極旁邊;及 一浮動閘極間隔物,安置在該虛設控制閘極及該虛設浮動閘極旁邊; 其中該導電浮動閘極測試接觸通孔具有鄰接該控制閘極間隔物或該浮動閘極間隔物的一側及鄰接該虛設控制閘極的一相對側。
  10. 如請求項9所述之記憶體測試結構,其中該導電浮動閘極測試接觸通孔在與該虛設控制閘極鄰接的該側上於一垂直方向上的傾斜程度要小於鄰接該控制閘極間隔物或該浮動閘極間隔物的該相對側。
  11. 如請求項8所述之記憶體測試結構,其中該虛設選擇閘極浮置且不耦接至多個導電元件。
  12. 一種用於形成一積體電路之方法,該方法包含以下步驟: 提供一基板,該基板包括一記憶體區及一邏輯區; 在該記憶體區上形成並圖案化一多層膜以形成複數個記憶體單元結構及複數個記憶體測試結構,該些記憶體測試結構位於該些記憶體單元結構的一周邊處,其中該些記憶體測試結構中之一記憶體測試結構包含一對虛設控制閘極及位於該對虛設控制閘極之相對側上的一對虛設選擇閘極電極,該對虛設控制閘極藉由一對虛設浮動閘極分別與該基板分離;以及 形成一導電浮動閘極測試接觸通孔通過該虛設控制閘極並到達該虛設浮動閘極。
  13. 如請求項12所述之方法,進一步包含: 形成一虛設頂蓋層,覆蓋該些記憶體單元結構及該些記憶體測試結構; 在該邏輯區上形成複數個邏輯裝置,該些邏輯裝置中之一邏輯裝置包括藉由一邏輯閘極介電質將該基板與一邏輯閘極電極分離以及覆蓋該邏輯閘極電極的一邏輯硬式遮罩; 自該些記憶體測試結構移除該虛設頂蓋層;以及 對該邏輯裝置及該些記憶體測試結構執行一回蝕製程。
  14. 如請求項13所述之方法,其中該回蝕製程移除該邏輯硬式遮罩以暴露該邏輯閘極電極且移除一虛設控制閘極硬式遮罩以暴露該些虛設控制閘極。
  15. 如請求項13所述之方法,其中形成該邏輯裝置之步驟包含: 在該記憶體區上的該虛設頂蓋層上方並在該邏輯區上的該基板上直接形成一邏輯閘極介電層; 在該邏輯閘極介電層上形成一多晶矽層;以及 對該邏輯閘極介電層及該多晶矽層進行一蝕刻,以在該邏輯區上形成堆疊的該邏輯閘極電極及該邏輯閘極介電質。
  16. 如請求項13所述之方法,其中形成一抗氧化保護襯裡以在該回蝕製程之前覆蓋該些記憶體測試結構並防止該些記憶體測試結構矽化。
  17. 如請求項13所述之方法,其中形成該些記憶體單元結構的步驟包含: 分別在該基板之一第一通道區及一第二通道區上形成一對浮動閘極; 分別在該些浮動閘極上形成一對控制閘極;以及 分別在該第一通道區及該第二通道區上且側向地在該些控制閘極旁邊形成一對選擇閘極電極。
  18. 如請求項17所述之方法,其中該選擇閘極電極及該虛設選擇閘極電極藉由一保型沉積製程以及接續的一垂直蝕刻製程而形成,以分別在該些浮動閘極及該些虛設浮動閘極旁邊留下多個垂直部分。
  19. 如請求項18所述之方法,進一步包含: 沿著該些記憶體單元結構、該些記憶體測試結構及該邏輯裝置之一輪廓形成一接觸蝕刻擋止層; 在該些記憶體單元結構、該些記憶體測試結構與該邏輯裝置之間形成一下部層間介電層於該接觸蝕刻擋止層上;及 在該下部層間介電層上方形成一上部層間介電層。
  20. 如請求項19所述之方法,其中形成該導電浮動閘極測試接觸通孔穿過該上部層間介電層。
TW109113052A 2019-05-31 2020-04-17 記憶體測試結構、積體電路以及形成積體電路的方法 TWI726689B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201962855164P 2019-05-31 2019-05-31
US62/855,164 2019-05-31
US16/720,163 2019-12-19
US16/720,163 US11069695B2 (en) 2019-05-31 2019-12-19 Floating gate test structure for embedded memory device

Publications (2)

Publication Number Publication Date
TW202045942A true TW202045942A (zh) 2020-12-16
TWI726689B TWI726689B (zh) 2021-05-01

Family

ID=73550813

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109113052A TWI726689B (zh) 2019-05-31 2020-04-17 記憶體測試結構、積體電路以及形成積體電路的方法

Country Status (3)

Country Link
US (2) US11069695B2 (zh)
KR (1) KR102295999B1 (zh)
TW (1) TWI726689B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11852675B2 (en) 2021-12-29 2023-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Gallium nitride-based devices and methods of testing thereof

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102020100001B4 (de) * 2019-05-31 2022-05-25 Taiwan Semiconductor Manufacturing Co. Ltd. Integrierter Schaltkreis mit einer Mehrzahl von Speicherprüfstrukturen und Verfahren zu dessen Herstellung sowie Speicherprüfstruktur einer eingebetteten Speichervorrichtung
US11069695B2 (en) * 2019-05-31 2021-07-20 Taiwan Semiconductor Manufacturing Company, Ltd. Floating gate test structure for embedded memory device
US11588031B2 (en) * 2019-12-30 2023-02-21 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure for memory device and method for forming the same

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100227625B1 (ko) 1996-11-04 1999-11-01 김영환 반도체 소자의 테스트 패턴 제조방법
KR100799029B1 (ko) 2005-07-26 2008-01-28 주식회사 하이닉스반도체 자기 정렬 플로팅 게이트를 갖는 플래쉬 메모리 소자의제조방법
KR20070109170A (ko) 2006-05-10 2007-11-15 주식회사 하이닉스반도체 플래쉬 메모리 소자 및 그 제조 방법
KR20140008745A (ko) * 2012-07-11 2014-01-22 삼성전자주식회사 자기 메모리 장치
JP2015176627A (ja) 2014-03-17 2015-10-05 株式会社東芝 半導体記憶装置
US9659953B2 (en) 2014-07-07 2017-05-23 Taiwan Semiconductor Manufacturing Co., Ltd. HKMG high voltage CMOS for embedded non-volatile memory
US9425206B2 (en) 2014-12-23 2016-08-23 Taiwan Semiconductor Manufacturing Co., Ltd. Boundary scheme for embedded poly-SiON CMOS or NVM in HKMG CMOS technology
JP6271460B2 (ja) * 2015-03-02 2018-01-31 東芝メモリ株式会社 半導体記憶装置
US9768109B2 (en) * 2015-09-22 2017-09-19 Qualcomm Incorporated Integrated circuits (ICS) on a glass substrate
US10163522B2 (en) 2015-10-15 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Test line letter for embedded non-volatile memory technology
JP6595357B2 (ja) 2016-02-01 2019-10-23 東芝メモリ株式会社 メモリデバイス
KR20170094744A (ko) 2016-02-11 2017-08-21 삼성전자주식회사 집적 회로 및 상기 집적 회로의 제조를 위한 컴퓨터 구현 방법
US10037400B2 (en) 2016-06-02 2018-07-31 Marvell World Trade Ltd. Integrated circuit manufacturing process for aligning threshold voltages of transistors
US10079301B2 (en) 2016-11-01 2018-09-18 Zeno Semiconductor, Inc. Memory device comprising an electrically floating body transistor and methods of using
US10134748B2 (en) * 2016-11-29 2018-11-20 Taiwan Semiconductor Manufacturing Co., Ltd. Cell boundary structure for embedded memory
KR102368932B1 (ko) 2017-06-01 2022-03-02 삼성전자주식회사 반도체 메모리 장치
US10535574B2 (en) 2017-09-20 2020-01-14 Taiwan Semiconductor Manufacturing Co., Ltd. Cell-like floating-gate test structure
US11069695B2 (en) * 2019-05-31 2021-07-20 Taiwan Semiconductor Manufacturing Company, Ltd. Floating gate test structure for embedded memory device

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11852675B2 (en) 2021-12-29 2023-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Gallium nitride-based devices and methods of testing thereof
TWI839052B (zh) * 2021-12-29 2024-04-11 台灣積體電路製造股份有限公司 測試系統、積體電路及其測試方法

Also Published As

Publication number Publication date
US20210343735A1 (en) 2021-11-04
KR102295999B1 (ko) 2021-09-02
US11069695B2 (en) 2021-07-20
US20200381443A1 (en) 2020-12-03
US11737267B2 (en) 2023-08-22
KR20200138652A (ko) 2020-12-10
TWI726689B (zh) 2021-05-01

Similar Documents

Publication Publication Date Title
TWI711157B (zh) 積體電路及其形成方法
US11282846B2 (en) Mask design for embedded memory
TWI726689B (zh) 記憶體測試結構、積體電路以及形成積體電路的方法
US9842850B2 (en) High-K-last manufacturing process for embedded memory with silicon-oxide-nitride-oxide-silicon (SONOS) memory cells
CN111223865B (zh) 形成接点到栅极监控图案的方法及半导体元件
US12096621B2 (en) Multi-type high voltage devices fabrication for embedded memory
TW202010100A (zh) 積體電路與其形成方法
TWI721468B (zh) 積體電路與用於形成積體電路的方法
US12096629B2 (en) Floating gate test structure for embedded memory device
US12048163B2 (en) Trench gate high voltage transistor for embedded memory
TWI722903B (zh) 積體電路與其形成方法
US11943921B2 (en) Embedded memory with improved fill-in window
US20240324229A1 (en) Trench gate high voltage transistor for embedded memory