TW201921595A - 半導體裝置及其製造方法 - Google Patents

半導體裝置及其製造方法

Info

Publication number
TW201921595A
TW201921595A TW107130631A TW107130631A TW201921595A TW 201921595 A TW201921595 A TW 201921595A TW 107130631 A TW107130631 A TW 107130631A TW 107130631 A TW107130631 A TW 107130631A TW 201921595 A TW201921595 A TW 201921595A
Authority
TW
Taiwan
Prior art keywords
opening
layer
semiconductor device
dielectric layer
item
Prior art date
Application number
TW107130631A
Other languages
English (en)
Other versions
TWI688043B (zh
Inventor
蔡嘉慶
邱意為
張宏睿
許立德
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201921595A publication Critical patent/TW201921595A/zh
Application granted granted Critical
Publication of TWI688043B publication Critical patent/TWI688043B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49827Via connections through the substrates, e.g. pins going through the substrate, coaxial cables
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76811Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76804Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics by forming tapered via holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5283Cross-sectional geometry
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/5328Conductive materials containing conductive organic materials or pastes, e.g. conductive adhesives, inks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/1042Formation and after-treatment of dielectrics the dielectric comprising air gaps
    • H01L2221/1047Formation and after-treatment of dielectrics the dielectric comprising air gaps the air gaps being formed by pores in the dielectric

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Geometry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

在基板之上形成介電層,在上述多孔隙介電層之上形成抗反射層,以及在抗反射層之上形成第一硬遮罩。使用抗反射層及第一硬遮罩作為遮罩材料,以在多孔隙介電層中形成導孔開口及溝槽開口。在形成導孔開口及溝槽開口之後,去除第一硬遮罩。在上述開口中形成內連線,且內連線具有導孔,上述導孔具有介於約70度及約80度之間的輪廓角度,以及介於約65%及70%之間的深度比。

Description

半導體裝置及製造方法
本發明實施例是關於半導體製造技術,特別是有關於半導體裝置及其製造方法。
半導體裝置被使用於各種電子元件應用中,例如,舉例來說,個人電腦、行動電話、數位相機及其他電子元件設備。通常藉由依序沉積絕緣或介電層、導電層、及半導體層之材料於半導體基板之上以製造半導體裝置,且使用微影製程來圖案化上述不同材料層以於其上形成電路組件及元件。
半導體產業持續改善各種電子組件(例如,電晶體、二極體、電阻器、電容器等)的積集度(integration density),積集度的改善來自於最小特徵尺寸的不斷縮小,這樣可以在給定面積內整合更多的組件。然而,隨著最小特徵尺寸的縮小,出現了應解決的額外問題。
本發明實施例提供一種半導體裝置的形成方法。此方法包括在第一蝕刻終止層之上形成介電層,上述第一蝕刻終止層位於導電部件之上;在上述介電層之上形成抗反射層;在上述抗反射層之上形成第一硬遮罩;圖案化上述第一硬遮罩以具有第一開口,上述第一開口大於穿過該抗反射層的第二開口;形成穿過上述介電層的第一導孔 (via)開口及第一溝槽開口,其中藉由單一蝕刻製程蝕刻穿過上述第一開口及第二開口,以形成上述第一導孔開口及第一溝槽開口;去除上述第一硬遮罩;以及透過上述第一導孔開口來去除上述第一蝕刻終止層的一部份,其中在去除上述第一蝕刻終止層的一部份的步驟停止之後,上述第一導孔開口具有介於約70度及約80度之間的第一輪廓角度。
本發明實施例提供另一種半導體裝置的形成方法。此方法包括在第一蝕刻終止層之上形成介電層,上述第一蝕刻終止層位於基板之上,形成上述介電層的步驟包括:將第一前驅物導入沉積腔體中;以及將一氧化碳(carbon monoxide)導入上述沉積腔體中;在上述介電層之上形成抗反射層;在上述抗反射層之上形成第一硬遮罩層;使用第一光阻以於上述第一硬遮罩層中圖案化出第一開口;使用第二光阻以於上述第一硬遮罩層中圖案化出第二開口,上述第二光阻與上述第一光阻不同;使用單一蝕刻製程透過上述第一開口形成第一導孔開口且透過上述第二開口形成第二導孔開口;去除上述第一硬遮罩層;透過上述第一導孔開口去除上述第一蝕刻終止層的第一部分,且透過上述第二導孔開口去除上述第一蝕刻終止層的第二部分;以及使用導電材料來填充上述第一導孔開口及上述第二導孔開口,以形成第一導孔及第二導孔,其中上述第一導孔具有第一輪廓角度且上述第二導孔具有上述第一輪廓角度。
本發明實施例提供一種半導體裝置。此裝置包括位於一基板之上的介電層;至少部分地延伸穿過上述介電層的第一溝槽;以及自上述第一溝槽至少部分地延伸穿過上述介電層的第一導孔,上述第一導孔具有介於約70度及約80度之間的第一輪廓角度、介於約65%及 約70%之間的第一深度比、以及介於約1.5及約2之間的第一寬度比。
101‧‧‧第一蝕刻終止層
103‧‧‧第二蝕刻終止層
105‧‧‧第一介電層
107‧‧‧抗反射層
108‧‧‧第一開口
109‧‧‧第一硬遮罩
110‧‧‧第二開口
111‧‧‧導電元件
113‧‧‧半導體基板
115‧‧‧金屬化層
117、119‧‧‧原子
122‧‧‧第一光阻
123‧‧‧第一線性鏈
125‧‧‧第二線性鏈
201‧‧‧第一蝕刻製程
203‧‧‧第一溝槽開口
205‧‧‧第一導孔開口
401‧‧‧第二蝕刻製程
501‧‧‧第三蝕刻製程
601‧‧‧第一阻障層
603‧‧‧導電材料
605‧‧‧導孔部分
607‧‧‧溝槽部分
701‧‧‧內連線
801‧‧‧第三開口
803‧‧‧第二光阻
805‧‧‧第四開口
901‧‧‧第二導孔開口
903‧‧‧第二溝槽開口
1001‧‧‧第二溝槽部分
1003‧‧‧第二導孔部分
α1‧‧‧第一輪廓角度
B-B’‧‧‧線
W1、W2、W3、W4‧‧‧寬度
D1、D2、D3、D4‧‧‧深度
DS1、DS2‧‧‧距離
以下將配合所附圖式詳述本發明的一些實施例。應注意的是,依據在業界的標準做法,各種部件並未按照比例繪製且僅用以說明例示。事實上,可能任意地放大或縮小元件的尺寸,以清楚地表現出本發明實施例的部件。
第1A-1B圖係根據一些實施例,繪示出位於介電層之上的抗反射層及第一硬遮罩。
第2圖係根據一些實施例,繪示出溝槽及導孔的形成。
第3圖係根據一些實施例,繪示出第一硬遮罩的去除。
第4圖係根據一些實施例,繪示出一部分的第二蝕刻終止層的去除。
第5圖係根據一些實施例,繪示出一部分的第一蝕刻終止層的去除。
第6圖係根據一些實施例,繪示出使用導電材料的填充。
第7A-7B圖係根據一些實施例,繪示出內連線的形成。
第8圖係根據一些實施例,繪示出穿過第一硬遮罩層的第二開口的形成。
第9圖係根據一些實施例,繪示出第二導孔開口及第二溝槽開口的形成。
第10圖係根據一些實施例,繪示出多個內連線的形成。
以下的揭示內容提供許多不同的實施例或範例,以展示本發明實施例的不同部件。以下將揭示本說明書各部件及其排列方式之 特定範例,用以簡化本揭露敘述。當然,這些特定範例並非用於限定本揭露。例如,若是本說明書以下的發明內容敘述了將形成第一部件於第二部件之上或上方,即表示其包括了所形成之第一及第二部件是直接接觸的實施例,亦包括了尚可將附加的部件形成於上述第一及第二部件之間,則第一及第二部件為未直接接觸的實施例。此外,本揭露說明中的各式範例可能使用重複的參照符號及/或用字。這些重複符號或用字的目的在於簡化與清晰,並非用以限定各式實施例及/或所述配置之間的關係。
再者,為了方便描述圖式中一元件或部件與另一(些)元件或部件的關係,可使用空間相對用語,例如「在...之下」、「下方」、「下部」、「上方」、「上部」及諸如此類用語。除了圖式所繪示之方位外,空間相對用語亦涵蓋使用或操作中之裝置的不同方位。當裝置被轉向不同方位時(例如,旋轉90度或者其他方位),則其中所使用的空間相對形容詞亦將依轉向後的方位來解釋。
現在參考第1A圖,其繪示出在導電元件111(其位於金屬化層115中)之上形成第一蝕刻終止層101、第二蝕刻終止層103、第一介電層105、抗反射層(anti-reflective layer)107、第一硬遮罩109,上述導電元件111位於半導體基板113之上。在一實施例中,上述半導體基板113可以包括摻雜或未摻雜的塊體(bulk)矽、或絕緣體上覆矽(silicon-on-insulator,SOI)基板的主動層。總體而言,絕緣體上覆矽基板包括半導體材料的膜層,例如矽、鍺(germanium)、矽鍺(silicon germanium)、絕緣體上覆矽(SOI)、絕緣體上覆矽鍺(silicon germanium on insulator,SGOI)、或上述之組合。亦可以使用其他基板,包括多層(multi-layered)基板、梯度(gradient)基板、或混合 定向(hybrid orientation)基板。
可以在上述半導體基板113上形成主動元件(沒有在第1A圖中單獨示出)。在一實施例中,上述主動元件可以包括各種主動元件(例如,電晶體及類似元件)以及被動元件(例如,電容器、電阻器、電感器、及類似元件),其可以用於產生設計所欲之結構及功能部份。可以使用任何合適的方法,以在上述半導體基板113中或在半導體基板113上形成上述主動元件及被動元件。
在上述半導體基板113及上述主動裝置之上形成金屬化層115,並將金屬化層115設計成連接各個主動裝置,以形成所設計的功能電路。在一實施例中,上述金屬化層115由介電及導電材料的交替(alternating)膜層所形成,並且可以透過任何合適的製程(例如,沉積、鑲嵌(damascene)、雙鑲嵌(dual damascene)等等)來形成上述金屬化層115。在一實施例中,可以藉由至少一個層間介電層(interlayer dielectric layer,ILD),以將上述一至十二層金屬化層與半導體基板113分離,但是上述金屬化層的精確數量取決於設計。
上述導電元件111可以形成在上述金屬化層115的上部中,且導電元件111是內連線701(沒有在第1A中示出,但繪示並描述於下方關於第7A圖處)將進行物理性及電性連接的區域。在一實施例中,上述導電元件111可以是使用諸如鑲嵌或雙鑲嵌製程所形成的的材料,例如銅(copper),其藉由在上述金屬化層115的上部中形成開口、使用導電材料(例如,銅)來填充及/或過填充上述開口、並進行平坦化製程,以將上述導電材料嵌入金屬化層115中,由此形成上述導電元件111。然而,可以使用任何合適的材料及任何合適的製程來形成上述導電元件111。
使用上述第一蝕刻終止層101來保護其下方結構,並提供用於穿透例如上述第二蝕刻終止層103的後續蝕刻製程的控制點。在一實施例中,可以使用電漿增強化學氣相沉積(plasma enhanced chemical vapor deposition,PECVD)、由碳化矽(silicon carbon,SiC)形成上述第一蝕刻終止層101,但亦可以使用形成上述第一蝕刻終止層101的其他材料(例如,氮化物(nitride)、碳化物(carbide)、硼化物(boride)、上述之組合、或類似材料)及其他技術(例如,低壓化學氣相沉積(low pressure CVD,LPCVD)、物理氣相沉積(PVD)、或類似製程)。上述第一蝕刻終止層101可以具有介於約50埃(Å)及約2000埃之間的厚度,例如約200埃。
一旦形成上述第一蝕刻終止層101,則在上述第一蝕刻終止層101之上形成上述第二蝕刻終止層103。在一實施例中,上述第二蝕刻終止層103由例如Al(M*)N的材料所形成,其中M*表示金屬摻質,例如鉻(chromium,Cr)、鋁(aluminum,Al)、鈦(titanium,Ti)、錫(tin,Sn)、鋅(zinc,Zn)、鎂(magnesium,Mg)、銀(silver,Ag)、上述之組合、或類似材料。可以使用沉積製程來形成上述第二蝕刻終止層103的材料,例如化學氣相沉積、物理氣相沉積、原子層沉積(atomic layer deposition)、或類似製程,且可以將上述第二蝕刻終止層103沉積至介於約10埃及約200埃之間的厚度,例如約40埃。然而,可以使用任何合適的形成製程及厚度。
一旦形成上述第二蝕刻終止層103,則形成上述第一介電層105。在一實施例中,上述第一介電層105可以是例如,低介電常數(low-k)介電薄膜,用於幫助將上述內連線701與其他結構隔離。藉由隔離內連線701,可以降低上述內連線701的電阻-電容 (resistance-capacitance,RC)延遲,從而改善整體效率及通過內連線701的電流速度。
在一實施例中,上述第一介電層105可以是無孔隙(non-porous)材料,例如氧化矽(silicon oxidc)及與CO組合的CxHy,且可以形成於上述第二蝕刻終止層103之上。第1B圖繪示出一特定實施例的特寫圖,其中上述第一介電層105包括由單體(monomer)形成的聚合物,例如以甲基二乙氧基矽烷(methyldiethoxysilane,mDEOS)作為矽前驅物、以飽和或不飽和烴(hydrocarbon,CxHy)(例如,甲烷(methane))作為CxHy前驅物、及以一氧化碳作為CO前驅物來形成上述聚合物。在此實施例中,可以看出上述第一介電層105包括沿著第一線性鏈(linear chain)123及第二線性鏈125以重複圖案與氧原子119鍵結的原子117,例如碳原子或矽原子。在第1A圖中,所繪示的原子117各可以是碳原子或矽原子、或者原子117可以是上述碳原子或矽原子的一些組合。另外,氧原子119亦橋接上述第一線性鏈123及第二線性鏈125之間的間隙,以形成上述第一介電層105的材料。
在第1A圖所繪示的實施例中,可以在例如化學氣相沉積製程中使用第一前驅物,以形成上述第一介電層105。在一特定的實施例中,上述第一前驅物可以是甲基二乙氧基硅烷(mDEOS)。然而,可以使用任何合適的前驅物。
如果需要,除了上述第一前驅物以外,亦可以在上述化學氣相沉積製程中使用第二前驅物。在此實施例中,上述第二前驅物可以是例如甲烷(methane)的CxHy前驅物。然而,可以使用任何合適的前驅物。
而且,為了將一氧化碳(carbon monoxide)併入基質(matrix)中,也可以使用CO前驅物。在一實施例中,上述CO前驅物可以是含碳及氧的前驅物,例如一氧化碳或類似材料。然而,可以使用任何可以協助將一氧化碳加入基質材料中的合適前驅物。
為了沉積上述第一介電層105,各自以合適的流速將上述第一前驅物、第二前驅物及CO前驅物導入沉積腔體(沒有單獨繪示)中。在一特定的實施例中,可以使用3:2:1:的流速比分別將上述第一前驅物、第二前驅物及CO前驅物導入沉積腔體中。在其他實施例中,可以使用相同的流速導入上述第一前驅物及第二前驅物。在沉積腔體中,上述前驅物材料(例如,上述第一前驅物、第二前驅物及CO前驅物)彼此將至少部分地反應。
一旦將上述前驅物材料導入上述沉積腔體(亦可以稱為反應腔體)中,上述第一前驅物(甲基二乙氧基硅烷(mDEOS))、第二前驅物、及CO前驅物將在電漿輔助反應中反應,以沉積上述第一介電層105。在一實施例中,可以使用例如變壓器耦合型電漿產生器(transformer coupled plasma generator)、感應耦合電漿系統(inductively coupled plasma system)、遠端電漿產生器(remote plasma generator)、或類似技術,將上述第一前驅物點燃(ignite)成電漿,以製備用於上述反應的第一前驅物。一旦點燃上述第一前驅物,則上述第一前驅物的電漿將與上述第二前驅物及CO前驅物反應,以形成由無孔隙材料所形成的第一介電層105。
可以將上述第一介電層105形成至足夠提供第一介電層105所需的隔離及佈線(routing)特性的厚度。在一實施例中,可以將上述第一介電層105形成至介於約10埃及約1000埃之間的厚度,例如 約300埃。然而,這些厚度僅為示例性的,並非意圖限制本發明實施例的範圍,因為上述第一介電層105的精確厚度可以是任何合適的所需厚度。
在另外的實施例中,所形成上述第一介電層105可以是多孔隙低介電常數介電材料。在此實施例中,可以將上述第一前驅物(例如,甲基二乙氧基硅烷(mDEOS))及第二前驅物與成孔劑(porogen)及上述CO前驅物一起使用,以沉積材料的前驅層。在一實施例中,上述成孔劑可以是在設置好基質材料之後,可以從基質材料中去除的分子,以在基質中形成孔隙,從而降低上述第一介電層107的介電常數的總值。上述成孔劑可以是足夠大到以形成孔隙、同時也維持足夠小,從而使各個孔隙的尺寸不會過度地置換基質材料的材料。因此,上述成孔劑可以包括有機分子,例如含甲基(methyl)的分子的或含乙基(ethyl)的分子。
為了沉積此實施例中的第一介電層105,各自以合適的流速將上述第一前驅物、第二前驅物、成孔劑及CO前驅物導入沉積腔體(沒有單獨繪示)中。在沉積腔體中,上述前驅物材料(例如,上述第一前驅物、第二前驅物、成孔劑及CO前驅物)彼此將至少部分地反應。
一旦將上述前驅物材料導入至上述反應腔體中,上述第一前驅物(甲基二乙氧基硅烷(mDEOS))、第二前驅物、成孔劑及CO前驅物將在電漿輔助反應中反應,以沉積上述第一介電層105。在一實施例中,可以在介於約50℃及約70℃之間的溫度下且在介於約10mTorr及約20mTorr之間的壓力下進行上述電漿輔助反應。在一實施例中,可以使用例如變壓器耦合型電漿產生器(transformer coupled plasma generator)、感應耦合電漿系統(inductively coupled plasma system)、遠端電漿產生器(remote plasma generator)、或類似技術,將上述第一前驅物、第二前驅物及成孔劑點燃(ignite)成電漿,以製備用於上述反應的第一前驅物。一旦點燃,則上述第一前驅物、第二前驅物、及成孔劑的電漿將與上述CO前驅物反應,以形成由具有成孔劑併入於其中的前驅層所形成的第一介電層105。
一旦形成上述前驅層,即從上述前驅層中去除上述成孔劑,並在上述第一介電層105中留下孔隙。在一實施例中,藉由紫外線固化製程(ultraviolet cure process)來進行上述成孔劑的去除。舉例來說,以介於約10秒及約180秒之間的時間來固化上述第一介電層105,例如約90秒。然而,可以使用任何合適的時間。
藉由使用如前所述的製程及前驅物,可以形成具有有益結構性質的第一介電層105。舉例來說,藉由形成如上所述的第一介電層105,可以將上述第一介電層105的材料形成為具有大於約2.7(而非小於2.7)的介電常數數值(其具有150ohm的片電阻(Rs=150ohm))、小於2.1GPa(而非大於2.1GPa)的硬度、小於約60MPa(而非小於約60MPa)的應力、且在極紫外線(extreme ultra-violet)下具有小於10%的碳損失(而非大於10%)。
第1A圖另外繪示出抗反射層107的配置。在一實施例中,上述抗反射層107可以是無氮抗反射塗層(nitrogen-free anti-reflective coating),例如且可以包括聚合物樹脂(polymer resin)、催化劑(catalyst)、及交聯劑(cross-linking agent),將所有上述材料置於溶劑中,以分散上述材料。上述聚合物樹脂包括具有重複單元的聚合物鏈(polymer chain),例如交聯單體及具有發色團(chromophore)單元的單體。在一實施例中,上述具有發色團單元的 單體可以包括乙烯化合物(vinyl compound),上述乙烯化合物含有經取代及未被取代的苯基(phenyl)、經取代及未被取代的蒽基(anthracyl)、經取代及未被取代的菲基(phenanthryl)、經取代及未被取代的萘基(naphthyl)、經取代及未被取代的含有雜原子(heteroatom)(例如,氧、硫(sulfur)、或上述之組合)的雜環(heterocyclic ring)的,例如吡喃基(pyranyl)或吖啶基(acridinyl)。這些單元中的取代基可以是任何烴基團(hydrocarbyl group),且可以進一步包括雜原子,例如,氧、硫、或上述之組合,例如亞烷(alkylene)、酯(ester)、醚(ethers)、上述之組合、或類似物,其中碳原子數目介於1及12之間。
上述交聯單體可以用於將單體與其他聚合物樹脂中的其他聚合物交聯,以修飾抗反射層107的溶解度,且上述交聯單體可以選擇性地(optionally)具有酸不穩定基團(acid labile group)。在一特定的實施例中,上述交聯單體可以包括烴鏈(hydrocarbon chain),上述烴鏈亦包括例如羥基(hydroxyl group)、羧酸基(carboxyl acid group)、羧酸酯基(carboxylic ester group)、環氧基(epoxy group)、氨基甲酸酯基(urethane group)、醯胺基(amide group)、上述之組合、或類似材料。
上述催化劑可以是用於產生化學活性物質,並引發聚合物樹脂中的聚合物之間的交聯反應的化合物,且其可以是例如熱酸產生劑(thermal acid generator)、光酸產生劑(photoacid generator)、光鹼產生劑(photobase generator)、上述合適之組合、或類似催化劑。在其中上述催化劑為熱酸產生劑的實施例中,當對上述抗反射層107施加足夠的熱時,上述催化劑將產生酸。上述熱酸產生劑的特定 範例包括丁烷磺酸(butane sulfonic acid)、三氟甲磺酸(triflic acid)、九氟丁烷磺酸(nanoflurobutane sulfonic acid)、甲基苯磺酸-硝基苄酯(nitrobenzyl tosylate),例如2-甲基苯磺酸-硝基苄酯(2-nitrobenzyl tosylate)、2,4-二甲基苯磺酸-硝基苄酯(2,4-dinitrobenzyl tosylate)、2,6-二甲基苯磺酸-硝基苄酯(2,6-dinitrobenzyl tosylate)、4-甲基苯磺酸-硝基苄酯(4-nitrobenzyl tosylate);苯磺酸酯(benzenesulfonates),例如2-三氟甲基-6-硝基芐基4-氯苯磺酸鹽(2-trifluoromethyl-6-nitrobenzyl 4-chlorobenzenesulfonate)、2-三氟甲基-6-硝基芐基4-硝基苯磺酸鹽(2-trifluoromethyl-6-nitrobenzyl 4-nitro benzenesulfonate);酚磺酸酯(phenolic sulfonate esters),例如苯基(phenyl)、4-甲氧基(4-methoxybenzenesulfonate);有機酸的烷基銨鹽(alkyl ammonium salts of organic acids),例如10-樟腦磺酸的三乙銨鹽(triethylammonium salt of 10-camphorsulfonic acid)、上述之組合、或類似材料。
亦可以將上述交聯劑添加至上述抗反射層107中。上述交聯劑與上述抗反射層107中的聚合物樹脂中的聚合物反應,協助提高光阻的交聯密度,這有助於改善阻劑圖案及對乾蝕刻的抵抗能力。在一實施例中,上述交聯劑可以是以三聚氰胺為主的試劑(melamine based agent)、以尿素為主的試劑(urea based agent)、以乙烯尿素為主的試劑(ethylene urea based agent)、以丙烯尿素為主的試劑(propylene urea based agent)、以乙炔脲為主的試劑(glycoluril based agent)、具有羥基(hydroxyl group)、羥基烷基(hydroxyalkyl group)、或上述之組合的脂肪族環烴(aliphatic cyclic hydrocarbon)、含氧的脂肪族環烴衍生物(oxygen containing derivatives of the aliphatic cyclic hydrocarbon)、乙炔脲化合物(glycoluril compound)、醚化氨基樹脂(etherified amino resin)、聚醚多元醇(polyether polyol)、聚縮水甘油醚(polyglycidil ether)、乙烯醚(vinyl ether)、三氮雜苯(triazine)、上述之組合、或類似物。
可以將用於上述抗反射層107的材料置於溶劑中,以分散上述材料。在一實施例中,上述溶劑可以是有機溶劑,且可以包括任何合適的溶劑,例如酮類(ketones)、醇類(alcohols)、多元醇(polyalcohols)、醚類(ethers)、乙二醇醚(glycol ethers)、環醚(cyclic ethers)、芳香烴(aromatic hydrocarbons)、酯類(esters)、丙酸乙酯(propionates)、乳酸(lactates)、乳酸酯(lactic esters)、亞烷基二醇單烷基醚(alkylene glycol monoalkyl ethers)、乳酸烷基酯(alkyl lactates)、烷基烷氧基丙酸酯(alkyl alkoxypropionates)、環內酯(cyclic lactones)、含有環的單酮化合物(monoketone compounds that contain a ring)、碳酸亞烷基酯(alkylene carbonates)、烷基烷氧基乙酸酯(alkyl alkoxyacetate)、丙酮酸烷基酯(alkyl pyruvates)、乳酸酯(lactate esters)、乙二醇烷基醚乙酸酯(ethylene glycol alkyl ether acetates)、二甘醇(diethylene glycols)、丙二醇烷基醚乙酸酯(propylene glycol alkyl ether acetates)、亞烷基二醇烷基醚酯(alkylene glycol alkyl ether esters)、亞烷基二醇單烷基酯(alkylene glycol monoalkyl esters)、或類似物。
一旦製備了抗反射層107的材料,即可將之塗敷(apply)到第一介電層105上作為應用。可以將上述用於抗反射層107的材料塗 敷至第一介電層105,從而使上述抗反射層107的材料塗佈(coat)在第一介電層105的上暴露表面,可以使用例如旋轉塗佈(spin-on coating)製程、浸漬塗佈(dip coating)法、氣刀塗佈(air-knife coating)法、簾狀塗佈(curtain coating)法、線棒塗佈(wire-bar coating)法、凹版塗佈(gravure coating)法、貼合(lamination)法、擠壓塗佈(extrusion coating)法、上述之組合、或類似製程的製程來塗敷抗反射層107的材料。在一實施例中,可以塗敷抗反射層107的材料以具有介於約50奈米及約500奈米之間的厚度,例如約450奈米。
一旦形成上述抗反射層107,即可以圖案化上述抗反射層107,以形成第一開口108,並提供用於形成第一導孔開口205的遮罩(沒有在第1A圖中示出,但繪示並描述於下方關於第2圖處)。在一實施例中,可以使用光微影成像曝光(photolithographic exposure)及顯影製程來圖案化上述抗反射層107,或在一些上述抗反射層107不具光敏感性(photosensitive)的實施例中,可以使用遮罩及蝕刻製程來圖案化抗反射層107,以形成上述第一開口108。在一實施例中,用於形成第一導孔開口205的第一開口108可以具有介於約26奈米及約26.2奈米之間的第一寬度W1。然而,可以使用任何合適的尺寸。
一旦形成並圖案化上述抗反射層107,即可以在抗反射層107之上形成第一硬遮罩109。在一實施例中,上述第一硬遮罩109可以是例如氮化鈦(titanium nitride,TiN)的遮罩材料,但可以使用其他合適的材料,例如氧化鈦(titanium oxide)。可以使用沉積製程來形成第一硬遮罩109,例如化學氣相沉積、物理氣相沉積、原子層沉積、上述之組合、或類似製程,且可以將第一硬遮罩109形成至介於約50埃至約800埃的厚度,例如約300埃。然而,可以使用任何合適的 厚度。
一旦形成上述第一硬遮罩109,即可以圖案化第一硬遮罩109,以形成第二開口110,並提供用於後續蝕刻製程的遮罩。在一實施例中,可以藉由將第一光阻122置於第一硬遮罩109之上,且接著曝光及顯影第一光阻122以圖案化第一光阻122,來圖案化第一硬遮罩109。在一實施例中,上述第一光阻122為三層(tri-layer)光阻,其具有底部抗反射塗佈(bottom anti-reflective coating,BARC)層、中間遮罩層、及頂部光阻層(沒有單獨繪示於第1A圖中)。然而,可以使用任何合適類型的光阻材料或材料組合。一旦圖案化第一光阻122,可接著使用例如非等向性(anisotropic)蝕刻製程(例如,反應離子蝕刻製程(reactive ion etching process)),將第一光阻122的圖案轉移至第一硬遮罩109。然而,可以使用任何合適的製程。
蝕刻至上述第一硬遮罩109中的圖案為將用以作為遮罩的圖案,以在第一介電層105中形成第一溝槽開口203(沒有在第1A中示出,但繪示並描述於下方關於第2圖處)。如此,穿過上述第一硬遮罩109的開口具有第二寬度W2,上述第二寬度W2大於穿過抗反射層107的第一開口108的寬度W1,例如在介於約50奈米及約52奈米之間。然而,可以使用任何合適的尺寸。
第2圖繪示出,一旦圖案化上述第一硬遮罩109,即可以使用第一蝕刻製程(在第2圖中藉由箭頭標示201表示)以將第一硬遮罩109及抗反射層107的圖案轉移至第一介電層105。在一實施例中,上述第一蝕刻製程201可以是例如非等向性蝕刻製程,例如具有適用於蝕刻第一介電層105及抗反射層107的蝕刻劑的反應離子蝕刻。舉例來說,在其中第一介電層105為無氮抗反射塗層且抗反射層107為氮化鈦 的實施例中,上述第一蝕刻製程201可以是具有例如CF4及C4F8的蝕刻劑的反應離子蝕刻(RIE)。然而,可以使用任何合適蝕刻方法或蝕刻方法組合、以及任何合適蝕刻劑。
藉由使用上述第一蝕刻製程201、第一硬遮罩109、及抗反射層107,第一硬遮罩109、抗反射層107的圖案被轉移至上述第一介電層105,並形成第一溝槽開口203及第一導孔開口205。具體而言,第一蝕刻製程201蝕刻第一介電層105暴露的第一部分(首先藉由上述抗反射層107中的第一開口108露出),且亦蝕刻抗反射層107之暴露部分(首先藉由上述第一硬遮罩109中的第二開口110露出)。這開始了導孔開口205的蝕刻製程。
另外,隨著第一蝕刻製程201繼續進行,上述第一蝕刻製程201最終將去除抗反射層107的所有暴露部分,且接著蝕刻上述第一介電層105的第二部分。此第一介電層105的第二部分(此部分原先被上述抗反射層107遮蓋,並接著藉由抗反射層107的去除而暴露出來)的二次去除,形成第一溝槽開口203。在一實施例中,可以繼續上述第一蝕刻製程201直到將上述第一溝槽開口203形成至約45奈米的第一深度D1。然而,可以使用任何合適的深度。
另外,可以繼續藉由上述第一蝕刻製程201將圖案轉移至上述第一介電層105中,以使上述第一導孔開口205延伸穿過第一介電層105,暴露第一介電層105底下的第二蝕刻終止層103。在一些其他實施例中,上述圖案的轉移至少部分地將第一導孔開口205延伸至位於其下的第二蝕刻終止層103中。舉例來說,上述第一蝕刻製程201可以形成上述第一導孔開口205至延伸至約43奈米的深度D2。此外,上述第一導孔開口205可以延伸至第二蝕刻終止層103中約2奈米的深度 D3。然而,可以使用任何合適的深度。
第3圖繪示出上述第一光阻122及第一硬遮罩109的去除。在一實施例中,可以使用例如電漿灰化(plasma ashing)製程來去除上述第一光阻122,由此可以增加上述光阻的溫度,直到第一光阻122經受熱分解(thermal decomposition),並可以被去除。接著可以進行蝕刻製程,以去除第一光阻122的任何額外膜層(例如,底部抗反射塗佈(bottom anti-reflective coating,BARC)層或中間遮罩層)。然而,可以使用任何其他合適製程,例如濕式剝除(wet strip)。
可以單獨或一起使用例如對於上述第一硬遮罩109的材料具有選擇性的濕蝕刻劑來去除上述第一硬遮罩109。舉例來說,在其中上述第一硬遮罩109包括氮化鈦(titanium nitride)的實施例中,可以使用具有對上述第一硬遮罩109的材料具有選擇性的第一濕蝕刻劑的濕蝕刻來去除上述第一硬遮罩109。另外,可以使用浸漬(dip)製程、旋轉塗佈(spin-on)製程、噴霧塗佈(spray-on)製程、上述之組合、或類似製程來施加上述第一濕蝕刻劑,並且可以足夠去除上述第一硬遮罩109的時間來施加上述第一濕蝕刻劑。然而,可以使用任何合適於去除上述第一硬遮罩109的製程參數或蝕刻劑。
第4圖繪示出可以使用第二蝕刻製程(在第4圖中藉由X標示401表示),使上述第一導孔開口205延伸穿過上述第二蝕刻終止層103。在一實施例中,藉由一或多道濕蝕刻製程來進行上述導孔開口205穿過上述第二蝕刻終止層103的貫穿(breakthrough),其中液體蝕刻劑與上述第二蝕刻終止層103接觸。
舉例來說,在其中上述第二蝕刻終止層103為SiOC的實施例中,上述第二蝕刻製程401可以使用對上述第二蝕刻終止層103的 材料具有選擇性的第二濕蝕刻劑,以去除上述第二蝕刻終止層103的剩餘部分。另外,可以使用浸漬(dip)製程、旋轉塗佈(spin-on)製程、噴霧塗佈(spray-on)製程、上述之組合、或類似製程來施加上述第二濕蝕刻劑,並且可以足夠去除上述第二蝕刻終止層103的時間來施加上述第二濕蝕刻劑。然而,可以使用任何合適的製程參數。
第5圖繪示出可以使用第三蝕刻製程(在第5圖中藉由X標示501表示),使上述第一導孔開口205延伸穿過上述第一蝕刻終止層101。在一實施例中,藉由一或多道濕蝕刻製程來進行上述導孔開口205穿過上述第一蝕刻終止層101的貫穿,其中液體蝕刻劑與上述第一蝕刻終止層101接觸。
另外,藉由在第三蝕刻製程501中選擇所使用的蝕刻劑,上述用以使第一導孔開口205延伸穿過上述第一蝕刻終止層101的相同製程(亦稱為襯層去除(liner removal))亦使抗反射層107的角落圓化。舉例來說,在其中第一蝕刻終止層101為AlN的實施例中,上述第三蝕刻製程501可以使用第三濕蝕刻劑,以去除上述第一蝕刻終止層101的剩餘部分。另外,可以使用浸漬(dip)製程、旋轉塗佈(spin-on)製程、噴霧塗佈(spray-on)製程、上述之組合、或類似製程來施加上述第三濕蝕刻劑。然而,可以使用任何合適的製程參數。
第6圖繪示出,一旦形成第一導孔開口205及第一溝槽開口203,即可以沉積第一阻障層601及導電材料603,以幫助形成內連線701。可以沉積上述第一阻障層601以幫助隔離及保護接著形成的導電材料603(將於後討論)。在一實施例中,上述第一阻障層601可以包括阻障材料,例如鈦、氮化鈦、上述之組合、或類似材料,且可以使用例如化學氣相沉積(chemical vapor deposition,CVD)、物理氣相 沉積(physical vapor deposition,PVD)、原子層沉積(atomic layer deposition,ALD)、或類似製程的製程來形成上述第一阻障層601。可以形成上述第一阻障層601以具有介於約0.1微米(μm)及約20微米之間的厚度,例如約0.5微米。
一旦形成上述第一阻障層601,即可以使用導電材料603來填充上述第一溝槽開口203及第一導孔開口205,以形成具有導孔部分605(位於上述第一導孔開口205中;請參照第5圖)及溝槽部分607(位於上述第一溝槽開口203中;請參照第5圖)的內連線701。上述導電材料603可以包括銅(copper),但可以使用其他合適的材料,例如鋁(aluminum)、合金(alloy)、摻雜的多晶矽(doped polysilicon)、上述之組合、及類似材料。可以藉由沉積晶種層(seed layer)(沒有單獨繪示)、將銅電鍍(electroplating)至上述晶種層上、並填充及過填充上述第一溝槽開口203及第一導孔開口205,來形成上述導電材料603。
第7A-7B圖繪示出內連線701的形成,第7B圖沿著線B-B’繪示出第7A圖的剖面示意圖。首先參照第7A圖,第7A圖繪示出,一旦填充上述第一溝槽開口203及第一導孔開口205,即可以透過平坦化製程(例如,化學機械研磨(chemical mechanical polishing,CMP))來去除位於上述第一溝槽開口203及第一導孔開口205外的上述第一阻障層601、晶種層、及導電材料603的過量部分,以形成上述內連線701。另外,在平坦化製程期間,可以另外去除上述抗反射層107。然而,可以使用任何合適的去除製程。
接著參照第7B圖,在此實施例中,藉由如前所述形成上述第一溝槽開口203及第一導孔開口205,上述溝槽部分607及導孔部 分605具有改善的輪廓,這有助於在導電材料603的形成期間防止空孔的產生,且藉由具有更垂直的側壁,亦有助於降低節距(pitch)。舉例來說,在一實施例中,上述內連線701的導孔部分605可以具有更垂直的側壁,其具有第一輪廓角度α1,上述第一輪廓角度α1介於約70度及約80度之間,例如約75度(而非50度至60度)。
另外,亦可以將上述導孔部分605形成為具有約64奈米的第三寬度W3(位於上述導孔部分605的頂部),例如約64.1奈米,且亦可以具有約28奈米的第四寬度W4(位於上述導孔部分605的底部),例如約28.3奈米。如此,位於上述導孔部分605的頂部的第三寬度W3(TCD)及位於上述導孔部分605的底部的第四寬度W4(BCD)之間的比值介於約1.5及約2之間(而不是3.5至4)。類似地,上述第四寬度W4對第三寬度W3的比值介於約40%及約45%之間(而不是25%-30%)。然而,可以使用任何合適的比值。類似地,上述導孔部分605可以具有約43奈米的第四深度D4,例如約43.2奈米。如此,深度比(第四深度D4/第三寬度W3)即介於約65%及約70%之間(而不是介於約40%至45%之間)。這些改善有助於使內連線701板沒有空孔,從而幫助半導體裝置通過晶圓允收測試(wafer acceptance test),進而提升整體良率。
第8圖繪示出另一實施例,其中在上述第一介電層105中形成多個內連線701。在一特定實施例中,如前面關於第1A圖所述開始製程,其中藉由圖案化上述抗反射層107以具有上述第一開口108,且藉由使用第一光阻122來圖案化第一硬遮罩109以具有上述第二開口110。另外,在此實施例中,同時或個別地在上述抗反射層107中形成第三開口801及第一開口108。
另外,在此實施例中,在使用第一光阻122來圖案化上述第一硬遮罩109之後,去除上述第一光阻122(例如,上述三層光阻),並置換成第二光阻803。在此實施例中,可以使用例如電漿灰化製程來去除上述第一光阻122,由此可以增加上述光阻的溫度,直到上述第一光阻122經受熱分解(thermal decomposition),並可以被去除。接著可以進行蝕刻製程,以去除上述第一光阻122的任何額外膜層(例如,底部抗反射塗佈(BARC)層或中間遮罩層)。然而,可以使用任何其他合適製程。
一旦去除上述第一光阻122,即可以放置及圖案化上述第二光阻803。在一實施例中,上述第二光阻803為三層光阻,其具有底部抗反射塗佈(BARC)層、中間遮罩層、及頂部光阻層(沒有單獨繪示於第8圖中)。然而,可以使用任何合適類型的光阻材料或材料組合。一旦圖案化上述第二光阻803,可接著使用例如非等向性蝕刻製程(例如,反應離子蝕刻製程),將上述第二光阻803的圖案轉移至上述第一硬遮罩109,以形成第四開口805。然而,可以使用任何合適的製程。
使用多個光阻(例如,上述第一光阻122及第二光阻803),可以將鄰近開口之間的間距(例如上述第二開口110及第四開口805之間的間距)降低至單一光阻的微影成像極限以下。舉例來說,上述第二開口110及第四開口805可以分開約9奈米的第一分開距離DS1。然而,可以使用任何合適的距離。
第9圖繪示出,一旦形成上述第四開口805,即可以去除上述第二光阻803,並可以進行上述第一蝕刻製程201。在一實施例中,可以使用例如電漿灰化製程來去除上述第二光阻803,由此可以增加上述光阻的溫度,直到上述第二光阻803經受熱分解,並可以被 去除。接著可以進行蝕刻製程,以去除上述第一光阻122的任何額外膜層(例如,底部抗反射塗佈(BARC)層或中間遮罩層)。然而,可以使用任何其他合適製程。
一旦去除上述第二光阻803,即可以使用上述第一蝕刻製程201以形成上述第一導孔開口205以及第一溝槽開口203(穿過上述第一開口108及第二開口110)。然而,在此實施例中,上述第一蝕刻製程201將同時形成第二導孔開口901及第二溝槽開口903(穿過上述第三開口801及第四開口805),由此亦有助於將上述第二導孔開口901形成為具有第二深度D2,並將第二溝槽開口903形成為具有第一深度D1。另外,可以將第二溝槽開口903形成為與第一溝槽開口203分開約13奈米的第二分開距離DS2。然而,可以使用任何合適的尺寸。
在一實施例中,可以如前面關於第2圖所述進行上述第一蝕刻製程201。舉例來說,可以藉由反應離子蝕刻來進行上述第一蝕刻製程201,以形成第一導孔開口205(在此實施例中,以及第二導孔開口901),以至少延伸至上述第二蝕刻終止層103(如果沒有延伸至進入上述第二蝕刻終止層103中)。
第10圖繪示出,一旦藉由上述第一蝕刻製程201形成上述導孔開口(例如,上述第一導孔開口205及第二導孔開口901;請參照第9圖)及上述溝槽開口(例如,上述第一溝槽開口203及第二溝槽開口903;請參照第9圖),可以使用上述第二蝕刻製程401(如前面關於第4圖所述)及上述第三蝕刻製程501(如前面關於第5圖所述)以將上述第一導孔開口205及第二導孔開口901延伸穿過上述第一蝕刻終止層101及第二蝕刻終止層103。
然而,由於同時對各個開口進行各個蝕刻製程,因此用於 形成上述第一導孔開口205及第二導孔開口901之間的蝕刻製程沒有差異。舉例來說,在其他製程中,例如部分導孔蝕刻法,此方法在第一蝕刻製程中部份地形成一個導孔,並在第二蝕刻製程中部份地形成第二導孔,在最初蝕刻製程之後,這些獨立的製程可能導致其中一個導孔具有較另一導孔更深的深度。因此,可能需要延長後續蝕刻製程的時間(過蝕刻(overetching)),以確保具有較短深度的導孔仍可以完全暴露出其下方的膜層。
然而,藉由使用前述製程,最小化了上述第一導孔開口205及上述第二導孔開口901之間的深度差,且其深度沒有實質上的差異。此外,由於沒有深度上的差異,因此不需要藉由使用上述過蝕刻製程來解決這些深度上的差異。因此,藉由避免過蝕刻製程,可以避免額外的損傷(例如,由於此用於第二導孔開口的過蝕刻製程所導致的對第一導孔開口的不必要擴寬),可以對整體製程具有更好的控制。
第10圖另外繪示出,上述第一導孔開口205及上述第二導孔開口901一旦暴露出位於其下的導電結構,即可以將上述第一阻障層601、晶種層、及導電材料沉積至上述第一導孔開口205、第二導孔開口901、第一溝槽開口203及第二溝槽開口903中。如此,不僅形成了第一導孔部分605及第一溝槽部分607,亦形成了第二導孔部分1003及第二溝槽部分1001。可以接著進行平坦化製程以去除過量材料,並形成多個內連線701。
另外,在不需要過蝕刻製程的情況下,各個導孔開口(例如,第一導孔開口205及第二導孔開口901),以及相應地第一導孔部分605及第二導孔部分1003具有類似的特性。舉例來說,上述第一導孔部分605及第二導孔部分1003皆可以具有介於約70度及80度之間 的第一輪廓角度α1,例如約75度、介於約1.5及2之間的TCD及BCD之間的比值、介於約40%及約45%之間的第四寬度W4對第三寬度W3之間的比值、以及介於約65%及約70%之間的深度比。如此,即使形成彼此緊密間隔的多個內連線701,各個內連線701仍然可以獲得上述製程所帶來的益處。
在一實施例中,提供半導體裝置的製造方法,此方法包括在第一蝕刻終止層之上形成介電層,上述第一蝕刻終止層位於導電部件之上;在上述介電層之上形成抗反射層;在上述抗反射層之上形成第一硬遮罩;圖案化上述第一硬遮罩以具有第一開口,上述第一開口大於穿過該抗反射層的第二開口;形成穿過上述介電層的第一導孔(via)開口及第一溝槽開口,其中藉由單一蝕刻製程蝕刻穿過上述第一開口及第二開口,以形成上述第一導孔開口及第一溝槽開口;去除上述第一硬遮罩;以及透過上述第一導孔開口來去除上述第一蝕刻終止層的一部份,其中在去除上述第一蝕刻終止層的一部份的步驟停止之後,上述第一導孔開口具有介於約70度及約80度之間的第一輪廓角度。在一實施例中,此方法更包括藉由上述單一蝕刻製程來形成穿過上述介電層的第二導孔開口及第二溝槽開口。在一實施例中,上述第二溝槽開口及上述第一溝槽開口間隔約13奈米的距離。在一實施例中,上述第二導孔開口具有介於約70度及約80度之間的第二輪廓角度。在一實施例中,去除上述第一蝕刻終止層的一部分的步驟亦使上述抗反射層的角落圓化。在一實施例中,上述第一導孔開口具有介於約65%及約70%之間的深度比。在一實施例中,此方法更包括使用導電材料來填充上述第一導孔開口及上述第一溝槽開口。
在一實施例中,一種半導體裝置的製造方法包括在第一蝕 刻終止層之上形成介電層,上述第一蝕刻終止層位於基板之上,形成上述介電層的步驟包括:將第一前驅物導入沉積腔體中;以及將一氧化碳(carbon monoxide)導入上述沉積腔體中;在上述介電層之上形成抗反射層;在上述抗反射層之上形成第一硬遮罩層;使用第一光阻以於上述第一硬遮罩層中圖案化出第一開口;使用第二光阻以於上述第一硬遮罩層中圖案化出第二開口,上述第二光阻與上述第一光阻不同;使用單一蝕刻製程透過上述第一開口形成第一導孔開口且透過上述第二開口形成第二導孔開口;去除上述第一硬遮罩層;透過上述第一導孔開口去除上述第一蝕刻終止層的第一部分,且透過上述第二導孔開口去除上述第一蝕刻終止層的第二部分;以及使用導電材料來填充上述第一導孔開口及上述第二導孔開口,以形成第一導孔及第二導孔,其中上述第一導孔具有第一輪廓角度且上述第二導孔具有上述第一輪廓角度。在一實施例中,形成上述介電層的步驟形成具有大於約2.7的介電常數數值的一材料。在一實施例中,形成上述介電層的步驟形成具有小於約60MPa的應力的一材料。在一實施例中,形成上述介電層的步驟形成在極紫外線(extreme ultra-violet)下之碳損失小於10%的一材料。在一實施例中,上述第一輪廓角度介於約70度及約80度之間。在一實施例中,上述第一導孔及上述第二導孔各具有介於約65%及約70%之間的深度比。
在一實施例中,一種半導體裝置包括位於一基板之上的介電層;至少部分地延伸穿過上述介電層的第一溝槽;以及自上述第一溝槽至少部分地延伸穿過上述介電層的第一導孔,上述第一導孔具有介於約70度及約80度之間的第一輪廓角度、介於約65%及約70%之間的第一深度比、以及介於約1.5及約2之間的第一寬度比。在一實施例 中,此半導體裝置更包括連續導電材料,從上述第一導孔中的第一點延伸至上述第一溝槽中的第二點,並位於上述介電層之上。在一實施例中,此半導體裝置更包括鄰近上述第一溝槽的第二溝槽及第二導孔,上述第二溝槽及上述第一溝槽間隔約13奈米的距離。在一實施例中,上述第二導孔具有介於約70度及約80度之間的第二輪廓角度。在一實施例中,上述第二導孔具有介於約65%及約70%之間的第二深度比。在一實施例中,上述第二導孔具有介於約1.5及約2之間的第二寬度比。
以上概略說明了本發明數個實施例的部件,使所屬技術領域內具有通常知識者對於本揭露可更為容易理解。任何所屬技術領域內具有通常知識者應瞭解到本說明書可輕易作為其他結構或製程的變更或設計基礎,以進行相同於本揭露實施例的目的及/或獲得相同的優點。任何所屬技術領域內具有通常知識者亦可理解與上述等同的結構或製程並未脫離本揭露之精神及保護範圍內,且可在不脫離本揭露之精神及範圍內,當可作更動、替代與潤飾。

Claims (20)

  1. 一種半導體裝置的製造方法,該方法包括:在一第一蝕刻終止層之上形成一介電層,該第一蝕刻終止層位於一導電部件之上;在該介電層之上形成一抗反射層;在該抗反射層之上形成一第一硬遮罩;圖案化該第一硬遮罩以具有一第一開口,該第一開口大於穿過該抗反射層的一第二開口;形成穿過該介電層的一第一導孔(via)開口及一第一溝槽開口,其中藉由一單一蝕刻製程蝕刻穿過該第一開口及該第二開口,以形成該第一導孔開口及該第一溝槽開口;去除該第一硬遮罩;以及透過該第一導孔開口來去除該第一蝕刻終止層的一部份,其中在去除該第一蝕刻終止層的該部份的步驟停止之後,該導孔開口具有介於約70度及約80度之間的一第一輪廓角度。
  2. 如申請專利範圍第1項所述之半導體裝置的製造方法,更包括藉由該單一蝕刻製程形成穿過該介電層的一第二導孔開口及一第二溝槽開口。
  3. 如申請專利範圍第2項所述之半導體裝置的製造方法,其中該第二溝槽開口及該第一溝槽開口間隔約13奈米的距離。
  4. 如申請專利範圍第2項所述之半導體裝置的製造方法,其中該第二導孔具有介於約70度及約80度之間的一第二輪廓角度。
  5. 如申請專利範圍第1項所述之半導體裝置的製造方法,其中去除該第一蝕刻終止層的該部分的步驟亦使該抗反射層的一角落圓化。
  6. 如申請專利範圍第1項所述之半導體裝置的製造方法,其中該第一導孔開口具有介於約65%及約70%之間的深度比。
  7. 如申請專利範圍第1項所述之半導體裝置的製造方法,更包括使用一導電材料來填充該第一導孔開口及該第一溝槽開口。
  8. 一種半導體裝置的製造方法,該方法包括:在一第一蝕刻終止層之上形成一介電層,該第一蝕刻終止層位於一基板之上,形成該介電層的步驟包括:將一第一前驅物導入一沉積腔體中;將一氧化碳(carbon monoxide)導入該沉積腔體中;在該介電層之上形成一抗反射層;在該抗反射層之上形成一第一硬遮罩層;使用一第一光阻以於該第一硬遮罩層中圖案化出一第一開口;使用一第二光阻以於該第一硬遮罩層中圖案化出一第二開口,該第二光阻與該第一光阻不同;使用一單一蝕刻製程透過該第一開口形成一第一導孔開口,且透過該第二開口形成一第二導孔開口;去除該第一硬遮罩層;透過該第一導孔開口去除該第一蝕刻終止層的一第一部分,且透過該第二導孔開口去除該第一蝕刻終止層的一第二部分;以及使用一導電材料來填充該第一導孔開口及該第二導孔開口,以形成一第一導孔及一第二導孔,其中該第一導孔具有一第一輪廓角度且該第二導孔具有該第一輪廓角度。
  9. 如申請專利範圍第8項所述之半導體裝置的製造方法,其中形成該介電層的步驟形成具有大於約2.7的介電常數數值的一材料。
  10. 如申請專利範圍第9項所述之半導體裝置的製造方法,其中形成該介電層的步驟形成具有小於約2.1GPa的硬度的一材料。
  11. 如申請專利範圍第10項所述之半導體裝置的製造方法,其中形成該介電層的步驟形成具有小於約60MPa的應力的一材料。
  12. 如申請專利範圍第11項所述之半導體裝置的製造方法,其中形成該介電層的步驟形成在極紫外線(extreme ultra-violet)下之碳損失小於10%的一材料。
  13. 如申請專利範圍第8項所述之半導體裝置的製造方法,其中該第一輪廓角度介於約70度及約80度之間。
  14. 如申請專利範圍第8項所述之半導體裝置的製造方法,其中該第一導孔及該第二導孔各具有介於約65%及約70%之間的深度比。
  15. 一種半導體裝置,包括:一介電層,位於一基板之上;一第一溝槽,至少部分地延伸穿過該介電層;以及一第一導孔,自該第一溝槽至少部分地延伸穿過該介電層,該第一導孔具有介於約70度及約80度之間的一第一輪廓角度、介於約65%及約70%之間的一第一深度比、以及介於約1.5及約2之間的一第一寬度比。
  16. 如申請專利範圍第15項所述之半導體裝置,更包括一連續導電材料,從該第一導孔中的一第一點延伸至該第一溝槽中的一第二點,並位於該介電層之上。
  17. 如申請專利範圍第15項所述之半導體裝置,更包括鄰近該第一溝槽的一第二溝槽及一第二導孔,該第二溝槽及該第一溝槽分開約13奈米的距離。
  18. 如申請專利範圍第17項所述之半導體裝置,其中該第二導孔具有介於約70度及約80度之間的一第二輪廓角度。
  19. 如申請專利範圍第18項所述之半導體裝置,其中該第二導孔具有介於約65%及約70%之間的一第二深度比。
  20. 如申請專利範圍第19項所述之半導體裝置,其中該第二導孔具有介於約1.5及約2之間的一第二寬度比。
TW107130631A 2017-08-31 2018-08-31 半導體裝置及其製造方法 TWI688043B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762552795P 2017-08-31 2017-08-31
US62/552,795 2017-08-31
US16/108,535 US10998259B2 (en) 2017-08-31 2018-08-22 Semiconductor device and method of manufacture
US16/108,535 2018-08-22

Publications (2)

Publication Number Publication Date
TW201921595A true TW201921595A (zh) 2019-06-01
TWI688043B TWI688043B (zh) 2020-03-11

Family

ID=65438002

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107130631A TWI688043B (zh) 2017-08-31 2018-08-31 半導體裝置及其製造方法

Country Status (3)

Country Link
US (3) US10998259B2 (zh)
CN (1) CN109427660B (zh)
TW (1) TWI688043B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI833635B (zh) * 2022-09-16 2024-02-21 南亞科技股份有限公司 硬遮罩結構以及半導體結構的製備方法

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10199500B2 (en) * 2016-08-02 2019-02-05 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-layer film device and method
TWI714093B (zh) * 2019-05-21 2020-12-21 友達光電股份有限公司 陣列基板
CN112863999B (zh) * 2019-11-26 2023-10-27 中芯国际集成电路制造(上海)有限公司 刻蚀方法
US20220367251A1 (en) * 2021-05-12 2022-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor Devices and Methods of Manufacture
US20230360906A1 (en) * 2022-05-05 2023-11-09 Applied Materials, Inc. Silicon-and-carbon-containing materials with low dielectric constants

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6500773B1 (en) * 2000-11-27 2002-12-31 Applied Materials, Inc. Method of depositing organosilicate layers
US20020171147A1 (en) * 2001-05-15 2002-11-21 Tri-Rung Yew Structure of a dual damascene via
WO2004055881A1 (en) 2002-12-13 2004-07-01 Applied Materials, Inc. Nitrogen-free dielectric anti-reflective coating and hardmask
US7622400B1 (en) * 2004-05-18 2009-11-24 Novellus Systems, Inc. Method for improving mechanical properties of low dielectric constant materials
US20070035026A1 (en) 2005-08-15 2007-02-15 Taiwan Semiconductor Manufacturing Co., Ltd. Via in semiconductor device
US8264086B2 (en) 2005-12-05 2012-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Via structure with improved reliability
US8465991B2 (en) 2006-10-30 2013-06-18 Novellus Systems, Inc. Carbon containing low-k dielectric constant recovery using UV treatment
US7670924B2 (en) 2007-01-29 2010-03-02 Applied Materials, Inc. Air gap integration scheme
PT104282A (pt) * 2008-12-05 2010-06-07 Univ Do Porto Processo de selagem com vidro de células solares dsc
DE102008063430B4 (de) 2008-12-31 2016-11-24 Advanced Micro Devices, Inc. Verfahren zur Herstellung eines Metallisierungssystem eines Halbleiterbauelements mit zusätzlich verjüngten Übergangskontakten
US9230854B2 (en) * 2013-04-08 2016-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10090167B2 (en) * 2014-10-15 2018-10-02 Taiwan Semiconductor Manufacturing Company Semiconductor device and method of forming same
US10672971B2 (en) * 2018-03-23 2020-06-02 International Business Machines Corporation Vertical transmon qubit device with microstrip waveguides

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI833635B (zh) * 2022-09-16 2024-02-21 南亞科技股份有限公司 硬遮罩結構以及半導體結構的製備方法

Also Published As

Publication number Publication date
CN109427660A (zh) 2019-03-05
US20210257285A1 (en) 2021-08-19
US11810846B2 (en) 2023-11-07
TWI688043B (zh) 2020-03-11
US10998259B2 (en) 2021-05-04
US20190067179A1 (en) 2019-02-28
US20230378041A1 (en) 2023-11-23
CN109427660B (zh) 2021-09-10

Similar Documents

Publication Publication Date Title
TWI688043B (zh) 半導體裝置及其製造方法
JP4763600B2 (ja) エッチング・パターンを形成する方法及びデュアル・ダマシン相互接続構造体を形成する方法
US10049983B2 (en) Semiconductor device and method
US20220102207A1 (en) Bottom-up fill dielectric materials for semiconductor structure fabrication and their methods of fabrication
US11227792B2 (en) Interconnect structures including self aligned vias
US10755972B2 (en) Semiconductor device and method
US10685870B2 (en) Semiconductor device and method of manufacture
US11335589B2 (en) Semiconductor device and method of manufacture
US11776818B2 (en) Semiconductor devices and methods of manufacturing
JP2006165214A (ja) 半導体装置およびその製造方法
KR20030038521A (ko) 반도체 장치의 제조 방법
KR20050046061A (ko) 반도체 소자의 금속 배선 형성 방법
KR20050064463A (ko) 반도체 미세패턴 형성방법
KR20040054140A (ko) 반도체 소자의 제조 방법