TW201842399A - 空白光罩、光罩及光罩之製造方法 - Google Patents

空白光罩、光罩及光罩之製造方法 Download PDF

Info

Publication number
TW201842399A
TW201842399A TW107111584A TW107111584A TW201842399A TW 201842399 A TW201842399 A TW 201842399A TW 107111584 A TW107111584 A TW 107111584A TW 107111584 A TW107111584 A TW 107111584A TW 201842399 A TW201842399 A TW 201842399A
Authority
TW
Taiwan
Prior art keywords
phase shift
film
shift film
light
photomask
Prior art date
Application number
TW107111584A
Other languages
English (en)
Other versions
TWI770155B (zh
Inventor
坂本好史
小嶋洋介
長友達也
Original Assignee
日商凸版印刷股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日商凸版印刷股份有限公司 filed Critical 日商凸版印刷股份有限公司
Publication of TW201842399A publication Critical patent/TW201842399A/zh
Application granted granted Critical
Publication of TWI770155B publication Critical patent/TWI770155B/zh

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/26Phase shift masks [PSM]; PSM blanks; Preparation thereof
    • G03F1/32Attenuating PSM [att-PSM], e.g. halftone PSM or PSM having semi-transparent phase shift portion; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/50Mask blanks not covered by G03F1/20 - G03F1/34; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/80Etching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/7095Materials, e.g. materials for housing, stage or other support having particular properties, e.g. weight, strength, conductivity, thermal expansion coefficient
    • G03F7/70958Optical materials or coatings, e.g. with particular transmittance, reflectance or anti-reflection properties

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Health & Medical Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Environmental & Geological Engineering (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

本發明的目的在於提供具有良好的晶圓轉印特性(NILS、DOF、MEEF、EMF偏壓)及耐照射性的空白光罩、光罩及光罩之製造方法。本發明的一態樣的空白光罩係為了製作適應波長193nm的曝光光的光罩所使用的空白光罩,具備:透光性基板;形成在該透光性基板上,帶來相移效應的相移膜;和形成在此相移膜上的遮光膜。相移膜係積層使用氮化矽系材料的第1相移膜、和使用氮氧化矽系材料的第2相移膜而構成。此外,相移膜的對曝光光的透光率為30%以上,第1相移膜的折射率n1為2.5以上且2.75以下,第2相移膜的折射率n2為1.55以上且2.20以下,第1相移膜的衰減係數k1為0.2以上且0.4以下,第2相移膜的衰減係數k2大於0且為0.1以下。

Description

空白光罩、光罩及光罩之製造方法
本發明係關於在半導體裝置等的製造中所使用的空白光罩、光罩及光罩之製造方法。
作為在阻劑材料中形成微細的圖案圖像的技術,在塗布了光阻的空白光罩上描繪原畫圖案,在曝光後進行熱處理,之後藉由顯影來製作阻劑圖案。已知有:接著以將阻劑圖案作為遮罩而蝕刻遮光膜和相移膜的方式操作的光罩製造裝置及製造方法。遮光膜係例如,在鉻膜中加入氧、氮、碳的膜,相移膜係例如,在矽、氮、氧中加入鉬等過渡金屬而成的膜。
近年來,隨著LSI的高積體化,製造半導體裝置所使用的曝光光源進行了從KrF準分子雷射(波長248nm)至ArF準分子雷射(波長193nm)這樣的短波長化。
依照光的能量E和波長λ的關係式E=hc/λ(h:蒲朗克常數,c為光速),光源的短波長化意指每一個光子的能量增大。從能量狀態的觀點出發,意指光罩係暴露在更容易發生化學反應的狀態下,引起在使用曝光波長248nm的晶圓製造步驟中不會造成問題的現象。
特別是,造成問題的是曝光中的遮罩圖案尺寸變動。隨著照射在遮罩上的線條系圖案的累計曝光量增加,線條系圖案尺寸因遮罩圖案的氧化而變大,對尖端晶圓製造製程造成影響這樣的問題變得明顯。
此外,為了在尖端晶圓製造製程中提高晶圓轉印特性,透射透光性基板的ArF準分子雷射光、和透射透光性基板和相移膜兩者的ArF準分子雷射光的相位差(以下,簡稱為「相位差」)為170度至190度且透光率6%的相移遮罩成為主流。相移遮罩上的相移膜,在透光率6%的情況下,能夠在相位差為180度附近得到最佳的晶圓轉印特性。
已知有如下方法:將相移膜的膜厚設定成相位差成為177度附近,以氟系氣體將相移膜進行乾式蝕刻之際,同時將透光性基板加工3nm左右,最終將相位差設在180度附近。
此外,作為表現晶圓轉印特性的項目,可使用:表現供透射光罩而在晶圓阻劑上製作圖案圖像用的光能分布的對比的斜率的常態化圖像光強度對數斜率值(NILS:Normalized Image log Slope)、表示離可穩定製作圖案的焦點的距離的焦距裕度(DOF:Depth Of Focus)、表現遮罩上尺寸的誤差隨晶圓尺寸而擴大的程度的遮罩誤差增大因素(MEEF:Mask Error Enhancement Factor)、遮罩圖案中的電磁場效應的偏壓(EMF偏壓:Electro Magnetic Field偏壓)。
在邏輯系裝置的14nm以下世代、或記憶體系裝置的20nm以下世代中,透射率6%是不夠的,高透射率相移遮罩受到關注。
藉由提高透光率,相移效應變得更大,可以得到良好的晶圓轉印特性。
在邏輯系裝置的14nm以下世代、或記憶體系裝置的20nm以下世代中,晶圓上圖案尺寸要求進一步微細化,為了得到更高的晶圓轉印特性,期待透光率20%以上的高透射率相移遮罩。
然而,為了在將相移膜的相位差維持在177度附近下增大透光率,需要增大相移膜厚。
此外,隨著光罩的微細化,進而需要微細的輔助圖案,但在供除去異物用的清洗步驟中,有輔助圖案因清洗液或沖洗液的衝擊而倒塌、消失的問題存在。其原因是相移膜厚大,為了改善耐清洗性而需要減小相移膜的膜厚。
同時,EMF偏壓係相移膜的膜厚越小越好,因此偏好盡量薄的相移膜。
因此,藉由相移膜的透光率高,晶圓轉印特性(NILS、DOF、MEEF、EMF偏壓)佳,使曝光所產生的圖案變動減少,因此從相移膜拿掉鉬等過渡金屬的氮化矽膜受到注目。
先前技術文獻 專利文獻
專利文獻1 日本特開2010-9038號公報
專利文獻2 日本特開2016-191882號公報
邏輯系裝置14nm以下世代,需要在晶圓製造步驟中使用雙重曝光、四重曝光,縮小晶圓曝光中的遮罩尺寸變動。相對於此,目前的半色調型相移遮罩,為了使耐照射性提高,減少鉬等過渡金屬的含量,主要由氮化矽構成相移膜。(專利文獻1及2)
此外,為了得到晶圓製造步驟中的良好的晶圓轉印特性(NILS、DOF、MEEF、EMF偏壓),相移膜的透光率要求6%以上。(專利文獻1及2)
另外,隨著光罩的微細化,進而需要微細的輔助圖案,因此為了在光罩的清洗步驟中減少清洗液所產生的對圖案的影響,較佳為膜厚小的相移膜。(專利文獻1及2)
然而,上述專利文獻1或2中公開的方法,相移膜的透光率分別為9%以上30%以下(專利文獻1),3%以上12%以下(專利文獻2),很難應對尖端光罩所要求的高透射率。
在添加氮氣或氧氣,增大透光率的情況下,為了保持相位差177度附近,需要增大相移膜的膜厚,清洗所產生的圖案倒塌的可能性變大。
此外,在保持相位差177度附近下,增大透光率,並將相移膜的膜厚限制在最小的情況下,氮化 矽是有效的,但氮化矽膜無法以單層膜將透光率增大到18%以上。
本發明係為了解決如上所述的缺陷所完成的發明,其目的在於提供能夠製成盡量薄的相移膜,具有良好的晶圓轉印特性(NILS、DOF、MEEF、EMF偏壓),確保耐照射性且耐清洗所產生的圖案倒塌性的空白光罩、光罩及光罩之製造方法。
本發明的一態樣的空白光罩係為了製作適應波長193nm的曝光光的光罩所使用的空白光罩,具備:透光性基板;形成在該透光性基板上,帶來相移效應的相移膜;和形成在此相移膜上的遮光膜。相移膜係積層使用氮化矽系材料的第1相移膜、和使用氮氧化矽系材料的第2相移膜而構成。此外,其特徵為相移膜的對曝光光的透光率為30%以上,第1相移膜的折射率n1為2.5以上且2.75以下,第2相移膜的折射率n2為1.55以上且2.20以下,第1相移膜的衰減係數k1為0.2以上且0.4以下,第2相移膜的衰減係數k2大於0且為0.1以下。
此外,在此空白光罩中,較佳為使第1相移膜的膜厚D1和第2相移膜的膜厚D2滿足下列關係:D1(nm)>-0.5‧D2(nm)+45nm且D1(nm)<-0.5‧D2(nm)+75nm。
此外,較佳為此空白光罩中的遮光膜,在氟系乾式蝕刻中實質上不被蝕刻,在包含氧的氯系乾式蝕刻中可以進行蝕刻,鉻含量為20原子%以上。
此外,本發明的一態樣的光罩係適應波長193nm的曝光光的光罩,具備:透光性基板;和在積層在該透光性基板上的相移膜上所形成的圖案的電路圖案。相移膜係積層使用氮化矽系材料的第1相移膜、和使用氮氧化矽系材料的第2相移膜而構成。此外,其特徵為相移膜的對曝光光的透光率為30%以上,第1相移膜的折射率n1為2.5以上且2.75以下,第2相移膜的折射率n2為1.55以上且2.20以下,第1相移膜的衰減係數k1為0.2以上且0.4以下,第2相移膜的衰減係數k2大於0且為0.1以下。
此外,在此光罩中,較佳為使第1相移膜的膜厚D1和第2相移膜的膜厚D2滿足下列關係:D1(nm)>-0.5‧D2(nm)+45nm且D1(nm)<-0.5‧D2(nm)+75nm。
此外,在此光罩中,較佳為其特徵為在位於包含電路圖案的有效區域的外周部的相移膜上積層遮光膜,此遮光膜在氟系乾式蝕刻中實質上不被蝕刻,在包含氧的氯系乾式蝕刻中可以進行蝕刻,以鉻為主要成分。
此外,本發明的一態樣的光罩之製造方法,係使用前述空白光罩的光罩之製造方法,其特徵為具有:對遮光膜進行使用包含氧的氯系氣體的乾式蝕刻,從而在遮光膜形成圖案的步驟;將形成在遮光膜的圖案作為遮罩,對相移膜進行使用氟系氣體的乾式蝕刻,從而在相移膜形成電路圖案、和形成包含該電路圖案的區 域的外周部的外周部圖案的步驟;在外周部圖案上形成阻劑圖案,將阻劑圖案作為遮罩,進行使用包含氧的氯系氣體的乾式蝕刻,從而除去遮光膜的一部分的步驟;和除去阻劑圖案的步驟。
根據本發明的話,便可以實現確保良好的晶圓轉印特性,同時具有良好的耐照射性的空白光罩或光罩。
101‧‧‧遮光膜
101a‧‧‧蝕刻遮罩圖案
102‧‧‧相移膜
102a‧‧‧第2相移膜
102b‧‧‧第1相移膜
102c‧‧‧相移膜圖案
103‧‧‧透光性基板
104‧‧‧阻劑膜
104a‧‧‧第1阻劑圖案
104b‧‧‧阻劑膜
104c‧‧‧第2阻劑圖案
105‧‧‧有效區域
106‧‧‧外周部圖案
107‧‧‧圖案加工膜
107a‧‧‧圖案加工圖案
108‧‧‧阻劑膜
108a‧‧‧第1阻劑圖案
200、250、500、550‧‧‧空白光罩
300‧‧‧光罩
400‧‧‧光罩
圖1係顯示第1實施形態的空白光罩的構造的示意剖面圖。
圖2係顯示第2實施形態的空白光罩的構造的示意剖面圖。
圖3係顯示在遮光膜上形成圖案加工膜的空白光罩的構造的示意剖面圖。
圖4係顯示在遮光膜上積層圖案加工膜和阻劑膜的空白光罩的構造的示意剖面圖。
圖5係顯示本發明的實施形態的光罩的構造的示意剖面圖。
圖6係示意地顯示本發明的實施形態的光罩的製造方法的圖。
圖7係示意地顯示本發明的實施形態的光罩的製造方法的圖。
圖8係示意地顯示使用在遮光膜上形成圖案加工膜的空白光罩的光罩的製造方法的圖。
圖9係示意地顯示在遮光膜上形成圖案加工膜的本發明的實施形態的光罩的製造方法的圖。
圖10係表示相移膜的膜厚與透光率的關係的圖。
圖11係表示第1相移膜的膜厚D1及第2相移膜的膜厚D2、與NILS的關係的圖。
圖12係將第1相移膜的膜厚D1及第2相移膜的膜厚D2、與NILS的關係圖表化者。
圖13係表示第1相移膜的膜厚D1及第2相移膜的膜厚D2、與NILS的關係的圖。
圖14係將第1相移膜的膜厚D1及第2相移膜的膜厚D2、與NILS的關係圖表化者。
用以實施發明的形態
以下,針對本發明的實施形態,參照圖式進行說明。又,在各圖式中,有對同一構成要素賦予同一符號,省略重複的說明的情形。此外,以下說明中使用的圖式,有為了容易理解特徵而將成為特徵的部分放大顯示的情況,各構成要素的尺寸比率等未必與實際相同。
圖1、圖2顯示本發明的空白光罩,圖1係顯示第1實施形態的空白光罩的構造的示意剖面圖,圖2係顯示第2實施形態的空白光罩的構造的示意剖面圖。
圖1中顯示的空白光罩200係透射型空白相移遮罩,係為了製作適應波長193nm的曝光光(例如, 其係由如ArF準分子雷射的曝光光源得到)的光罩所使用的空白光罩,具備:透光性基板103;形成在透光性基板103上的帶來相移效應的相移膜102;和相接地形成在相移膜102上的遮光膜101。
遮光膜101,較佳為在氟系乾式蝕刻中實質上不被蝕刻,在包含氧的氯系乾式蝕刻中可以進行蝕刻,以鉻為主要成分者,而且鉻含量為20原子%以上。
相移膜102,若相位差為170度至190度且對曝光光的透光率為30%以上,則可得到與曝光條件相應的相移效應所產生的轉印圖案的晶圓轉印特性,因而較佳。若相位差為180度,則可得到更佳的晶圓轉印特性,因而特佳。
此外,相移膜102成為第1相移膜102b和第2相移膜102a的二層構造。製成二層構造的理由係因為與單層膜相比,藉由組合具有不同的折射率n和衰減係數k的二種膜,膜厚及透光率的可實現範圍變廣。
關於相移膜102,為了使曝光所產生的圖案尺寸變動減少而作成不含鉬等的過渡金屬者。此外,第1相移膜102b的組成係設為包含Si3N4等的氮化矽系材料的組成,第2相移膜102a的組成係設為包含SiON等的氮氧化矽材料的組成。其理由係為了在保持相位差177度附近下減薄相移膜,氮化矽的折射率n大,需要作成具有衰減係數k較小的氮化矽的相移膜。然而,在使用氮化矽的單層膜的情況下,透光率18%左右是極限,無法實現更高的透光率。因此積層衰減係數k更小 的氮氧化矽系材料作為相位差調整膜,作成包含氮氧化矽系材料的膜和包含氮化矽系材料的膜的二層膜的構成可以減薄相移膜102的膜厚,其結果,可以依上述方式得到良好的EMF偏壓。
此外,將第2相移膜102a作成使用SiON等的氮氧化矽系材料者的理由,係因為折射率n比目前用作相位差調整膜的氧化矽大,可以進一步減小相移膜102的膜厚。
此外,關於相移膜102,藉由減小衰減係數k較大的第1相移膜102b的膜厚,同時調整與第1相移膜102b相比,衰減係數k較小的第2相移膜102a的膜厚,從而可以在保持相位差180度附近下實現透光率30%以上。
已知:第1相移膜102b或第2相移膜102a的折射率n和衰減係數k,係依據第1相移膜102b中所使用的氮和矽的組成比,而且依據第2相移膜102a中所使用的氧、氮和矽的組成比而改變。穩定地存在於自然界的矽氮化物當中,Si3N4係折射率n大且衰減係數k較小,因此適合用於第1相移膜102b。此外,穩定地存在於自然界的矽氮氧化物當中,SiON係折射率n大且衰減係數k更小,因此適合用於第2相移膜102a。因此,較佳為第1相移膜102b中使用Si3N4,此外,較佳為第2相移膜102a中使用SiON。在將Si3N4用於第1相移膜102b,將SiON用於第2相移膜102a的情況下,第1相移膜102b的折射率n1為2.5以上且2.75以下,第2相 移膜102a的折射率n2為1.55以上且2.20以下,且第1相移膜102b的衰減係數k1為0.2以上且0.4以下,第2相移膜102a的衰減係數k2可採用大於0且為0.1以下的值。
又,在以下的說明中,將以相接的形式形成在透光性基板103上的膜稱為「下層膜」,將形成在下層膜上的膜稱為「上層膜」。圖1或圖2中所示的相移膜102,係將使用Si3N4等的氮化矽系材料的第1相移膜102b以相接的形式形成在透光性基板103上,進一步將使用SiON等的氮氧化矽系材料的第2相移膜102a積層在其上,因此下層膜係使用氮化矽系材料的第1相移膜102b,上層膜係使用氮氧化矽系材料的第2相移膜102a。但是,積層的順序可以與此相反。即,相移膜102可以是採取下層膜係使用氮氧化矽系材料的第2相移膜102a,上層膜係使用氮化矽系材料的第1相移膜102b的積層構造者。但是,在如圖1或圖2,採取下層膜為第1相移膜102b且上層膜為第2相移膜102a的積層構造的相移膜102的情況下,與相反構造(上層膜為第1相移膜102b且下層膜為第2相移膜102a的積層構造)者相比,NILS等的晶圓轉印特性變佳。關於具體例,後述。
此外,在採取上層膜為第1相移膜102b且下層膜為第2相移膜102a的積層構造的相移膜102的情況下,在將相移膜102用氟系氣體進行乾式蝕刻之際,透光性基板103和第2相移膜102a的組成非常接近,因此使用電漿發光的終點檢測是困難的。此外,在用電子 線修正機蝕刻相移膜102之際,基於相同的理由,終點檢測是困難的,且與透光性基板103的選擇比變小,因此修正成功率降低。若考慮這些事情,則相移膜102較佳為如圖1或圖2,下層膜為第1相移膜102b且上層膜為第2相移膜102a的積層構造。因此,以下只要沒有特別說明,皆為對下層膜為第1相移膜102b且上層膜為第2相移膜102a的相移膜102進行說明。
此外,若考慮NILS等的晶圓轉印特性,則相移膜102較佳為使第1相移膜102b的膜厚D1和第2相移膜102a的膜厚D2滿足下列關係:D1(nm)>-0.5‧D2(nm)+45nm且D1(nm)<-0.5‧D2(nm)+75nm。
圖2中所示的空白光罩250係基本構成與圖1中所示的空白光罩200相同,但在在遮光膜101上還具備阻劑膜104的點上是不同的。
此阻劑膜104的膜厚為70nm以上150nm以下,係在形成微細圖案時不會發生阻劑圖案倒塌,因而較佳。
空白相移遮罩一般是如圖1(或圖2)的構造,可以積層上面說明以外的膜。例如可以是在圖1所示的空白光罩上進一步積層其他膜(以下,將此膜稱為「圖案加工膜」)者。以下,說明這種構成的空白相移遮罩的例子。
圖3顯示在使用圖1說明的空白光罩200的遮光膜101上形成圖案加工膜的構造的空白光罩的示 意剖面圖。在圖3的空白光罩500中,遮光膜101或相移膜102等的特性(膜厚或組成等)係與在圖1或圖2的說明中所述者相同。然後,形成在遮光膜101上的圖案加工膜107係使用可以以氟系乾式蝕刻進行乾式蝕刻的素材,例如矽系材料所形成的膜。
另一方面,圖4中所示的空白光罩550,係顯示在圖3的空白光罩500的圖案加工膜107上進一步積層阻劑膜108的空白光罩550的構造的示意剖面圖。空白光罩550,除了積層了阻劑膜108的點外,與空白光罩500相同。
將空白光罩作成如圖3或圖4的構造,從而能夠減薄阻劑膜108的膜厚,其結果,能夠減輕顯影步驟中的阻劑倒塌。又,此阻劑膜108的膜厚為70nm以上100nm以下,係在形成微細圖案時不會發生阻劑圖案倒塌,因而較佳。
圖5係顯示本發明的實施形態的光罩的構造的示意剖面圖。圖5中所示的光罩300係使用圖1(或圖2)中所示的空白光罩200(或250)、或者是圖3(或圖4)中所示的空白光罩500(或550)所製作的透射型相移遮罩。圖5中所示的光罩300係適應波長193nm的曝光光的光罩,至少具備:透光性基板103、和藉由蝕刻透光性基板103上的相移膜102所形成的電路圖案。此相移膜102係如前所述,積層第1相移膜102b和第2相移膜102a所構成的膜。
在圖5中,用符號105所表示的區域稱為有效區域105,此有效區域105係包含電路圖案的區域(配置電路圖案的區域)。此外,在此有效區域105的外周部中,設置了包含相移膜102及積層在其上的遮光膜101的外周部圖案106。以下,將相移膜102當中構成電路圖案和外周部圖案的部分稱為「相移膜圖案102c」。
又,外周部圖案106的對曝光光的透光率較佳為0.1%以下。其理由係為了防止所要的曝光光以外的光被照射於晶圓。通常為了將遮罩圖案轉印至晶圓上的阻劑而使用稱為步進機的曝光裝置,以機械式快門設定曝光區域進行步進並重複(step and repeat)而進行縮小投影曝光,藉由外周部圖案106來防止各步進曝光的邊界部的重疊曝光光。這是因為在外周部圖案的對曝光波長的透射率大於0.1%的情況下,上述重疊曝光光會生成圖案。
接著,說明本發明的實施形態的光罩的製造方法。圖6及圖7顯示從形成阻劑膜104的圖2中所示的空白光罩250的形態,製造光罩的操作順序的流程。
首先,進行將在空白光罩250的遮光膜101上所形成的第1阻劑圖案104a(其係藉由對阻劑膜104描繪電路圖案等並進行顯影來得到)作為遮罩,對遮光膜101進行使用包含氧的氯系氣體的乾式蝕刻,從而在遮光膜101形成蝕刻遮罩圖案101a的步驟(圖6<S-1>、<S-2>、<S-3>)。接著,進行將在遮光膜101中所形成的蝕刻遮罩圖案101a作為遮罩,對相移膜102進行使用氟 系氣體的乾式蝕刻,從而在相移膜102形成相移膜圖案102c的步驟(圖6<S-4>)。
接著,進行將殘留在蝕刻遮罩圖案101a上的第1阻劑圖案104a除去的步驟(圖6<S-5>)。然後,進行在其上塗布阻劑膜104b的步驟(圖6<S-6>)、和藉由對阻劑膜104b進行描繪、顯影來在外周部上形成第2阻劑圖案104c的步驟(圖6<S-7>)。接著,進行將在外周部上所形成的第2阻劑圖案104c作為遮罩,進行使用包含氧的氯系氣體的乾式蝕刻,從而將遮光膜101(蝕刻遮罩圖案101a)的一部分除去的步驟(圖6<S-8>)。最後,進行將在外周部上所形成的第2阻劑圖案104c除去而形成外周部圖案(包含相移膜圖案102c、及積層在相移膜圖案102c上的遮光膜101(蝕刻遮罩圖案101a))的步驟(圖7<S-9>),從而製作光罩400。阻劑圖案的除去能夠使用硫酸加水清洗。此外,圖案的描繪能夠使用雷射描繪機。
圖8及圖9係顯示由圖4中所示的空白光罩550製作光罩時的步驟的流程的圖。
首先,進行將在空白光罩550的圖案加工膜107上所形成的第1阻劑圖案108a(其係藉由對阻劑膜108描繪電路圖案等並進行顯影來得到)作為遮罩,對圖案加工膜107進行使用氟系氣體的乾式蝕刻,從而在圖案加工膜107形成圖案加工圖案107a的步驟(圖8<T-1>、<T-2>、<T-3>)。接著,進行對遮光膜101進行使用包含氧的氯系氣體的乾式蝕刻,從而在遮光膜101形成蝕刻遮罩圖案101a的步驟(圖8<T-4>)。
接著,進行將殘留在蝕刻遮罩圖案101a上的第1阻劑圖案108a除去的步驟(圖8<T-5>)。
接著,進行將在遮光膜101中所形成的蝕刻遮罩圖案101a作為遮罩,對相移膜102進行使用氟系氣體的乾式蝕刻,從而在相移膜102形成相移膜圖案102c的步驟(圖8<T-6>)。此處,圖案加工圖案107a係藉由氟系氣體而與相移膜102同時地被蝕刻、除去。
以後的步驟係與使用圖6、圖7說明的步驟(圖6<S-6>~圖7<S-9>)相同。首先,如圖8<T-7>所示,進行塗布阻劑膜104b的步驟,接著,進行對阻劑膜104b進行描繪、顯影,從而在外周部上形成第2阻劑圖案104c的步驟(圖8<T-8>)。接著,進行將形成在外周部上的第2阻劑圖案104c作為遮罩,進行使用包含氧的氯系氣體的乾式蝕刻,從而將遮光膜101(蝕刻遮罩圖案101a)的一部分除去的步驟(圖9<T-9>)。最後,進行將形成在外周部上的第2阻劑圖案104c除去而形成外周部圖案(包含相移膜圖案102c、及積層在相移膜圖案102c上的遮光膜101(蝕刻遮罩圖案101a))的步驟(圖9<T-10>),從而製作光罩400。阻劑圖案的除去能夠使用硫酸加水清洗。此外,圖案的描繪能夠使用雷射描繪機。
圖案加工膜的組成係前面已敘述,較佳為可以用氟系氣體蝕刻的矽系材料。此外,圖案加工膜的膜厚,若為5nm以上10nm以下,則可以在圖9<T-6>中所示的步驟中、在相移膜102的蝕刻時間內完全地蝕刻圖案加工圖案107a,因而是理想的。
[實施例]
在實施例中,為了驗證本發明的空白光罩、及使用其的光罩之製造方法的有效性,而藉由晶圓轉印模擬來評價晶圓轉印特性。
模擬評價係藉由使用Synopsys公司的S-Litho進行計算來求出。
<模擬評價條件>
‧NA:1.35
‧sigma:QS X-0deg BL:32deg/Y-90deg BL:37deg
‧偏光:方位角偏光(Azimuthally polarization)
‧標的:52nm密集HOLE(負顯影(Negative tone develop))
‧間距:100nm
‧第1相移膜102b的折射率n1:2.60
‧第1相移膜102b的衰減係數k1:0.35
‧第2相移膜102a的折射率n2:1.85
‧第2相移膜102a的衰減係數k2:0.004
此外,為了驗證本發明的空白光罩的有效性,亦以比較例的形式,針對現有的相移膜(即包含矽、鉬、氧、氮的相移膜)進行晶圓轉印模擬。比較例的相移膜的特性如下。
<比較例>
透射率:6%
膜厚:75nm
相位差:177.0度
折射率n:2.3
衰減係數k:0.55
組成係鉬含量10原子%、矽含量30原子%、氧含量10原子%、氮含量50原子%。
將關於比較例的相移膜的晶圓轉印模擬的結果顯示在表1。
(1)膜厚和透光率的評價
首先,針對使用氮化矽系材料的第1相移膜102b及使用氮氧化矽系材料的第2相移膜102a的膜厚、和透光率的關係進行評價。在本實施例中,藉由計算來求出可實現相移膜102的透射率為30%、40%、50%、60%的第1相移膜102b及第2相移膜102a的組合,進行與上面所述的比較例的比較。以下,分別將透射率為30%、40%、50%、60%的相移膜102,將第1相移膜102b用於下層膜,然後將第2相移膜102a用於上層膜的相移膜稱為「實施例1的相移膜102」、「實施例2的相移膜102」、「實施例3的相移膜102」、「實施例4的相移膜102」。
在求出構成實施例1~4的相移膜102的第1相移膜102b及第2相移膜102a的膜厚時,在ArF準分子雷射曝光光的波長處的相位差設為177度附近的情況下,藉由計算來求出相移膜102的各透光率下的使總膜厚成為最小的第1相移膜102b的膜厚、及第2相移膜102a的膜厚。下述表2中,顯示所求出的結果(構成實施例1~4的相移膜102的第1相移膜102b及第2相移膜102a的膜厚)。
如同由表2可知,隨著提高相移膜102的透光率,第1相移膜102b的膜厚變小,且第2相移膜102a的膜厚變大。此外,知道了:隨著提高相移膜102的透光率,相移膜102的總膜厚逐漸變大,本發明的實施形態的相移膜102,例如在透光率為30%的情況下的相移膜102(實施例1的相移膜102)的總膜厚為71nm,較比較例的相移膜薄,且能夠實現高透光率。
接著,亦針對在與實施例1至4的相移膜102相反地積層第1相移膜102b和第2相移膜102a的情況下的相移膜102進行評價。以下,分別將透射率為30%、40%、50%、60%的相移膜102,將第1相移膜102b 用於上層膜,然後將第2相移膜102a用於下層膜的相移膜稱為「實施例5的相移膜102」、「實施例6的相移膜102」、「實施例7的相移膜102」、「實施例8的相移膜102」。與實施例1~4的相移膜102同樣地,在求出構成實施例5~8的相移膜102的第1相移膜102b及第2相移膜102a的膜厚之際,在ArF準分子雷射曝光光的波長處的相位差設在177度附近的情況下,藉由計算來求出相移膜102的各透光率下的使總膜厚成為最小的第1相移膜102b的膜厚、及第2相移膜102a的膜厚。將其結果顯示在下述的表3。
得知:與實施例1~4的相移膜102同樣地,實施例5~8的相移膜102亦隨著提高相移膜102的透光率,第1相移膜102b的膜厚變小,且第2相移膜102a的膜厚變大。此外,得知:實施例5(透光率為30%)的相移膜102的總膜厚為73nm,因此本發明的實施形態的相移膜102可以以與比較例的相移膜相同程度的膜厚實現高透光率。
此外,計算使透光率從30%改變至100%時的相移膜的膜厚的變化。將其結果顯示在圖10。圖10 的下半段中顯示的表,係顯示透光率為30%、40%、50%、60%、70%、80%、90%、100%時的第2相移膜的膜厚、第1相移膜的膜厚、相移膜的總膜厚(其為第1相移膜的膜厚和第2相移膜的膜厚的和)者,將其圖表化者為上半段的圖表。又,圖10的結果,係與實施例1~4的相移膜102同樣地,將第1相移膜102b用於下層膜,然後將第2相移膜102a用於上層膜的情況的計算結果。
如由圖10可知,得知:若為了實現30%以上的透光率而將相移膜的總膜厚設為大於70nm且為114nm以下的話即可。
此外,由表2和表3可知,與實施例5~8的相移膜102相比,將第1相移膜102b用於下層膜,將第2相移膜102a用於上層膜的構造(實施例1至4)更能實現薄膜化。
(2)晶圓轉印特性的評價
接著,針對使用實施例1~4的相移膜102的光罩400、及使用比較例的相移膜102的光罩400,相對於ArF準分子雷射曝光光的波長處的各透光率,藉由計算來求出NILS、DOF、MEEF、EMF偏壓,此外,將整理了各實施例的相對於比較例的改善率、各實施例的改善率的平均的結果顯示於表4。此外,在此評價中,為了實現更佳的轉印特性,以光罩400的相位差為180度的形式進行評價。
其結果,得知:與使用比較例的相移膜的光罩相比,使用實施例1至4的相移膜102的光罩400在全部項目中獲得改善。由此,本發明的光罩可有效用於實現高晶圓轉印特性。
此外,關於NILS,使用實施例1的相移膜102的光罩400達到最佳的結果。
接著,針對使用實施例5~8的相移膜102所製作的光罩400,評價NILS、DOF、MEEF、EMF偏壓。在此評價中,亦將光罩400的相位差設為180度進行評價。
針對使用實施例5~8及比較例的相移膜102的光罩400,相對於ArF準分子雷射曝光光的波長處的透光率,藉由計算來求出NILS、DOF、MEEF、EMF偏壓,此外,將整理了各實施例的相對於比較例的改善率、各實施例的改善率的平均的結果顯示於表5。
關於表5的改善率,-表示惡化。
其結果,得知:實施例1至8中任一者皆可得到比比較例佳的晶圓轉印特性,可有效地製造晶圓。但是,知道了:關於實施例5至8,在改善的平均值方面,可看到相較於比較例獲得改善,但實施例5及6係MEEF惡化。
此外,若與實施例1至4者相比,使用實施例5至8的相移膜102的光罩係改善率亦少。由此,如實施例1至4般,將第1相移膜102b用於下層膜,將第2相移膜102a用於上層膜的構造更能得到更佳的晶圓轉印特性,為較佳。
接著,調查使第1相移膜102b的膜厚D1及第2相移膜102a的膜厚D2改變時的NILS的值。圖11係針對將第1相移膜102b用於下層膜,將第2相移膜102a用於上層膜的相移膜102,使用晶圓轉印模擬,使D1在5nm~65nm的範圍內改變,此外使D2在20nm~80nm的範圍內改變時的NILS值,圖12係將此結果圖表化者。另一方面,圖13係針對將第2相移膜102a 用於下層膜,將第1相移膜102b用於上層膜的相移膜102,顯示使膜厚D1和D2改變時的NILS的值,圖14係將此結果圖表化者。
模擬評價係與上述實施例相同,藉由使用Synopsys公司的S-Iitho進行計算來求出者,評價條件亦與上面的實施例相同。
如表1所示,比較例的相移膜的NILS係1.79。然後如同由圖11~圖14可知,NILS相較於比較例獲得改善的第1相移膜102b的膜厚D1和第2相移膜102a的膜厚D2的關係係滿足以下公式的區域:D1(nm)>-0.5‧D2(nm)+45nm且D1(nm)<-0.5‧D2(nm)+75nm。
由此,在轉印特性的觀點上,可說是較佳為D1和D2位於滿足上式的範圍內。
以上,藉由實施例針對本發明的空白光罩及使用其所製作的光罩進行說明,但上述實施例只不過是供實施本發明用的例子,本發明不限於這些實施例。
此外,在相移膜102上追加抗反射膜等的將這些實施例加以變形之事係在本發明的範圍內,從上述記載可輕易了解在本發明的範圍內還可以有其他各式各樣的實施例。
最後,針對具體的光罩400、及相移膜102的製造方法進行說明。上述實施例1至8的相移膜能夠藉由在經光學研磨的6吋正方、0.25吋膜的具有透光性的合成石英基板上,使用DC磁控濺鍍裝置,靶使用矽, 使用氮及氬作為濺鍍氣體以形成第1相移膜102b,靶使用矽,使用氮、氧及氬作為濺鍍氣體以形成第2相移膜102a來製作。
接著,在相移膜102上,使用DC磁控濺鍍裝置,靶使用鉻,使用氮及氧作為濺鍍氣體以形成遮光膜101。藉此,能夠製作空白遮罩。當然,為了製作用圖3等進行了說明的空白遮罩,可以增加在遮光膜101上製作圖案加工膜等的操作順序。
接著,針對具體的光罩400的製造方法進行說明。
準備本發明的第1實施形態的圖1中所示的空白光罩200。此處,相移膜102和遮光膜101係設為以下者。
相移膜102係總膜厚70nm、透射率30%、第1相移膜102b的膜厚48nm、第2相移膜102a的膜厚22nm的二層構造。第1相移膜102b係氮化矽,第2相移膜102a係氮氧化矽。
遮光膜101係膜厚70nm、鉻含量50原子%、碳含量20%、氮含量30原子%的單層膜,合併相移膜102和遮光膜101,相對於波長193nm的透射率為0.1%以下。
在此空白光罩200上,以膜厚150nm將負型化學增幅型電子線阻劑SEBN2014(信越化學工業製)進行旋轉塗布而形成阻劑膜104,製成第2實施形態的圖2中所示的空白光罩250(圖6<S-1>)。
接著,以劑量35μC/cm2,描繪評價圖案,之後,以熱處理裝置,在110℃下進行熱處理10分鐘(PEB=Post exposure bake)。接著,用攪渾(puddle)顯影進行顯影90秒鐘,形成第1阻劑圖案104a(圖6<S-2>)。
接著,使用包含氧的氯系氣體、在下述條件下對遮光膜101進行乾式蝕刻(圖6<S-3>)。此時,並未發生蝕刻的脫落不良。
<遮光膜101的乾式蝕刻條件1>
裝置:ICP(Inductively Coupled Plasma=感應偶合電漿)方式
氣體:Cl2+O2+He、氣體壓力:6mTorr
ICP電力:400W
偏壓功率:15W
<遮光膜101的乾式蝕刻條件2>
裝置:ICP(Inductively Coupled Plasma=感應偶合電漿)方式
氣體:Cl2+O2+He、氣體壓力:6mTorr
ICP電力:400W
偏壓功率:30W
接著,使用氟系氣體、在下述條件下對相移膜102進行乾式蝕刻(圖6<S-4>)。
<相移膜102的乾式蝕刻條件>
裝置:ICP
氣體:SF6+O2、氣體壓力:5mTorr
ICP電力:325W
接著,藉由硫酸加水清洗來將第1阻劑圖案104a進行剝膜(圖6<S-5>)。
接著,塗布阻劑膜104b(圖6<S-6>),藉由雷射描繪裝置進行描繪。之後,進行顯影,形成第2阻劑圖案104c(圖6<S-7>)。
接著,使用包含氧的氯系氣體、在下述條件下對蝕刻遮罩圖案101a進行乾式蝕刻(圖6<S-8>)。
<蝕刻遮罩圖案101a的乾式蝕刻條件1>
裝置:ICP(Inductively Coupled Plasma=感應偶合電漿)方式
氣體:Cl2+O2+He、氣體壓力:8mTorr
ICP電力:500W
偏壓功率:10W
接著,藉由硫酸加水清洗來剝離第2阻劑圖案104c,製作使用本發明的空白光罩的光罩400(圖7<S-9>)。
產業上的可利用性
本發明的空白光罩及使用其的光罩的製造方法,可以在邏輯系裝置的14nm以下世代、或記憶體系裝置的20nm以下世代的尖端光罩方面,應用作為供 製作具有良好的晶圓轉印特性(NILS、DOF、MEEF、EMF偏壓)、耐照射性、及耐清洗所產生的圖案倒塌性用的空白相移遮罩、及相移遮罩之製造方法。

Claims (12)

  1. 一種空白光罩,係為了製作適應波長193nm的曝光光的光罩所使用的空白光罩,其特徵為該空白光罩具備:透光性基板;形成在該透光性基板上,帶來相移效應的相移膜;和形成在該相移膜上的遮光膜,該相移膜係積層使用氮化矽系材料的第1相移膜、和使用氮氧化矽系材料的第2相移膜而構成,該相移膜的對該曝光光的透光率為30%以上,該第1相移膜的折射率n 1為2.5以上且2.75以下,該第2相移膜的折射率n 2為1.55以上且2.20以下,該第1相移膜的衰減係數k 1為0.2以上且0.4以下,該第2相移膜的衰減係數k 2大於0且為0.1以下。
  2. 如請求項1的空白光罩,其中該相移膜係在形成在該透光性基板上的該第1相移膜上積層該第2相移膜而成。
  3. 如請求項1或2的空白光罩,其中該相移膜的總膜厚大於70nm且為114nm以下。
  4. 如請求項1或2的空白光罩,其中該相移膜係該第1相移膜的膜厚D 1和該第2相移膜的膜厚D 2存在下列關係: D 1(nm)>-0.5‧D 2(nm)+45nm且D 1(nm)<-0.5‧D 2(nm)+75nm。
  5. 如請求項1或2的空白光罩,其中該遮光膜,在氟系乾式蝕刻中實質上不被蝕刻,在包含氧的氯系乾式蝕刻中可以進行蝕刻,鉻含量為20原子%以上。
  6. 一種光罩,係適應波長193nm的曝光光的光罩,其特徵為該光罩具備:透光性基板;和在積層在該透光性基板上的相移膜中所形成的圖案的電路圖案,該相移膜係積層使用氮化矽系材料的第1相移膜、和使用氮氧化矽系材料的第2相移膜而構成,該相移膜的對該曝光光的透光率為30%以上,該第1相移膜的折射率n 1為2.5以上且2.75以下,該第2相移膜的折射率n 2為1.55以上且2.20以下,該第1相移膜的衰減係數k 1為0.2以上且0.4以下,該第2相移膜的衰減係數k 2大於0且為0.1以下。
  7. 如請求項6的光罩,其中該相移膜係在該透光性基板上形成該第1相移膜,進一步在該第1相移膜上積層該第2相移膜而成。
  8. 如請求項6或7的光罩,其中該相移膜的總膜厚大於70nm且為114nm以下。
  9. 如請求項6或7的光罩,其中該相移膜係該第1相移膜的膜厚D 1和該第2相移膜的膜厚D 2存在下列關係: D 1(nm)>-0.5‧D 2(nm)+45nm且D 1(nm)<-0.5‧D 2(nm)+75nm。
  10. 如請求項6或7的光罩,其中該光罩係在位於包含該電路圖案的有效區域的外周部的該相移膜上積層遮光膜,該遮光膜在氟系乾式蝕刻中實質上不被蝕刻,在包含氧的氯系乾式蝕刻中可以進行蝕刻,鉻含量為20原子%以上。
  11. 如請求項10的光罩,其中合併設置在該外周部的該遮光膜和該相移膜的積層膜的對該曝光的光的透光率為0.1%以下。
  12. 一種光罩之製造方法,其係使用如請求項1至5中任一項的空白光罩的光罩之製造方法,其特徵為具有:對該遮光膜進行使用包含氧的氯系氣體的乾式蝕刻,從而在該遮光膜形成圖案的步驟;將形成在該遮光膜的圖案作為遮罩,對該相移膜進行使用氟系氣體的乾式蝕刻,從而在該相移膜形成電路圖案、和形成包含該電路圖案的區域的外周部的外周部圖案的步驟;在該外周部圖案上形成阻劑圖案,將該阻劑圖案作為遮罩,進行使用包含氧的氯系氣體的乾式蝕刻,從而除去該遮光膜的一部分的步驟;和除去該阻劑圖案的步驟。
TW107111584A 2017-04-03 2018-04-02 空白光罩、光罩及光罩之製造方法 TWI770155B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2017-073498 2017-04-03
JP2017073498A JP6432636B2 (ja) 2017-04-03 2017-04-03 フォトマスクブランク、フォトマスク及びフォトマスクの製造方法

Publications (2)

Publication Number Publication Date
TW201842399A true TW201842399A (zh) 2018-12-01
TWI770155B TWI770155B (zh) 2022-07-11

Family

ID=63713504

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107111584A TWI770155B (zh) 2017-04-03 2018-04-02 空白光罩、光罩及光罩之製造方法

Country Status (8)

Country Link
US (1) US11187974B2 (zh)
EP (1) EP3608718A4 (zh)
JP (1) JP6432636B2 (zh)
KR (1) KR102559145B1 (zh)
CN (1) CN110462510B (zh)
SG (1) SG11201908669PA (zh)
TW (1) TWI770155B (zh)
WO (1) WO2018186325A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113242995A (zh) * 2018-12-25 2021-08-10 Hoya株式会社 掩模坯料、相移掩模及半导体器件的制造方法

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7255512B2 (ja) * 2019-03-29 2023-04-11 信越化学工業株式会社 位相シフトマスクブランク及び位相シフトマスク

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6045954A (en) * 1998-06-12 2000-04-04 Industrial Technology Research Institute Formation of silicon nitride film for a phase shift mask at 193 nm
CN1896868B (zh) * 2001-11-27 2012-06-20 Hoya株式会社 半色调型相移掩膜坯料、半色调型相移掩膜及其制造方法
JP4707922B2 (ja) * 2002-04-26 2011-06-22 Hoya株式会社 ハーフトーン型位相シフトマスクブランク及びハーフトーン型位相シフトマスク
US7011910B2 (en) 2002-04-26 2006-03-14 Hoya Corporation Halftone-type phase-shift mask blank, and halftone-type phase-shift mask
JP2003322952A (ja) * 2002-04-30 2003-11-14 Mitsubishi Electric Corp 高透過率型ハーフトーン位相シフトマスクおよび半導体装置の製造方法
JP5702920B2 (ja) 2008-06-25 2015-04-15 Hoya株式会社 位相シフトマスクブランク、位相シフトマスクおよび位相シフトマスクブランクの製造方法
EP2209048B1 (en) * 2009-01-15 2013-09-04 Shin-Etsu Chemical Co., Ltd. Method for manufacturing a photomask, and dry etching method
KR101168406B1 (ko) * 2009-05-26 2012-07-25 엘지이노텍 주식회사 하프톤 마스크 및 이의 제조 방법
JP5682493B2 (ja) * 2010-08-04 2015-03-11 信越化学工業株式会社 バイナリーフォトマスクブランク及びバイナリーフォトマスクの製造方法
JP5483366B2 (ja) * 2011-03-11 2014-05-07 Hoya株式会社 ハーフトーン型位相シフトマスクブランク及びハーフトーン型位相シフトマスクの製造方法
WO2014112457A1 (ja) * 2013-01-15 2014-07-24 Hoya株式会社 マスクブランク、位相シフトマスクおよびこれらの製造方法
US9874808B2 (en) * 2013-08-21 2018-01-23 Dai Nippon Printing Co., Ltd. Mask blank, mask blank with negative resist film, phase shift mask, and method for producing pattern formed body using same
JP6379556B2 (ja) * 2013-08-21 2018-08-29 大日本印刷株式会社 マスクブランクス、ネガ型レジスト膜付きマスクブランクス、位相シフトマスク、およびそれを用いるパターン形成体の製造方法
JP6264238B2 (ja) * 2013-11-06 2018-01-24 信越化学工業株式会社 ハーフトーン位相シフト型フォトマスクブランク、ハーフトーン位相シフト型フォトマスク及びパターン露光方法
JP6524614B2 (ja) * 2014-05-27 2019-06-05 大日本印刷株式会社 マスクブランクス、ネガ型レジスト膜付きマスクブランクス、位相シフトマスク、およびそれを用いるパターン形成体の製造方法
JP6313678B2 (ja) * 2014-07-14 2018-04-18 Hoya株式会社 マスクブランクの製造方法、位相シフトマスクの製造方法および半導体デバイスの製造方法
JP2016035559A (ja) 2014-08-04 2016-03-17 信越化学工業株式会社 ハーフトーン位相シフト型フォトマスクブランク及びその製造方法
KR101579848B1 (ko) * 2014-08-29 2015-12-23 주식회사 에스앤에스텍 위상 반전 블랭크 마스크 및 포토마스크
JP6341129B2 (ja) * 2015-03-31 2018-06-13 信越化学工業株式会社 ハーフトーン位相シフトマスクブランク及びハーフトーン位相シフトマスク
JP6380204B2 (ja) 2015-03-31 2018-08-29 信越化学工業株式会社 ハーフトーン位相シフトマスクブランク、ハーフトーン位相シフトマスク及びパターン露光方法
JP6418035B2 (ja) * 2015-03-31 2018-11-07 信越化学工業株式会社 位相シフトマスクブランクス及び位相シフトマスク
US9897911B2 (en) 2015-08-31 2018-02-20 Shin-Etsu Chemical Co., Ltd. Halftone phase shift photomask blank, making method, and halftone phase shift photomask
JP6544300B2 (ja) * 2015-08-31 2019-07-17 信越化学工業株式会社 ハーフトーン位相シフト型フォトマスクブランク、その製造方法、及びハーフトーン位相シフト型フォトマスク
JP6451561B2 (ja) * 2015-09-03 2019-01-16 信越化学工業株式会社 フォトマスクブランク

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113242995A (zh) * 2018-12-25 2021-08-10 Hoya株式会社 掩模坯料、相移掩模及半导体器件的制造方法
TWI809232B (zh) * 2018-12-25 2023-07-21 日商Hoya股份有限公司 遮罩基底、相移遮罩、相移遮罩之製造方法及半導體元件之製造方法

Also Published As

Publication number Publication date
US20200012185A1 (en) 2020-01-09
JP2018180015A (ja) 2018-11-15
KR20190134619A (ko) 2019-12-04
EP3608718A4 (en) 2021-01-13
CN110462510B (zh) 2023-06-20
KR102559145B1 (ko) 2023-07-24
US11187974B2 (en) 2021-11-30
SG11201908669PA (en) 2019-10-30
JP6432636B2 (ja) 2018-12-05
EP3608718A1 (en) 2020-02-12
TWI770155B (zh) 2022-07-11
CN110462510A (zh) 2019-11-15
WO2018186325A1 (ja) 2018-10-11

Similar Documents

Publication Publication Date Title
JP6264238B2 (ja) ハーフトーン位相シフト型フォトマスクブランク、ハーフトーン位相シフト型フォトマスク及びパターン露光方法
JP5786084B2 (ja) マスクブランク、転写用マスク、転写用マスクの製造方法、及び半導体デバイスの製造方法
US8822103B2 (en) Mask blank, transfer mask, method of manufacturing a transfer mask, and method of manufacturing a semiconductor device
TW201704848A (zh) 半色調相位移空白光罩、半色調相位移光罩及圖型曝光方法
TWI772645B (zh) 空白光罩、光罩之製造方法及光罩
TWI770155B (zh) 空白光罩、光罩及光罩之製造方法
WO2020241116A1 (ja) フォトマスクブランク、フォトマスクの製造方法及びフォトマスク
JP2018063441A (ja) ハーフトーン位相シフト型フォトマスクブランク、ハーフトーン位相シフト型フォトマスク及びパターン露光方法
JP6627926B2 (ja) 位相シフトマスクブランクスの製造方法
JP7411840B2 (ja) フォトマスクブランク、フォトマスクの製造方法及びフォトマスク
CN110554563B (zh) 光掩模坯料、制造光掩模的方法和光掩模
JP6551585B2 (ja) ハーフトーン位相シフト型フォトマスクブランク及びその製造方法
TW202217439A (zh) 光罩之製造方法及光罩底板