TW201839849A - Structure with selective barrier layer - Google Patents

Structure with selective barrier layer Download PDF

Info

Publication number
TW201839849A
TW201839849A TW107113501A TW107113501A TW201839849A TW 201839849 A TW201839849 A TW 201839849A TW 107113501 A TW107113501 A TW 107113501A TW 107113501 A TW107113501 A TW 107113501A TW 201839849 A TW201839849 A TW 201839849A
Authority
TW
Taiwan
Prior art keywords
forming
processing chamber
semiconductor structure
structure according
substrate
Prior art date
Application number
TW107113501A
Other languages
Chinese (zh)
Other versions
TWI775839B (en
Inventor
姜勝全
吉鏞 李
Original Assignee
美商微材料有限責任公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商微材料有限責任公司 filed Critical 美商微材料有限責任公司
Publication of TW201839849A publication Critical patent/TW201839849A/en
Application granted granted Critical
Publication of TWI775839B publication Critical patent/TWI775839B/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream

Abstract

Processing methods may be performed to form semiconductor structures that may include three-dimensional memory structures. The methods may include forming a plasma of a fluorine-containing precursor in a remote plasma region of a processing chamber. The methods may include contacting a semiconductor substrate with effluents of the plasma. The semiconductor substrate may be housed in a processing region of the processing chamber. The methods may include selectively etching a metal material laterally between exposed regions of a dielectric material on the semiconductor substrate. The methods may also include subsequently depositing a cap material over the metal material. The cap material may be selectively deposited on the metal material relative to exposed regions of the dielectric material.

Description

具有選擇性阻隔層的結構Structure with selective barrier layer

本技術係關於半導體系統、處理、及裝備。更具體而言,本技術係關於用於在半導體裝置上選擇性蝕刻及選擇性沉積材料層的系統及方法。This technology relates to semiconductor systems, processes, and equipment. More specifically, the present technology relates to a system and method for selectively etching and selectively depositing a material layer on a semiconductor device.

可能藉由在基板表面上產生錯綜複雜圖案化的材料層的處理來製成積體電路。在基板上產生圖案化的材料需要用於移除暴露的材料的控制方法。化學蝕刻係用於多種目的,包括將光抗蝕劑中的圖案轉移到底下的層中、減薄層、或已呈現於表面上的特徵的減薄橫向尺寸。通常期望具有蝕刻一種材料比另一種更快的蝕刻處理,以促進例如圖案轉移處理或單獨材料移除。據說這種蝕刻處理對於第一材料具有選擇性。由於材料、電路、及處理的多樣性,已開發對多種材料具有選擇性的蝕刻處理。然而,通常使用毯覆塗層或保形填充而繼續跨越基板而執行沉積處理。The integrated circuit may be made by a process of generating an intricately patterned material layer on the surface of the substrate. Creating a patterned material on a substrate requires a control method for removing the exposed material. Chemical etching is used for a variety of purposes, including transferring a pattern in a photoresist to a layer underneath, thinning a layer, or thinning lateral dimensions of a feature that has been presented on a surface. It is often desirable to have an etch process that etches one material faster than another to facilitate, for example, a pattern transfer process or individual material removal. This etching process is said to be selective for the first material. Due to the diversity of materials, circuits, and processes, selective etching processes have been developed for a variety of materials. However, a blanket coating or a conformal fill is typically used to continue the deposition process across the substrate.

隨著裝置尺寸在下一代裝置中持續縮小,當形成於特定層中的材料只有幾奈米時,選擇性可以發揮更大的作用(特別是當材料為電晶體形成中的關鍵時)。各種材料之間已開發許多不同的蝕刻處理選擇性,但是標準選擇性可能不再適用於當前及未來的裝置規模。此外,基於形成及保護跨越裝置的特徵的各種關鍵尺寸所需的遮罩、形成、及移除操作的數量,處理的佇列時間繼續增加,同時在基板上的其他處執行圖案化及形成。As device size continues to shrink in next-generation devices, selectivity can play a greater role when the material formed in a particular layer is only a few nanometers (especially when the material is critical in the formation of transistors). Many different etch process selectivities have been developed between materials, but standard selectivity may no longer be applicable to current and future device scales. In addition, based on the number of masking, forming, and removing operations required to form and protect various key dimensions across device features, the queue time for processing continues to increase while patterning and forming are performed elsewhere on the substrate.

因此,需要一種可用於生產高品質的裝置及結構改善的系統及方法。本技術解決了這些及其他需求。Therefore, there is a need for a system and method that can be used to produce high-quality devices and structural improvements. This technology addresses these and other needs.

可以執行處理方法來形成可包括三維記憶體結構的半導體結構。該方法可以包括以下步驟:在處理腔室的遠端電漿區域中形成含氟前驅物的電漿。該方法可以包括以下步驟:使半導體基板與電漿的流出物接觸。半導體基板可以容納在處理腔室的處理區域中。該方法可以包括以下步驟:在半導體基板上的介電材料的暴露區域之間橫向地選擇性蝕刻金屬材料。該方法亦可以包括以下步驟:隨後在金屬材料上沉積蓋材料。蓋材料可以相對於介電材料的暴露區域而選擇性沉積於金屬材料上。A processing method may be performed to form a semiconductor structure that may include a three-dimensional memory structure. The method may include the step of forming a plasma of a fluorine-containing precursor in a distal plasma region of the processing chamber. The method may include the step of contacting the semiconductor substrate with the effluent of the plasma. The semiconductor substrate may be housed in a processing area of the processing chamber. The method may include the step of laterally selectively etching the metal material between the exposed regions of the dielectric material on the semiconductor substrate. The method may also include the step of subsequently depositing a capping material on the metallic material. The cap material may be selectively deposited on the metal material relative to the exposed area of the dielectric material.

在一些實施例中,蝕刻可以在第一處理腔室中執行,而沉積可以在第二處理腔室中執行。該方法亦可以包括以下步驟:將半導體基板從第一處理腔室轉移到第二處理腔室,而轉移可以在不破壞真空的情況下執行。金屬材料可以包括鎢或鈷。介電材料可以包括氧化矽。蓋材料可以包括金屬氮化物或金屬氧化物。金屬材料可以從溝道的側壁橫向蝕刻小於10nm。可以利用金屬材料相對於介電材料大於或約10:1的選擇性來執行蝕刻。可以利用金屬材料相對於介電材料大於或約2:1的選擇性來執行沉積。此外,在一些實施例中,選擇性沉積蓋材料之步驟可以包括以下步驟:抑制介電材料上的蓋材料的生長。In some embodiments, etching may be performed in a first processing chamber and deposition may be performed in a second processing chamber. The method may also include the steps of transferring the semiconductor substrate from the first processing chamber to the second processing chamber, and the transferring may be performed without breaking the vacuum. The metallic material may include tungsten or cobalt. The dielectric material may include silicon oxide. The cover material may include a metal nitride or a metal oxide. Metallic materials can be etched laterally from the sidewall of the channel to less than 10 nm. Etching may be performed with a selectivity of a metal material relative to a dielectric material of greater than or about 10: 1. Deposition may be performed with a selectivity of metallic materials relative to dielectric materials greater than or about 2: 1. In addition, in some embodiments, the step of selectively depositing the capping material may include the step of inhibiting the growth of the capping material on the dielectric material.

本發明的技術亦包括一種形成半導體結構的方法。該方法可以包括以下步驟:在處理腔室的遠端電漿區域中形成的含氟前驅物的電漿。該方法可以包括以下步驟:使半導體基板與電漿的流出物接觸。半導體基板可以容納在處理腔室的處理區域中。該方法可以包括以下步驟:在半導體基板上的介電材料的暴露層之間橫向地選擇性蝕刻金屬材料層。該方法亦可以包括以下步驟:隨後在金屬材料上沉積蓋材料。蓋材料可以相對於介電材料的暴露區域而選擇性沉積於金屬材料上。The technology of the present invention also includes a method of forming a semiconductor structure. The method may include the step of a plasma of a fluorine-containing precursor formed in a plasma region of a distal end of the processing chamber. The method may include the step of contacting the semiconductor substrate with the effluent of the plasma. The semiconductor substrate may be housed in a processing area of the processing chamber. The method may include the step of laterally and selectively etching the metal material layer between the exposed layers of the dielectric material on the semiconductor substrate. The method may also include the step of subsequently depositing a capping material on the metallic material. The cap material may be selectively deposited on the metal material relative to the exposed area of the dielectric material.

在一些實施例中,氮化矽層可以從溝道的側壁橫向蝕刻小於10nm。介電材料可為氧化矽,或可以包括氧化矽。蓋材料可為金屬氮化物或金屬氧化物,或可以包括金屬氮化物或金屬氧化物。金屬氮化物可為氮化鈦,或可以包括氮化鈦。蝕刻可以在第一處理腔室中執行,而沉積可以在第二處理腔室中執行。該方法亦可以包括以下步驟:將半導體基板從第一處理腔室轉移到第二處理腔室,而轉移可以在不破壞真空的情況下執行。半導體基板可以定義多個溝道,而可以在多個表面上蝕刻金屬材料。可以利用金屬材料相對於介電材料大於或約10:1的選擇性來執行蝕刻。可以利用金屬材料相對於介電材料大於或約2:1的選擇性來執行沉積。In some embodiments, the silicon nitride layer can be etched laterally from the sidewall of the channel to less than 10 nm. The dielectric material may be silicon oxide, or may include silicon oxide. The cover material may be a metal nitride or a metal oxide, or may include a metal nitride or a metal oxide. The metal nitride may be titanium nitride, or may include titanium nitride. Etching may be performed in the first processing chamber, and deposition may be performed in the second processing chamber. The method may also include the steps of transferring the semiconductor substrate from the first processing chamber to the second processing chamber, and the transferring may be performed without breaking the vacuum. A semiconductor substrate can define multiple channels, and metal materials can be etched on multiple surfaces. Etching may be performed with a selectivity of a metal material relative to a dielectric material of greater than or about 10: 1. Deposition may be performed with a selectivity of metallic materials relative to dielectric materials greater than or about 2: 1.

這樣的技術可以提供優於習知系統及技術的許多益處。舉例而言,由於改善的結構,這些處理可以實現更小的裝置。此外,藉由執行選擇性操作,可以執行更少的遮罩及移除操作,這可以顯著減少製造佇列時間,並允許形成難以形成的結構。結合以下描述及隨附圖式,更詳細地描述這些及其他實施例以及其許多優點及特徵。Such techniques can provide many benefits over conventional systems and techniques. For example, these processes can enable smaller devices due to the improved structure. In addition, by performing selective operations, fewer masking and removal operations can be performed, which can significantly reduce manufacturing queue time and allow formation of difficult-to-form structures. These and other embodiments, and many of their advantages and features, are described in more detail in conjunction with the following description and accompanying drawings.

本發明的技術包括用於小節距特徵的半導體處理的系統及部件。在從2D NAND轉換到3D NAND時,許多處理操作係從垂直修改成水平操作,以便橫向蝕刻及形成材料層。此外,隨著3D NAND結構所形成的單元數量上成長,記憶體孔洞及其他結構的高寬比有時會顯著增加。在習知3D NAND處理中,佔位符層與介電質材料的堆疊可以形成電極間介電質或IPD層。這些佔位符層可以具有各種執行操作,以在完全移除佔位符材料並將其替換為金屬之前放置結構。隨著裝置尺寸持續縮小,佔位符層的高寬比可能隨著寬度與深度的增加而增加。因此,當材料被移除並利用金屬代替時,完全填充可能更困難。此外,可能在金屬層內形成接縫,這可能影響裝置效能或可能損傷裝置。The technology of the present invention includes systems and components for semiconductor processing with small pitch features. When converting from 2D NAND to 3D NAND, many processing operations are modified from vertical to horizontal operations in order to etch and form material layers laterally. In addition, as the number of cells formed by the 3D NAND structure grows, the aspect ratio of memory holes and other structures sometimes increases significantly. In conventional 3D NAND processing, the stacking of the placeholder layer and the dielectric material can form a dielectric or IPD layer between the electrodes. These placeholder layers can have a variety of operations to place structures before the placeholder material is completely removed and replaced with metal. As device size continues to shrink, the aspect ratio of the placeholder layer may increase as the width and depth increase. Therefore, when the material is removed and replaced with metal, full filling may be more difficult. In addition, seams may be formed in the metal layer, which may affect device performance or may damage the device.

許多習知技術利用濕式蝕刻來存取每一單位佔位符材料,以在摻入金屬之前執行佔位符的橫向蝕刻。由於記憶體孔洞的高的高寬比,而可能無法允許執行對稱蝕刻,所以乾式蝕刻在習知技術中可能不可行。然而,濕式蝕刻可能比其他蝕刻技術更堅固,且濕式蝕刻除了佔位符材料之外可能蝕刻材料,而在結構內造成損傷,這可能削弱結構,而造成變形。隨後的金屬化可能不完整,或在結構的層內產生接縫或空隙。所得到的記憶體單元可能具有減少的容量,或可能故障。此外,由於核心氧化物填充操作的問題以及與其他材料的黏附問題,習知技術已無法轉化成初始金屬結構。Many conventional techniques use wet etching to access each unit of placeholder material to perform lateral etching of the placeholder before incorporation of metal. Due to the high aspect ratio of the memory holes, which may not allow symmetrical etching to be performed, dry etching may not be feasible in conventional techniques. However, wet etching may be more robust than other etching techniques, and wet etching may etch materials other than placeholder materials and cause damage within the structure, which may weaken the structure and cause deformation. Subsequent metallization may be incomplete or create seams or voids within the layers of the structure. The resulting memory unit may have a reduced capacity or may malfunction. In addition, due to the problem of the core oxide filling operation and the problem of adhesion with other materials, the conventional technology cannot be converted into the original metal structure.

本發明的技術藉由形成避免習知佔位符移除操作的結構而克服這些問題。在使用稱為ONON的氧化物與氮化物材料的習知電池中,本技術可能不包括替代材料,並可能直接形成金屬化。這些新結構(可視為氧化物與金屬結構,或OMOM)可以克服提取佔位符材料及沉積金屬的需要。藉由形成包括金屬化的初始結構,可以避免所描述的問題。本技術允許藉由利用選擇性沉積的阻隔層來分離節點並增加隨後沉積的材料(例如,氧化物與其他核心材料)的黏附能力來形成這些結構。藉由選擇性沉積阻隔層,可以執行完整的節點分離,而不需要在金屬層內進一步延伸的回蝕處理。The technology of the present invention overcomes these problems by forming a structure that avoids the conventional placeholder removal operation. In conventional batteries using oxide and nitride materials called ONON, the technology may not include alternative materials and may form metallization directly. These new structures (think of oxide and metal structures, or OMOM) can overcome the need to extract placeholder materials and deposit metals. By forming the initial structure including metallization, the problems described can be avoided. This technology allows these structures to be formed by using selectively deposited barrier layers to separate nodes and increase the adhesion capabilities of subsequently deposited materials (eg, oxides and other core materials). By selectively depositing the barrier layer, complete node separation can be performed without the need for an etch-back process that further extends within the metal layer.

儘管其餘的揭示將常規地識別利用所揭示的技術的特定的蝕刻及沉積處理,但應理解,系統及方法同樣適用於所描述的腔室中可能發生的各種其他的蝕刻、沉積、及清潔處理。因此,該技術不應視為受限於僅能用於所述的蝕刻及沉積處理。本揭示將討論可以與本技術一起使用的一個可能的系統及腔室,以在根據本技術的示例性處理序列的所描述操作之前執行某些移除及沉積操作。Although the remaining disclosure will routinely identify specific etching and deposition processes utilizing the disclosed technology, it should be understood that the systems and methods are equally applicable to various other etching, deposition, and cleaning processes that may occur in the described chambers . Therefore, this technique should not be considered limited to the etching and deposition processes that can only be used. This disclosure will discuss one possible system and chamber that can be used with the present technology to perform certain removal and deposition operations before the described operations of an exemplary processing sequence according to the present technology.

1 圖示根據實施例的沉積、蝕刻、烘焙、及固化腔室的處理系統100的一個實施例的頂視平面圖。在圖式中,一對前開口統一莢(FOUP)102供應各種尺寸的基板,各種尺寸的基板係由機器臂104接收,並在放置到位於串聯區段109a-c中的基板處理腔室108a-f中之一者之前,放置到低壓托持區域106中。第二機器臂110可用於將基板晶圓從托持區域106運輸到基板處理腔室108a-f並返回。除了循環層沉積(CLD)、原子層沉積(ALD)、化學氣相沉積(CVD)、物理氣相沉積(PVD)、濕式蝕刻、預清潔、脫氣、定向、及其他基板處理之外,可以配備每一基板處理腔室108a-f,以執行包括本文所述的乾式蝕刻處理及選擇性沉積的大量基板處理操作。 FIG . 1 illustrates a top plan view of one embodiment of a processing system 100 of a deposition, etching, baking, and curing chamber according to an embodiment. In the drawing, a pair of front opening unified pods (FOUP) 102 supply substrates of various sizes. The substrates of various sizes are received by the robot arm 104 and placed in a substrate processing chamber 108a located in the tandem section 109a-c. One of -f is placed in the low-voltage holding area 106. The second robotic arm 110 may be used to transport substrate wafers from the holding area 106 to the substrate processing chambers 108a-f and back. In addition to cyclic layer deposition (CLD), atomic layer deposition (ALD), chemical vapor deposition (CVD), physical vapor deposition (PVD), wet etching, pre-cleaning, degassing, orientation, and other substrate processing, Each substrate processing chamber 108a-f may be equipped to perform a large number of substrate processing operations including dry etching processes and selective deposition as described herein.

基板處理腔室108a-f可包括用於沉積、退火、固化、及/或蝕刻基板晶圓上的介電膜的一或更多個系統部件。在一個配置中,可以使用兩對處理腔室(例如,108c-d與108e-f),以在基板上沉積介電材料或含金屬材料,而第三對處理腔室(例如108a-b)可以用於蝕刻所沉積的介電質。在另一配置中,所有三對腔室(例如,108a-f)可經配置以蝕刻基板上的介電膜。可以在與不同實施例中所示的製造系統分離的腔室中執行所述的任何一或更多個處理。The substrate processing chambers 108a-f may include one or more system components for depositing, annealing, curing, and / or etching a dielectric film on a substrate wafer. In one configuration, two pairs of processing chambers (eg, 108c-d and 108e-f) can be used to deposit a dielectric or metal-containing material on a substrate, while a third pair of processing chambers (eg, 108a-b) Can be used to etch the deposited dielectric. In another configuration, all three pairs of chambers (eg, 108a-f) may be configured to etch a dielectric film on a substrate. Any one or more of the processes described may be performed in a chamber separate from the manufacturing system shown in the different embodiments.

在一些實施例中,腔室具體包括如下所述的至少一個蝕刻腔室以及如下所述的至少一個沉積腔室。藉由包括這些腔室並組合工廠介面的處理側,可以在受控環境中執行以下所述的所有蝕刻及沉積處理。舉例而言,在托持區域106的處理側可以維持真空環境,而使得在實施例中的所有腔室及轉移均維持在真空下。此舉亦可限制水蒸氣及其他空氣成分接觸處理中的基板。應理解,系統100可以考慮用於介電膜的沉積、蝕刻、退火、及固化腔室的附加配置。In some embodiments, the chamber specifically includes at least one etching chamber as described below and at least one deposition chamber as described below. By including these chambers and combining the processing side of the factory interface, all of the etching and deposition processes described below can be performed in a controlled environment. For example, a vacuum environment can be maintained on the processing side of the holding area 106, so that all chambers and transfers in the embodiment are maintained under vacuum. This also restricts water vapor and other air components from contacting the substrate during processing. It should be understood that the system 100 may consider additional configurations for deposition, etching, annealing, and curing chambers of the dielectric film.

2A 圖示在處理腔室內具有分隔的電漿產生區域的示例性處理腔室系統200的橫截面圖。在膜蝕刻期間(例如,氮化鈦、氮化鉭、鎢、鈷、氧化鋁、氧化鎢、矽、多晶矽、氧化矽、氮化矽、氮氧化矽、碳氧化矽等),處理氣體可以通過氣體入口組件205流入第一電漿區域215。遠端電漿系統(RPS)201可以可選擇地包括在系統中,並且可以處理隨後行進通過氣體入口組件205的第一氣體。入口組件205可以包括二或更多個不同的氣體供應通道,其中若包括第二通道(未圖示),則第二通道可以繞過RPS 201。 FIG . 2A illustrates a cross-sectional view of an exemplary processing chamber system 200 having a separate plasma generation area within a processing chamber. During film etching (eg, titanium nitride, tantalum nitride, tungsten, cobalt, aluminum oxide, tungsten oxide, silicon, polycrystalline silicon, silicon oxide, silicon nitride, silicon oxynitride, silicon oxycarbide, etc.), the process gas can pass through The gas inlet assembly 205 flows into the first plasma region 215. A remote plasma system (RPS) 201 may optionally be included in the system and may process the first gas that subsequently travels through the gas inlet assembly 205. The inlet assembly 205 may include two or more different gas supply channels, and if a second channel (not shown) is included, the second channel may bypass the RPS 201.

圖示冷卻板203、面板217、離子消除器223、噴淋頭225、及具有基板255設置其上的基板支撐件265,且每一者可以根據實施例而被包括。台座265可以具有熱交換通道,熱交換流體流經熱交換通道以控制基板的溫度,可在處理操作期間操作基板的溫度,以加熱及/或冷卻基板或晶圓。亦可以使用嵌入式電阻加熱器元件而電阻加熱可以包含鋁、陶瓷、或其組合的台座265的晶圓支撐盤,以實現相對高的溫度,例如從高達或約100℃至高於或約1100℃。The cooling plate 203, the panel 217, the ion eliminator 223, the shower head 225, and the substrate support 265 having the substrate 255 disposed thereon are illustrated, and each may be included according to an embodiment. The pedestal 265 may have a heat exchange channel through which a heat exchange fluid flows to control the temperature of the substrate, and the temperature of the substrate may be manipulated during a processing operation to heat and / or cool the substrate or wafer. Embedded resistance heater elements may also be used and resistance heating may include wafer support trays of aluminum, ceramic, or a combination of pedestals 265 to achieve relatively high temperatures, such as from up to or about 100 ° C to above or about 1100 ° C .

面板217可以是金字塔形、圓錐形、或具有窄的頂部部分擴展到寬的底部部分的其他類似結構。如圖所示,附加地,面板217可以是平坦的,並包括用於分配處理氣體的複數個貫通通道。取決於RPS 201的使用,電漿產生氣體及/或電漿激發物質可以穿過面板217中如第2B圖所示的複數個孔洞,以更均勻地遞送到第一電漿區域215中。The panel 217 may be pyramid-shaped, conical, or other similar structures having a narrow top portion extending to a wide bottom portion. As shown, in addition, the panel 217 may be flat and include a plurality of through-channels for distributing processing gas. Depending on the use of the RPS 201, the plasma-producing gas and / or the plasma-exciting substance may pass through the plurality of holes in the panel 217 as shown in FIG. 2B for more uniform delivery into the first plasma region 215.

示例性配置可以包括氣體入口組件205通入由面板217從第一電漿區域215分隔的氣體供應區域258,而使得氣體/物質流經面板217中的孔洞而進入第一電漿區域215。可以選擇結構及操作特徵,以防止來自第一電漿區域215的電漿大量回流到供應區域258、氣體入口組件205、及流體供應系統210中。圖示面板217或者腔室的導電頂部部分以及噴淋頭225,其中絕緣環220係位於特徵之間,以允許相對於噴淋頭225及/或離子消除器223而將AC電位施加到面板217。絕緣環220可以定位於面板217與噴淋頭225及/或離子消除器223之間,以讓電容耦合電漿(CCP)能夠在第一電漿區域中形成。附加地,擋板(未圖示)可以位於第一電漿區域215中,或者另外與氣體入口組件205耦接,以影響流體通過氣體入口組件205進入區域的流動。An exemplary configuration may include the gas inlet assembly 205 accessing a gas supply region 258 separated by the panel 217 from the first plasma region 215 so that gas / substance flows through the holes in the panel 217 into the first plasma region 215. The structure and operating characteristics may be selected to prevent a large amount of plasma from the first plasma region 215 from flowing back into the supply region 258, the gas inlet assembly 205, and the fluid supply system 210. The panel 217 or the conductive top portion of the chamber and the showerhead 225 are shown, with an insulating ring 220 located between the features to allow an AC potential to be applied to the panel 217 relative to the showerhead 225 and / or the ion canceller 223 . The insulating ring 220 may be positioned between the panel 217 and the shower head 225 and / or the ion eliminator 223 so that a capacitive coupling plasma (CCP) can be formed in the first plasma region. Additionally, a baffle (not shown) may be located in the first plasma region 215 or otherwise coupled to the gas inlet assembly 205 to affect the flow of fluid into the region through the gas inlet assembly 205.

離子消除器223可以包含定義貫穿結構的複數個孔隙的板狀或其他幾何形狀,複數個孔隙經配置以消除離開第一電漿區域215的離子帶電物質的遷移,同時允許不帶電荷的中性或自由基物質穿過離子消除器223進入消除器與噴淋頭之間的活性氣體遞送區域。在實施例中,離子消除器223可以包含具有各種孔隙配置的多孔板。這些不帶電荷的物質可以包括利用較少的反應氣體載體運輸通過孔隙的高反應性物質。如上所述,離子物質通過孔洞的遷移可能減少,並在一些情況下完全消除。控制穿過離子消除器223的離子物質的量可以有利地提供增加對於與底下的晶圓基板接觸的氣體混合物的控制,這又可以增加對氣體混合物的沉積及/或蝕刻特性的控制。舉例而言,氣體混合物的離子濃度的調整可以顯著改變其蝕刻選擇性,例如,SiNx:SiOx蝕刻率、Si:SiOx蝕刻率等。在執行沉積的可替代實施例中,亦可以平移介電材料的共形流動式沉積的平衡。The ion eliminator 223 may include a plate-like or other geometry defining a plurality of pores through the structure, the plurality of pores being configured to eliminate migration of ionic charged substances leaving the first plasma region 215 while allowing uncharged neutrality Or the free radical species passes through the ion eliminator 223 into the active gas delivery area between the eliminator and the showerhead. In an embodiment, the ion eliminator 223 may include a multiwell plate having various pore configurations. These uncharged materials may include highly reactive materials that are transported through the pores using less reactive gas carrier. As mentioned above, the migration of ionic species through pores may be reduced and, in some cases, completely eliminated. Controlling the amount of ionic species passing through the ion eliminator 223 may advantageously provide increased control of the gas mixture in contact with the underlying wafer substrate, which in turn may increase control of the deposition and / or etching characteristics of the gas mixture. For example, adjusting the ion concentration of the gas mixture can significantly change its etching selectivity, for example, SiNx: SiOx etching rate, Si: SiOx etching rate, and the like. In an alternative embodiment where the deposition is performed, the balance of the conformal flow deposition of the dielectric material may also be translated.

離子消除器223中的複數個孔隙可經配置以控制活性氣體(亦即,離子、自由基、及/或中性物質)通過離子消除器223的通路。舉例而言,可以控制孔洞的高寬比、或孔洞直徑對長度、及/或孔洞的幾何形狀,而使得穿過離子消除器223的活性氣體中的離子帶電物質的流動減少。離子消除器223中的孔洞可以包括面對電漿激發區域215的錐形部分以及面對噴淋頭225的圓柱形部分。圓柱形部分可以成形及定尺寸,以控制傳到噴淋頭225的離子物質的流動。作為控制離子物質通過消除器的流動的附加手段,亦可以將可調整的電偏壓施加到離子消除器223。The plurality of pores in the ion canceller 223 may be configured to control the passage of the reactive gas (ie, ions, free radicals, and / or neutral substances) through the ion canceller 223. For example, the aspect ratio of the pores, or the diameter of the pores versus the length, and / or the geometry of the pores can be controlled so that the flow of ionic charged substances in the active gas passing through the ion canceller 223 is reduced. The holes in the ion eliminator 223 may include a tapered portion facing the plasma excitation region 215 and a cylindrical portion facing the showerhead 225. The cylindrical portion can be shaped and sized to control the flow of ionic material to the showerhead 225. As an additional means of controlling the flow of ionic species through the eliminator, an adjustable electrical bias can also be applied to the ion eliminator 223.

離子消除器223可以用於減少或消除從電漿產生區域行進到基板的離子帶電物質的量。不帶電的中性及自由基物質仍然可以穿過離子消除器中的開口而與基板反應。應注意,在實施例中,可以不執行在環繞基板的反應區域中的離子帶電物質的完全消除。在某些情況下,離子物質意欲到達基板,以執行蝕刻及/或沉積處理。在這些情況下,離子消除器可以幫助將反應區域中的離子物質濃度控制在有助於處理的層級處。The ion canceller 223 may be used to reduce or eliminate the amount of ionic charged substances traveling to the substrate from the plasma generation area. Uncharged neutral and free radical species can still pass through openings in the ion eliminator and react with the substrate. It should be noted that in the embodiment, the complete elimination of the ion-charged substance in the reaction region surrounding the substrate may not be performed. In some cases, ionic substances are intended to reach the substrate to perform an etching and / or deposition process. In these cases, the ion eliminator can help control the concentration of ionic species in the reaction zone at a level that facilitates processing.

與離子消除器223組合的噴淋頭225可以允許存在於第一電漿區域215的電漿,以避免在基板處理區域233中直接激發氣體,同時仍允許激發物質從腔室電漿區域215行進到基板處理區域233。以此方式,腔室可經配置以防止電漿接觸蝕刻中的基板255。此舉可以有利地保護基板上圖案化的各種複雜結構及膜,若直接與所產生的電漿接觸,則各種複雜結構及膜可能損傷、移位、或以其他方式彎曲。此外,當允許電漿接觸基板或接近基板層級時,可能增加氧化物物質蝕刻的速率。因此,若材料的暴露區域為氧化物,則可以藉由遠端於基板維持電漿來進一步保護此材料。The showerhead 225 combined with the ion eliminator 223 may allow the plasma existing in the first plasma region 215 to avoid direct excitation of the gas in the substrate processing region 233 while still allowing the excited substance to travel from the chamber plasma region 215 Go to the substrate processing area 233. In this manner, the chamber may be configured to prevent the plasma from contacting the substrate 255 during the etching. This can advantageously protect various complex structures and films patterned on the substrate. If they are in direct contact with the generated plasma, the various complex structures and films may be damaged, displaced, or otherwise bent. In addition, when plasma is allowed to contact the substrate or approach the substrate level, the rate of oxide species etching may increase. Therefore, if the exposed area of the material is an oxide, the material can be further protected by maintaining a plasma on the substrate remotely.

處理系統可以進一步包括與處理腔室電耦接的功率供應器240,以提供電功率到面板217、離子消除器223、噴淋頭225、及/或台座265,以在第一電漿區域215或處理區域233中產生電漿。取決於所執行的處理,功率供應器可經配置以向腔室遞送可調整量的功率。這種配置可以允許可調諧電漿用於執行中的處理。與通常呈現為具有開啟或關閉功能的遠端電漿單元不同,可調諧電漿可經配置以向電漿區域215遞送特定量的功率。此舉又可以允許形成特定的電漿特性,而使得前驅物可以利用特定方式解離,以增強由這些前驅物產生的蝕刻輪廓。The processing system may further include a power supply 240 electrically coupled to the processing chamber to provide electrical power to the panel 217, the ion eliminator 223, the shower head 225, and / or the pedestal 265 to provide a first plasma area 215 or Plasma is generated in the processing area 233. Depending on the processing performed, the power supply may be configured to deliver an adjustable amount of power to the chamber. This configuration may allow a tunable plasma to be used for processing in progress. Unlike a remote plasma unit, which typically appears to have an on or off function, a tunable plasma can be configured to deliver a specific amount of power to the plasma area 215. This can in turn allow the formation of specific plasma characteristics, so that the precursors can be dissociated in a specific way to enhance the etch profile produced by these precursors.

可以在噴淋頭225上方的腔室電漿區域215或噴淋頭225下方的基板處理區域233中激發電漿。在實施例中,形成於基板處理區域233中的電漿可以是利用作為電極的台座形成的DC偏壓電漿。電漿可以存在於腔室電漿區域215中,以從例如含氟前驅物或其他前驅物的流入產生自由基前驅物。典型地,在射頻(RF)範圍中的AC電壓可以施加於處理腔室的導電頂部部分(例如,面板217)與噴淋頭225及/或離子消除器223之間,以在沉積期間激發腔室電漿區域215中的電漿。RF功率供應器可以產生13.56MHz的高RF頻率,但亦可以單獨產生其他頻率或與13.56MHz頻率組合產生其他頻率。The plasma may be excited in a chamber plasma region 215 above the shower head 225 or a substrate processing region 233 below the shower head 225. In an embodiment, the plasma formed in the substrate processing region 233 may be a DC bias plasma formed using a pedestal as an electrode. Plasma may be present in the chamber plasma region 215 to generate free radical precursors from, for example, influx of fluorine-containing precursors or other precursors. Typically, an AC voltage in the radio frequency (RF) range may be applied between the conductive top portion of the processing chamber (eg, panel 217) and the showerhead 225 and / or ion canceller 223 to excite the chamber during deposition The plasma in the chamber plasma region 215. The RF power supply can generate a high RF frequency of 13.56MHz, but it can also generate other frequencies alone or in combination with 13.56MHz.

2B 圖示影響通過面板217的處理氣體分佈的特徵的詳細視圖253。如第2A圖及第2B圖所示,面板217、冷卻板203、及氣體入口組件205相交,以定義氣體供應區域258,其中處理氣體可以從氣體入口205遞送進入氣體供應區域258。氣體可以填充氣體供應區域258,並通過面板217中的孔隙259流到第一電漿區域215。孔隙259可經配置以基本上單向的方式引導流動,而使得處理氣體可以流入處理區域233中,但是在穿過面板217之後可以被部分或完全防止回流到氣體供應區域258中。 FIG . 2B illustrates a detailed view 253 of the characteristics affecting the distribution of the process gas through the panel 217. As shown in FIG. 2A and FIG. 2B, the panel 217, the cooling plate 203, and the gas inlet assembly 205 intersect to define a gas supply region 258, in which a process gas can be delivered from the gas inlet 205 into the gas supply region 258. The gas may fill the gas supply region 258 and flow through the pores 259 in the panel 217 to the first plasma region 215. The apertures 259 may be configured to direct the flow in a substantially unidirectional manner so that the process gas may flow into the processing region 233, but may be partially or completely prevented from flowing back into the gas supply region 258 after passing through the panel 217.

氣體分配組件(例如,用於處理腔室區段200的噴淋頭225)可以指稱為雙通道噴淋頭(DCSH),並附加地在第3圖所述的實施例中詳細說明。雙通道噴淋頭可以提供蝕刻處理,以允許在處理區域233之外分離蝕刻劑,以在遞送到處理區域之前提供與腔室部件及彼此間的受限的相互作用。The gas distribution assembly (for example, the shower head 225 for the processing chamber section 200) may be referred to as a dual-channel shower head (DCSH) and is additionally explained in detail in the embodiment described in FIG. The dual channel showerhead may provide an etching process to allow the etchant to be separated outside the processing area 233 to provide limited interaction with the chamber components and each other before delivery to the processing area.

噴淋頭225可以包含上板214及下板216。這些板可以彼此耦接,以定義這些板之間的容積218。板的耦接可以提供通過上及下板的第一流體通道219以及通過下板216的第二流體通道221。所形成的通道可經配置以提供從容積218單獨經由第二流體通道221通過下板216的流體出入口,而第一流體通道219可以流體隔離於板與第二流體通道221之間的容積218。容積218可以通過氣體分配組件225的一側流體出入。The shower head 225 may include an upper plate 214 and a lower plate 216. The plates can be coupled to each other to define a volume 218 between the plates. The coupling of the plates may provide a first fluid passage 219 through the upper and lower plates and a second fluid passage 221 through the lower plate 216. The formed channel may be configured to provide fluid access from the volume 218 through the lower plate 216 via the second fluid channel 221 alone, while the first fluid channel 219 may be fluidly isolated from the volume 218 between the plate and the second fluid channel 221. The volume 218 can be accessed by fluid from one side of the gas distribution assembly 225.

3 係為根據實施例的與處理腔室一起使用的噴淋頭325的頂視圖。噴淋頭325可以對應於第2A圖所示的噴淋頭225。通孔365(圖示第一流體通道219的視圖)可以具有複數種形狀及配置,以控制及影響前驅物通過噴淋頭225的流動。小孔洞375(圖示第二流體通道221的視圖)可以基本均勻地分佈在噴淋頭的表面上(即使在通孔365中),並且可以有助於前驅物在離開噴淋頭時提供比其他配置更均勻的混合。 FIG . 3 is a top view of a shower head 325 for use with a processing chamber according to an embodiment. The shower head 325 may correspond to the shower head 225 shown in FIG. 2A. The through hole 365 (view illustrating the first fluid channel 219) may have a plurality of shapes and configurations to control and influence the flow of the precursor through the shower head 225. The small holes 375 (showing the view of the second fluid channel 221) can be distributed substantially evenly on the surface of the showerhead (even in the through-holes 365), and can help the precursor to provide a specific Other configurations mix more evenly.

轉到 4 ,圖示根據本技術的一或更多個實施例的原子層沉積系統400或反應器的示意性橫截面圖。系統400可以包括裝載閘腔室10與處理腔室20。處理腔室20通常可以是可密封的外殼,而可以在真空或至少低壓下操作。處理腔室20可以藉由隔離閥15與裝載閘腔室10隔離。隔離閥15可以將處理腔室20與裝載閘腔室10密封於關閉位置,並可允許在打開位置時將基板60從裝載閘腔室10通過閥轉移至處理腔室20,反之亦然。Turning to FIG . 4 , a schematic cross-sectional view of an atomic layer deposition system 400 or a reactor according to one or more embodiments of the present technology is illustrated. The system 400 may include a load lock chamber 10 and a processing chamber 20. The processing chamber 20 may generally be a sealable enclosure and may be operated under vacuum or at least low pressure. The processing chamber 20 may be isolated from the load lock chamber 10 by an isolation valve 15. The isolation valve 15 may seal the processing chamber 20 and the loading lock chamber 10 in a closed position, and may allow the substrate 60 to be transferred from the loading lock chamber 10 to the processing chamber 20 through a valve in the open position, and vice versa.

系統400可包括氣體分配板30,氣體分配板30能夠跨越基板60分配一或更多種氣體。氣體分配板30可以是該領域具有通常知識者已知的任何合適的分配板,且所述之特定氣體分配板不應視為限制本技術之範疇。氣體分配板30之輸出面可以面向基板60的第一表面61。The system 400 may include a gas distribution plate 30 capable of distributing one or more gases across the substrate 60. The gas distribution plate 30 may be any suitable distribution plate known to those having ordinary skill in the art, and the specific gas distribution plate described should not be considered as limiting the scope of the technology. The output surface of the gas distribution plate 30 may face the first surface 61 of the substrate 60.

氣體分配板30可以包括複數個氣體埠與複數個真空埠,複數個氣體埠經配置以傳送一或更多個氣體流到基板60,而複數個真空埠係設置於每一氣體埠之間,並經配置以傳送氣體流到處理腔室20之外。如第4圖所示,氣體分配板30可以包括第一前驅物注射器420、第二前驅物注射器430、及吹掃氣體注射器440。注射器420、430、440可藉由系統電腦(未圖示)(例如,主機)控制,或藉由腔室特定控制器(例如,可程式化邏輯控制器)控制。前驅物注射器420可經配置以將化合物A的反應前驅物之連續或脈衝流注射通過複數個氣體埠425進入處理腔室20。前驅物注射器430可經配置以將化合物B的反應前驅物之連續或脈衝流注射通過複數個氣體埠435進入處理腔室20。吹掃氣體注射器440可經配置以將無反應性或吹掃氣體之連續或脈衝流注射通過複數個氣體埠445進入處理腔室20。吹掃氣體可經配置以從處理腔室20移除反應材料及反應副產物。吹掃氣體典型係為惰性氣體,例如,氮氣、氬氣、及氦氣。氣體埠445可設置於氣體埠425及氣體埠435之間,以從化合物B之前軀物分離化合物A之前驅物,藉此避免前驅物之間的交叉汙染。The gas distribution plate 30 may include a plurality of gas ports and a plurality of vacuum ports. The plurality of gas ports are configured to transmit one or more gas flows to the substrate 60, and the plurality of vacuum ports are disposed between each gas port. It is configured to convey a gas flow outside the processing chamber 20. As shown in FIG. 4, the gas distribution plate 30 may include a first precursor syringe 420, a second precursor syringe 430, and a purge gas syringe 440. The injectors 420, 430, 440 may be controlled by a system computer (not shown) (eg, a host), or by a chamber-specific controller (eg, a programmable logic controller). The precursor injector 420 may be configured to inject a continuous or pulsed stream of the reactive precursor of the compound A into the processing chamber 20 through a plurality of gas ports 425. The precursor injector 430 may be configured to inject a continuous or pulsed stream of a reactive precursor of the compound B into the processing chamber 20 through a plurality of gas ports 435. The purge gas injector 440 may be configured to inject a continuous or pulsed stream of non-reactive or purge gas through the plurality of gas ports 445 into the processing chamber 20. The purge gas may be configured to remove reaction materials and reaction byproducts from the processing chamber 20. The purge gas is typically an inert gas, such as nitrogen, argon, and helium. The gas port 445 may be disposed between the gas port 425 and the gas port 435 to separate the precursor of the compound A from the precursor of the compound B, thereby avoiding cross-contamination between the precursors.

在另一態樣中,在將前驅物注射進入處理腔室20之前,遠端電漿源(未圖示)可連接至前驅物注射器420及前驅物注射器430。可以藉由將電場施加到遠端電漿源內的化合物來產生反應物質之電漿。可以使用能夠活化所意欲化合物的任何功率源。舉例而言,使用DC、射頻、及微波型放電技術的功率源可以使用。若使用RF功率源,則可以電容性或電感性耦接。亦可以藉由熱基礎技術、氣體解離技術、高強度光源(例如,紫外光源)、或暴露於x射線源來產生活化。In another aspect, a remote plasma source (not shown) may be connected to the precursor injector 420 and the precursor injector 430 before the precursor is injected into the processing chamber 20. The plasma of the reactive material can be generated by applying an electric field to a compound in a remote plasma source. Any power source capable of activating the desired compound can be used. For example, power sources using DC, RF, and microwave discharge technologies can be used. If an RF power source is used, it can be coupled capacitively or inductively. Activation can also be generated by thermal-based technology, gas dissociation technology, high-intensity light sources (eg, ultraviolet light sources), or exposure to x-ray sources.

系統400可以進一步包括連接至處理腔室20的泵送系統450。泵送系統450大致上可經配置以通過一或更多個真空埠455將氣體流抽空到處理腔室20之外。真空埠455可設置於每一氣體埠之間,以在氣體流與基板表面反應之後將氣體流抽空到處理腔室20之外,並進一步限制前驅物之間的交叉汙染。The system 400 may further include a pumping system 450 connected to the processing chamber 20. The pumping system 450 may be generally configured to evacuate a gas flow outside the processing chamber 20 through one or more vacuum ports 455. A vacuum port 455 may be disposed between each gas port to evacuate the gas flow outside the processing chamber 20 after the gas flow reacts with the substrate surface, and further limit cross-contamination between precursors.

系統400可包括設置於處理腔室20上並在每一埠之間的複數個分區460。每一分區的下部可以延伸靠近基板60的第一表面61(例如,距離第一表面61約0.5mm或更多)。以此方式,分區460的下部可以從基板表面分離一距離,該距離足以允許氣體流在氣體流與基板表面反應之後,流動環繞下部而朝向真空埠455。箭頭498指示氣體流的方向。由於分區460可操作而作為對於氣體流的物理阻隔,所以分區460亦可限制前驅物之間的交叉汙染。所示之配置僅為說明性,且不應視為限制本技術之範疇。該領域具有通常知識者將理解,所示之氣體分配系統僅為一種可能的分配系統,並且可以採用其他類型的噴淋頭。The system 400 may include a plurality of partitions 460 disposed on the processing chamber 20 and between each port. The lower portion of each partition may extend close to the first surface 61 of the substrate 60 (eg, about 0.5 mm or more from the first surface 61). In this manner, the lower portion of the partition 460 may be separated from the substrate surface by a distance sufficient to allow the gas flow to flow around the lower portion and toward the vacuum port 455 after the gas flow reacts with the substrate surface. Arrow 498 indicates the direction of the gas flow. Since zone 460 is operable as a physical barrier to gas flow, zone 460 can also limit cross-contamination between precursors. The configurations shown are merely illustrative and should not be considered as limiting the scope of the technology. Those of ordinary skill in the art will understand that the gas distribution system shown is only one possible distribution system, and other types of sprinklers may be used.

在操作中,可以將基板60(例如,藉由機器人)遞送到裝載閘腔室10,並可放置於梭子65上。在隔離閥15打開之後,梭子65可以沿著軌道70移動。一旦梭子65進入處理腔室20,隔離閥15可以關閉,以將處理腔室20密封。然後,梭子65可以移動通過處理腔室20,以進行處理。在一個實施例中,梭子65可以在線性路徑中移動通過腔室。In operation, the substrate 60 can be delivered (eg, by a robot) to the loading gate chamber 10 and can be placed on a shuttle 65. After the isolation valve 15 is opened, the shuttle 65 can be moved along the rail 70. Once the shuttle 65 enters the processing chamber 20, the isolation valve 15 may be closed to seal the processing chamber 20. The shuttle 65 can then be moved through the processing chamber 20 for processing. In one embodiment, the shuttle 65 can move through the chamber in a linear path.

隨著基板60移動通過處理腔室20,基板60的第一表面61可以重複暴露到來自氣體埠425的化合物A的前驅物及來自氣體埠435的化合物B的前驅物,其間具有來自氣體埠445的吹掃氣體。吹掃氣體的注入可經設計以在將基板表面61暴露至下一個前驅物之前,移除來自先前前驅物的未反應材料。在對各種氣體流的每一暴露之後,氣體流可以藉由泵送系統450通過真空埠455抽空。由於在每一氣體埠的兩側可以設置真空埠,所以氣體流可以通過在兩側的真空埠455抽空。因此,氣體流可以從個別氣體埠垂直向下流動朝向基板60的第一表面61,跨越第一表面410且環繞分區460之下部,而最後向上朝向真空埠455。以此方式,每一氣體可以均勻地分佈跨越基板表面61。亦可在暴露至各種氣體流時旋轉基板60。基板的旋轉可以對於防止在所形成的層中形成條帶是有用的。基板的旋轉可以是連續或是分開的步驟。As the substrate 60 moves through the processing chamber 20, the first surface 61 of the substrate 60 may be repeatedly exposed to the precursor of the compound A from the gas port 425 and the precursor of the compound B from the gas port 435 with the gas port 445 in between. Purge gas. The purge gas injection can be designed to remove unreacted material from the previous precursor before exposing the substrate surface 61 to the next precursor. After each exposure to the various gas streams, the gas stream can be evacuated by the pumping system 450 through the vacuum port 455. Since vacuum ports can be provided on both sides of each gas port, the gas flow can be evacuated through the vacuum ports 455 on both sides. Therefore, the gas flow can flow vertically downward from the individual gas ports toward the first surface 61 of the substrate 60, span the first surface 410 and surround the lower portion of the partition 460, and finally face the vacuum port 455 upward. In this manner, each gas can be uniformly distributed across the substrate surface 61. The substrate 60 may also be rotated when exposed to various gas flows. The rotation of the substrate may be useful to prevent the formation of stripes in the formed layer. The rotation of the substrate can be a continuous or separate step.

可以藉由例如從氣體埠出來的每一氣體的流動速率及基板60的移動速率來決定基板表面61暴露至每一氣體的程度。在一個實施例中,每一氣體的流動速率可經配置,而不會從基板表面61移除所吸收的前驅物。每一分區之間的寬度、設置於處理腔室20上的氣體埠之數量、及基板可能來回傳遞的次數亦可決定基板表面61暴露至各種氣體的程度。因此,沉積膜的數量與品質可藉由變化上述因子來最佳化。The degree to which the substrate surface 61 is exposed to each gas can be determined by, for example, the flow rate of each gas from the gas port and the movement rate of the substrate 60. In one embodiment, the flow rate of each gas may be configured without removing absorbed precursors from the substrate surface 61. The width between each partition, the number of gas ports provided on the processing chamber 20, and the number of times the substrate may be transferred back and forth may also determine the degree to which the substrate surface 61 is exposed to various gases. Therefore, the quantity and quality of the deposited film can be optimized by changing the above factors.

在另一實施例中,系統400可以包括前驅物注入器420與前驅物注入器430,而沒有吹掃氣體注入器440。因此,隨著基板60移動通過處理腔室20,基板表面61可以交替地暴露於化合物A的前驅物與化合物B的前驅物,而不會暴露於其間的吹掃氣體。In another embodiment, the system 400 may include a precursor injector 420 and a precursor injector 430 without a purge gas injector 440. Therefore, as the substrate 60 moves through the processing chamber 20, the substrate surface 61 may be alternately exposed to the precursor of the compound A and the precursor of the compound B without being exposed to the purge gas therebetween.

第4圖所示的實施例具有在基板上方的氣體分配板30。儘管已經針對此直立定向描述及圖示實施例,但應理解,相反的定向亦是可能的。在那種情況下,基板60的第一表面61可以面朝下,而朝向基板流動的氣體可以引導朝上。在一或更多個實施例中,至少一個輻射熱源90可以定位成加熱基板的第二側。The embodiment shown in FIG. 4 includes a gas distribution plate 30 above the substrate. Although embodiments have been described and illustrated for this upright orientation, it should be understood that the opposite orientation is also possible. In that case, the first surface 61 of the substrate 60 may face downward, and the gas flowing toward the substrate may be directed upward. In one or more embodiments, at least one radiant heat source 90 may be positioned to heat the second side of the substrate.

在一些實施例中,梭子65可以是用於承載基板60的基座66。通常,基座66可以是有助於跨越基板形成均勻溫度的載體。基座66可以相對於第4圖的佈置在裝載閘腔室10與處理腔室20之間在左到右及左到右的兩個方向上移動。基座66可以具有用於承載基板60的頂表面67。基座66可以是經加熱的基座,而使得基板60可以加熱以用於處理。作為實例,可以藉由設置在基座66下方的輻射熱源90、加熱板、電阻線圈、或其他加熱裝置來加熱基座66。儘管圖示為橫向轉換,但系統400的實施例亦可用於旋轉式系統,其中輪狀物可以順時針或逆時針旋轉,以連續加工位於所示氣體分配系統下方的一或更多個基板。應類似地理解,附加修改係包括在本技術中。In some embodiments, the shuttle 65 may be a base 66 for carrying the substrate 60. Generally, the pedestal 66 may be a carrier that helps to form a uniform temperature across the substrate. The base 66 can be moved in the left-to-right and left-to-right directions between the loading lock chamber 10 and the processing chamber 20 relative to the arrangement of FIG. 4. The base 66 may have a top surface 67 for carrying the substrate 60. The pedestal 66 may be a heated pedestal such that the substrate 60 may be heated for processing. As an example, the susceptor 66 may be heated by a radiant heat source 90, a heating plate, a resistance coil, or other heating device disposed below the susceptor 66. Although illustrated as a lateral conversion, an embodiment of the system 400 can also be used in a rotary system where the wheels can be rotated clockwise or counterclockwise to continuously process one or more substrates below the illustrated gas distribution system. It should be similarly understood that additional modifications are included in the present technology.

5 圖示形成半導體結構的方法500,其中許多操作可以執行於例如前述腔室200及400中。方法500可以包括在開始該方法之前的一或更多個操作,而包括前端處理、沉積、蝕刻、研磨、清潔、或可以在所述操作之前執行的任何其他操作。該方法可以包括圖式中所示的多個可選擇操作,其可以或可以不特別與根據本技術的方法相關聯。舉例而言,為了提供更廣泛的結構形成範圍而描述許多操作,但是對於該技術而言並非關鍵,或者可以藉由替代方法來執行,這將在下面進一步討論。方法500描述 6A 圖至第 6C 中示意性圖示的操作,將結合方法500的操作而描述其說明。應理解,第6圖僅圖示局部示意圖,而基板可以包含任何數量的具有如圖式中所示的態樣的電晶體區段。 FIG . 5 illustrates a method 500 of forming a semiconductor structure, many of which can be performed in, for example, the aforementioned chambers 200 and 400. The method 500 may include one or more operations before starting the method, and include front-end processing, deposition, etching, grinding, cleaning, or any other operation that may be performed before the operations. The method may include a number of selectable operations as shown in the drawings, which may or may not be specifically associated with a method according to the present technology. For example, many operations are described in order to provide a wider range of structure formation, but are not critical to the technology or can be performed by alternative methods, which will be discussed further below. Method 500 is described first. 6A through 6C schematically illustrated in FIG operation of the method 500 in conjunction with the operation described in the description. It should be understood that FIG. 6 illustrates only a partial schematic diagram, and the substrate may include any number of transistor sections having a state as shown in the drawing.

方法500可以涉及在具有多個暴露區域的基板上執行的操作,例如在包括進一步發展以產生3D NAND結構的區域的基板上。如第6A圖所示,圖示包括基板605的經處理的結構600的一部分,可以具有覆蓋基板的複數個堆疊層,而可以是矽、矽化鍺、或其他基板材料。該等層可以包括用於產生包括具有金屬材料620(可以是鎢、鈷或、其他低電阻率金屬)的交替層中的介電材料610(可以是氧化物(例如,氧化矽))的記憶體節點的層。儘管僅圖示7層材料,但是示例性結構可以包括任何數量的層,例如達到或大於約10、大於或約15、大於或約20、大於或約25、大於或約30、大於或約35、大於或約40、大於或約45、大於或約50、大於或約55、大於或約60、大於或約65、大於或約70、大於或約80、大於或約90、大於或約一百、或更多層材料。The method 500 may involve operations performed on a substrate having a plurality of exposed regions, such as on a substrate including a region further developed to produce a 3D NAND structure. As shown in FIG. 6A, a portion of the processed structure 600 including the substrate 605 is illustrated, and may have a plurality of stacked layers covering the substrate, and may be silicon, germanium silicide, or other substrate materials. The layers may include a memory for producing a dielectric material 610 (which may be an oxide (eg, silicon oxide)) including an alternating layer having a metallic material 620 (which may be tungsten, cobalt, or other low-resistivity metal). Body node layer. Although only 7 layers of material are illustrated, the exemplary structure may include any number of layers, such as up to or greater than about 10, greater than or about 15, greater than or about 20, greater than or about 25, greater than or about 30, greater than or about 35 , Greater than or about 40, greater than or about 45, greater than or about 50, greater than or about 55, greater than or about 60, greater than or about 65, greater than or about 70, greater than or about 80, greater than or about 90, greater than or about one Hundreds or more layers of material.

溝道630(可以是記憶體孔洞)可以通過堆疊結構而定義到基板605的層級。可以藉由側壁632定義溝道630,側壁632可以由介電材料610與金屬材料620的交替層構成。儘管其餘的揭示將討論鎢及氧化矽,但是具有類似操作特性的任何其他已知材料可以替代一或更多個層。這些操作中的一些或所有者可以在前述的腔室或系統工具中執行,或者可以在相同系統工具上的不同腔室中執行,系統工具可以包括執行方法400的操作的腔室。The channel 630 (which may be a memory hole) may be defined to a level of the substrate 605 through a stacked structure. The channel 630 may be defined by a sidewall 632, and the sidewall 632 may be composed of alternating layers of a dielectric material 610 and a metal material 620. Although the rest of the disclosure will discuss tungsten and silicon oxide, any other known material with similar operating characteristics can replace one or more layers. Some or the owners of these operations may be performed in the aforementioned chamber or system tool, or may be performed in different chambers on the same system tool, and the system tool may include a chamber to perform the operations of method 400.

方法500最初可以包括如第6B圖所示的使金屬材料620凹陷之步驟。可以在類似於先前描述的腔室200的蝕刻腔室中使金屬材料620凹陷。在一些實施例中,可以執行濕式蝕刻或其他乾式蝕刻。然而,以下描述從記憶體孔洞內橫向移除鎢或金屬材料的一種可能的加工。一旦定位於半導體處理腔室的處理區域內,該方法可以包括在操作505處形成處理腔室的遠端電漿區域中的含氟前驅物的電漿。遠端電漿區域可以與處理區域流體耦合,但是可以物理分隔,以將電漿限制在基板層級處,這可能損傷暴露的結構或材料。The method 500 may initially include the step of recessing the metallic material 620 as shown in FIG. 6B. The metallic material 620 may be recessed in an etching chamber similar to the chamber 200 described previously. In some embodiments, wet etching or other dry etching may be performed. However, the following describes one possible process for laterally removing tungsten or metallic materials from within the memory holes. Once positioned within the processing region of the semiconductor processing chamber, the method may include forming a plasma of a fluorine-containing precursor in a distal plasma region of the processing chamber at operation 505. The distal plasma region can be fluidly coupled to the processing region, but can be physically separated to confine the plasma at the substrate level, which can damage exposed structures or materials.

電漿的流出物可以流入處理區域,而可以在操作510處與半導體基板接觸。在操作515處,可以相對於介電材料610的暴露區域橫向選擇性蝕刻金屬材料。橫向蝕刻可以通過溝道(例如,記憶體孔洞)執行,並且可以沿著金屬材料620(例如,鎢)的每一層的暴露部分發生於溝道內的側壁。在一些實施例中,橫向蝕刻可以在金屬材料層上選擇性執行,並且可以基本上維持氧化矽或其他介電材料的插入層。此外,如圖所示,可以在金屬材料620的多個表面(例如,從多個溝道或記憶體孔洞出入的相對側)上執行蝕刻。在橫向蝕刻操作結束之前,方法500可以在示例性操作中從溝道的側壁以及介電材料610的暴露層之間橫向蝕刻金屬材料小於50nm。在一些實施例中,方法500可以橫向蝕刻金屬材料小於或約45nm、小於或約40nm、小於或約35nm、小於或約30nm、小於或約25nm、小於或約20nm、小於或約15nm、小於或約10nm、小於或約9nm、小於或約8nm、小於或約7nm、小於或約6nm、小於或約5nm、小於或約4nm、小於或約3nm、小於或約2nm、小於或約1nm、或更小。The effluent of the plasma may flow into the processing area and may be in contact with the semiconductor substrate at operation 510. At operation 515, the metallic material may be selectively etched laterally with respect to the exposed area of the dielectric material 610. The lateral etch may be performed through a channel (eg, a memory hole) and may occur along the exposed portion of each layer of the metallic material 620 (eg, tungsten) on the sidewalls within the channel. In some embodiments, the lateral etch may be selectively performed on the metal material layer and may substantially maintain an intervening layer of silicon oxide or other dielectric material. Further, as shown, etching may be performed on multiple surfaces of the metal material 620 (eg, opposite sides in and out of multiple channels or memory holes). Prior to the end of the lateral etch operation, the method 500 may etch the metal material laterally from the sidewalls of the channel and between the exposed layers of the dielectric material 610 to less than 50 nm in an exemplary operation. In some embodiments, the method 500 may etch a metal material laterally less than or about 45 nm, less than or about 40 nm, less than or about 35 nm, less than or about 30 nm, less than or about 25 nm, less than or about 20 nm, less than or about 15 nm, less than or About 10nm, less than or about 9nm, less than or about 8nm, less than or about 7nm, less than or about 6nm, less than or about 5nm, less than or about 4nm, less than or about 3nm, less than or about 2nm, less than or about 1nm, or more small.

方法500可以涉及減少蝕刻速率,以允許發生更完整的擴散,而有助於提供從頂部到底部更均勻的蝕刻。沒有下面描述的技術,在溝道頂部處或接近溝道頂部的金屬材料區域可能在底部區域之前開始蝕刻。然後,此舉可能在溝道內產生輪廓,例如從溝道的頂部到底部的金屬材料層的V形輪廓。舉例而言,當混合物中的氟的量增加或溫度升高時,可能發生此情況。The method 500 may involve reducing the etch rate to allow more complete diffusion to occur while helping to provide a more uniform etch from top to bottom. Without the technique described below, regions of metallic material at or near the top of the channel may begin to etch before the bottom region. This may then create a profile within the channel, such as a V-shaped profile of the metal material layer from the top to the bottom of the channel. This can happen, for example, when the amount of fluorine in the mixture increases or the temperature increases.

在利用習知的乾式技術的情況下,因為可以執行橫向蝕刻的溝道或記憶體孔洞的高的高寬比,所以V形輪廓可能無法避免。示例性溝道的直徑或寬度可以是幾十個奈米或更小,而溝道的高度可以是幾微米或更多。此舉可能產生大於20:1、大於50:1、大於75:1、大於100:1、或甚至更大的高寬比或是高度對寬度比。因此,在實施例中,可以在每一溝道內形成並處理超過25層、超過50層、超過75層、或超過一百層的交替金屬材料與介電材料。In the case of using a conventional dry technique, a V-shaped profile may be unavoidable because a high aspect ratio of a channel or a memory hole that can perform lateral etching. The diameter or width of an exemplary channel may be tens of nanometers or less, while the height of the channel may be several micrometers or more. This may result in an aspect ratio greater than 20: 1, greater than 50: 1, greater than 75: 1, greater than 100: 1, or even greater, or a height-to-width ratio. Therefore, in embodiments, more than 25 layers, more than 50 layers, more than 75 layers, or more than one hundred layers of alternating metal materials and dielectric materials can be formed and processed in each channel.

因為乾的或氣體蝕刻劑可以行進更大的長度,甚至在蝕刻劑已經到達溝道的底部之前,溝道的頂部區域可能暴露於顯著量的蝕刻劑。以此方式,位於溝道的上部區域的金屬材料可能比溝道的底部處的部分蝕刻更多。儘管濕式蝕刻技術可以更均勻地蝕刻金屬材料層,但是由於蝕刻劑的性質及停留時間,蝕刻可能無法小於、達到、或約10或更多奈米。因此,與本技術不同,習知技術可能無法從每一金屬材料層精細地蝕刻一定量的材料(例如僅幾奈米),並且無法在整個溝道中產生平坦或基本類似的經蝕刻金屬材料的輪廓。然而,本技術可以藉由所討論的任何方式限制蝕刻劑來補償更大的擴散路徑,以允許發生更均勻的蝕刻處理。Because dry or gaseous etchant can travel a greater length, the top area of the trench may be exposed to a significant amount of etchant even before the etchant has reached the bottom of the trench. In this way, the metal material located in the upper region of the channel may be etched more than a portion at the bottom of the channel. Although the wet etching technology can etch the metal material layer more uniformly, due to the nature and dwell time of the etchant, the etching may not be smaller than, reach, or about 10 or more nanometers. Therefore, unlike this technique, conventional techniques may not be able to finely etch a certain amount of material from each metal material layer (for example, only a few nanometers), and may not produce a flat or substantially similar etched metal material in the entire channel. profile. However, the present technique can compensate for larger diffusion paths by restricting the etchant in any manner discussed to allow more uniform etch processing to occur.

處理條件亦可以影響根據本技術的方法500及其他蝕刻方法中所執行的操作。可以在實施例中的恆定溫度期間執行方法500的操作中之每一者,而在一些實施例中,可以在不同操作期間調整溫度。舉例而言,在實施例中,橫向蝕刻操作515期間的基板、台座、或腔室溫度可以維持在約-100℃與約100℃之間。溫度亦可維持在低於或約80℃、低於或約60℃、低於或約40℃、低於或約20℃、低於或約0℃、低於或約-20℃、低於或約-40℃、或更低。溫度可以影響蝕刻處理本身,而較高的溫度可以產生較高的蝕刻速率、增加的蝕刻、或其他影響。類似地,較低的溫度可以減緩蝕刻操作,並允許改善的擴散。因此,在一些實施例中,維持低於或約50℃或是低於或約0℃的溫度可以在溝道的頂部處與溝道的底部處提供更均勻的金屬材料的蝕刻量。隨著溫度升高,蝕刻操作可以附加地開始影響介電質區域,並可以造成介電材料(例如,氧化矽)的暴露轉角或區域的輕微圓化。Processing conditions may also affect operations performed in the method 500 and other etching methods according to the present technology. Each of the operations of method 500 may be performed during a constant temperature in an embodiment, and in some embodiments, the temperature may be adjusted during different operations. For example, in an embodiment, the substrate, pedestal, or chamber temperature during the lateral etching operation 515 may be maintained between about -100 ° C and about 100 ° C. The temperature can also be maintained below or about 80 ° C, below or about 60 ° C, below or about 40 ° C, below or about 20 ° C, below or about 0 ° C, below or about -20 ° C, below Or about -40 ° C, or lower. Temperature can affect the etch process itself, while higher temperatures can result in higher etch rates, increased etch, or other effects. Similarly, lower temperatures can slow the etch operation and allow improved diffusion. Therefore, in some embodiments, maintaining a temperature below or about 50 ° C or below or about 0 ° C may provide a more uniform amount of etched metal material at the top of the channel and at the bottom of the channel. As the temperature increases, the etch operation can additionally begin to affect the dielectric region and can cause exposed corners or slightly rounded regions of the dielectric material (eg, silicon oxide).

腔室內的壓力亦可以影響所執行的操作,而在實施例中,腔室壓力可以維持在低於約10Torr、低於約5Torr、或低於約1Torr。在實施例中,低於或約1Torr的壓力可以允許前驅物或電漿流出物更容易流入溝道或記憶體孔洞中。然而,當壓力降低到低於約0.5Torr時,遠端電漿可能受到影響,並可能具有降低的穩定性或可能變得不穩定。如前所述,遠端電漿可以包括RPS單元,且亦可以是與腔室的處理區域物理分隔的腔室的區域或部分,以限制或消除基板層級處的電漿。在利用RPS單元的一些實施例中,針對具有腔室內的較低壓力的電漿穩定性,可以利用扼流器來維持RPS單元內的較高壓力,以用於改善前驅物或電漿流出物的溝道內流動。因此,渦輪分子泵可以用於腔室中,以允許腔室壓力降至幾毫Torr,同時RPS維持在高於0.6Torr或約0.6Torr。The pressure in the chamber can also affect the operations performed, and in embodiments, the chamber pressure can be maintained below about 10 Torr, below about 5 Torr, or below about 1 Torr. In embodiments, pressures below or about 1 Torr may allow precursors or plasma effluents to flow more easily into channels or memory holes. However, when the pressure decreases below about 0.5 Torr, the distal plasma may be affected and may have reduced stability or may become unstable. As mentioned earlier, the remote plasma may include an RPS unit, and may also be an area or portion of a chamber that is physically separated from the processing area of the chamber to limit or eliminate the plasma at the substrate level. In some embodiments using an RPS unit, for plasma stability with lower pressure in the chamber, a choke can be used to maintain higher pressure in the RPS unit for improving precursors or plasma effluent Flowing in the channel. Therefore, a turbomolecular pump can be used in the chamber to allow the chamber pressure to be reduced to a few milli Torr while the RPS is maintained above 0.6 Torr or about 0.6 Torr.

可以調整腔室條件、流率比、及其他操作特性,以執行金屬材料的受控蝕刻。舉例而言,取決於待沉積的材料的厚度,來自溝道側壁的金屬材料的每一區域可以橫向蝕刻到小於或約10nm的距離或深度,或任何前述厚度。在實施例中,金屬材料的每一層可以蝕刻到距離溝道側壁的深度或距離在約1nm與約7nm之間,或在約2nm與約6nm之間。Chamber conditions, flow rate ratios, and other operating characteristics can be adjusted to perform controlled etching of metallic materials. For example, depending on the thickness of the material to be deposited, each region of the metallic material from the channel sidewalls can be etched laterally to a distance or depth of less than or about 10 nm, or any of the foregoing thicknesses. In an embodiment, each layer of the metal material may be etched to a depth or distance from the channel sidewall between about 1 nm and about 7 nm, or between about 2 nm and about 6 nm.

藉由執行根據本技術的操作,可以相對於蝕刻劑材料的擴散能力減少蝕刻功率,這可以允許在溝道或記憶體孔洞內暴露的每一金屬材料區域處執行更均勻的、基本上均勻的、或實質上均勻的蝕刻。在實施例中,在溝道或記憶體孔洞的頂部處或接近溝道或記憶體孔洞的頂部的金屬材料區域(例如,距離頂部2層內、4層內、6層內、8層內、10層內、或更多)的從側壁測量的所蝕刻的材料量可以與記憶體孔洞的溝道的底部處或接近記憶體孔洞的溝道的底部的金屬材料層或區域(例如,距離底部2層內、4層內、6層內、8層內、10層內,或更多)類似。By performing an operation according to the present technology, the etching power can be reduced relative to the diffusion ability of the etchant material, which can allow a more uniform, substantially uniform, execution at each metal material region exposed within the channel or memory hole. Or substantially uniform etching. In an embodiment, a region of metallic material at or near the top of a channel or memory hole (eg, within 2 layers, 4 layers, 6 layers, 8 layers, Within 10 layers, or more), the amount of etched material measured from the side wall may be at or near the bottom of the channel of the memory hole, or a metal material layer or region (eg, from the bottom) 2 floors, 4 floors, 6 floors, 8 floors, 10 floors, or more).

取決於該結構內已蝕刻溝道或記憶體孔洞的堆疊層的總數,所比較的兩個層可以藉由至少1層、至少5層、至少11層、至少21層、至少51層、或更多而分開。就所蝕刻的上層而言,所比較的兩個層的橫向蝕刻可以相差小於或約30%,比下層不多於30%。此外,本技術可以執行兩個層的橫向蝕刻,而使得兩個層之間蝕刻的金屬材料的量之間的差異小於或約25%、小於或約20%、小於或約15%、小於或約10%、小於或約5%、小於或約1%、或零差異,在這種情況下,金屬材料的二個區域係蝕刻到距離溝道的側壁的相等深度或距離。基板605可以在溝道630的底部處展示最小蝕刻,並且可以減少小於或約5nm的量,以及可以減少小於或約3nm的量、小於或約2nm的量、小於或約1nm的量,或者可以在金屬材料的橫向蝕刻操作期間基本上維持不變。Depending on the total number of stacked layers of etched channels or memory holes in the structure, the two layers compared may be at least 1 layer, at least 5 layers, at least 11 layers, at least 21 layers, at least 51 layers, or more Many and separate. As far as the upper layer is etched, the lateral etching of the two layers being compared may differ by less than or about 30%, and not more than 30% from the lower layer. In addition, the present technology can perform lateral etching of two layers such that the difference between the amounts of metal materials etched between the two layers is less than or about 25%, less than or about 20%, less than or about 15%, less than or About 10%, less than or about 5%, less than or about 1%, or zero difference. In this case, the two regions of the metal material are etched to an equal depth or distance from the sidewall of the channel. The substrate 605 may exhibit a minimum etch at the bottom of the channel 630, and may reduce an amount of less than or about 5 nm, and may reduce an amount of less than or about 3 nm, an amount of less than or about 2 nm, an amount of less than or about 1 nm, or may The metal material remains substantially unchanged during the lateral etching operation.

在可選擇的操作520處,可以將基板從蝕刻腔室轉移到沉積腔室。轉移可以在真空下進行,而兩個腔室可以都駐留在相同集群工具上,以允許轉移發生在受控環境中。舉例而言,可以在轉移期間維持真空條件,並且可以在不破壞真空的情況下進行轉移。一旦在沉積腔室中(例如上述腔室400),則在操作525處,可以在凹陷金屬材料620上形成或沉積蓋材料。如第6C圖所示,蓋材料625(可以是阻隔材料)可以直接形成在凹陷金屬材料620上或與凹陷金屬材料620接觸。沉積操作可以是選擇性沉積,其中蓋材料相對於暴露的介電材料610較佳地形成在金屬材料620上。相對於可以包括附加遮罩操作的習知技術,操作525可以直接執行後續蝕刻操作515。At optional operation 520, the substrate may be transferred from the etching chamber to the deposition chamber. The transfer can be performed under vacuum, and both chambers can reside on the same cluster tool to allow the transfer to take place in a controlled environment. For example, vacuum conditions can be maintained during transfer, and transfer can be performed without breaking the vacuum. Once in a deposition chamber (such as the above-mentioned chamber 400), at operation 525, a capping material may be formed or deposited on the recessed metal material 620. As shown in FIG. 6C, the cover material 625 (which may be a barrier material) may be directly formed on or in contact with the recessed metal material 620. The deposition operation may be selective deposition, where the capping material is preferably formed on the metallic material 620 relative to the exposed dielectric material 610. In contrast to conventional techniques, which may include additional masking operations, operation 525 may directly perform subsequent etching operations 515.

儘管可以進行基板的轉移,但是在選擇性蝕刻與選擇性沉積之間可以不執行其他基板處理。如將在下面進一步詳細解釋,儘管在實施例中可以執行操作之間的基板轉移,而選擇性沉積可以包括多個操作,但是可以直接在一組蝕刻操作之後執行整個沉積處理。由於毯覆沉積或蓋材料625的形成可能需要額外的遮罩及移除技術,藉由根據方法500執行選擇性蝕刻及選擇性沉積,佇列時間可以比習知技術顯著降低。Although substrate transfer can be performed, other substrate processing may not be performed between selective etching and selective deposition. As will be explained in further detail below, although substrate transfer between operations may be performed in embodiments, and selective deposition may include multiple operations, the entire deposition process may be performed directly after a set of etching operations. Since blanket deposition or formation of the cover material 625 may require additional masking and removal techniques, by performing selective etching and selective deposition according to the method 500, the queue time can be significantly reduced compared to conventional techniques.

可以在處理中利用各種材料,而蝕刻及沉積可以對於多個部件具有選擇性。因此,本技術可以不限於單組材料。舉例而言,如前所述,金屬材料620可以是在半導體處理中使用的幾種導電物質。金屬材料620可以是或可以包括鎢、鈷、或任何其他可作為記憶體結構中的金屬層的導電金屬。介電材料610亦可以包括絕緣材料,並且也可以包括含矽材料、含氧材料、含碳材料、或這些材料的一些組合(例如,氧化矽或碳氧化矽)。蓋材料625可以包括一或更多種介電材料、絕緣材料、陶瓷材料、或阻隔材料。Various materials can be used in the process, and etching and deposition can be selective for multiple parts. Therefore, the present technology may not be limited to a single set of materials. For example, as mentioned above, the metal material 620 may be several conductive substances used in semiconductor processing. The metal material 620 may be or may include tungsten, cobalt, or any other conductive metal that may serve as a metal layer in the memory structure. The dielectric material 610 may also include an insulating material, and may also include a silicon-containing material, an oxygen-containing material, a carbon-containing material, or some combination of these materials (for example, silicon oxide or silicon oxycarbide). The cover material 625 may include one or more dielectric materials, insulating materials, ceramic materials, or barrier materials.

可以在鎢上使用蓋材料625,以用於多種用途。在一些實施例中,氮化鈦可以作為蓋材料625,但應理解,亦可以使用類似的材料,包括其他金屬氮化物、氧化物、或介電材料。氮化鈦可以作為鎢上的阻隔。然而,在許多習知沉積中,亦可以在介電材料610上形成蓋材料,然後可以允許分離的節點或層之間的連接。因此,可以執行回蝕處理。當從介電質凹陷材料時,由於最小的覆蓋或結合,處理可以類似於鎢或金屬材料而減少蓋材料,並且可以暴露鎢。The cover material 625 can be used on tungsten for a variety of purposes. In some embodiments, titanium nitride can be used as the cover material 625, but it should be understood that similar materials can be used, including other metal nitrides, oxides, or dielectric materials. Titanium nitride can be used as a barrier on tungsten. However, in many conventional depositions, a capping material can also be formed on the dielectric material 610, which can then allow connections between separate nodes or layers. Therefore, an etch-back process can be performed. When the material is recessed from the dielectric, the treatment can be similar to tungsten or metallic materials to reduce the cover material due to minimal coverage or bonding, and tungsten can be exposed.

形成記憶體結構的後續操作可以包括沉積附加的核心材料,而可以包括阻擋層(例如,氧化鋁)。儘管氧化鋁可以沉積在介電材料上,但是鎢與其他金屬可能具有低黏附能力,而氧化鋁可能不容易沉積在此材料上,這可能造成阻擋層中的空隙。在暴露的鎢上形成氧化鋁亦可以將金屬氧化,而可增加電阻。氮化鈦與其他阻隔層材料可以黏附到鎢,以作為阻隔層,並可允許沿著側壁形成氧化鋁。因此,藉由利用蓋材料625(例如,氮化鈦阻隔層),可以更容易地產生氧化物金屬NAND結構。Subsequent operations to form the memory structure may include depositing additional core materials, and may include a barrier layer (eg, alumina). Although alumina can be deposited on dielectric materials, tungsten and other metals may have low adhesion capabilities, while alumina may not be easily deposited on this material, which may cause voids in the barrier layer. The formation of aluminum oxide on exposed tungsten can also oxidize metals and increase resistance. Titanium nitride and other barrier layer materials can adhere to tungsten as a barrier layer and allow aluminum oxide to be formed along the sidewalls. Therefore, by using a cap material 625 (for example, a titanium nitride barrier layer), an oxide metal NAND structure can be more easily produced.

蝕刻操作可以涉及與特定含氟前驅物一起的附加前驅物。在一些實施例中,可以使用三氟化氮來產生電漿流出物。亦可以利用附加或可替代的含氟前驅物。舉例而言,含氟前驅物可以流入遠端電漿區域,而含氟前驅物可以包括選自原子氟、雙原子氟、三氟化溴、三氟化氯、三氟化氮、氟化氫、六氟化硫、及二氟化氙的群組的至少一個前驅物。遠端電漿區域可以在與處理腔室不同的模組內或在處理腔室內的隔間內。如第2圖所示,RPS單元201與第一電漿區域215二者可以作為遠端電漿區域。RPS可以允許電漿流出物解離而不會損傷其他腔室部件,而第一電漿區域215可以提供到基板的較短路徑長度,在此期間可能發生重組。Etching operations may involve additional precursors along with specific fluorine-containing precursors. In some embodiments, nitrogen trifluoride can be used to generate a plasma effluent. Additional or alternative fluorine-containing precursors can also be used. For example, the fluorine-containing precursor can flow into the remote plasma region, and the fluorine-containing precursor can include a group selected from atomic fluorine, diatomic fluorine, bromine trifluoride, chlorine trifluoride, nitrogen trifluoride, hydrogen fluoride, six At least one precursor of the group of sulfur fluoride and xenon difluoride. The distal plasma region can be in a different module from the processing chamber or in a compartment within the processing chamber. As shown in FIG. 2, both the RPS unit 201 and the first plasma region 215 can be used as the remote plasma region. The RPS may allow dissociation of the plasma effluent without damaging other chamber components, while the first plasma region 215 may provide a shorter path length to the substrate during which reorganization may occur.

附加前驅物亦可以遞送到遠端電漿區域,以增強含氟前驅物。舉例而言,含碳及氫的前驅物或氫前驅物可以與含氟前驅物一起遞送。舉例而言,附加前驅物亦可以是含氟前驅物(例如,氟甲烷)。可以包括含氫或含碳及氫的前驅物,以維持用於電漿流出物的特定H:F原子比。在實施例中,可以利用大於1的H:F比執行蝕刻,這可以提供相對於上述介電材料的對於鎢或其他金屬的增加的選擇性。在實施例中,H:F原子流量比可以維持為大於2:1或大於3:1,這可以藉由調整含氟前驅物與含氫前驅物的相對流率來控制。Additional precursors can also be delivered to the distal plasma area to enhance fluorine-containing precursors. For example, carbon and hydrogen containing precursors or hydrogen precursors can be delivered with fluorine containing precursors. For example, the additional precursor may also be a fluorine-containing precursor (eg, fluoromethane). Hydrogen-containing or carbon- and hydrogen-containing precursors may be included to maintain a specific H: F atomic ratio for the plasma effluent. In an embodiment, the etching may be performed with a H: F ratio greater than 1, which may provide increased selectivity to tungsten or other metals relative to the dielectric materials described above. In an embodiment, the H: F atomic flow ratio can be maintained greater than 2: 1 or greater than 3: 1, which can be controlled by adjusting the relative flow rates of the fluorine-containing precursor and the hydrogen-containing precursor.

當執行本方法時,相對於暴露於基板的表面上的其他部件的鎢的蝕刻選擇性可以是大於或約10:1、大於或約20:1、大於或約50:1、或是大於或約100:1、或更大,以用於形成於基底上的各種材料,並可以暴露於電漿流出物。在所揭示的實施例中,相對於(多)矽的鎢的蝕刻選擇性可以大於或約100:1、大於或約150:1、大於或約200:1、或是大於或約為250:1。在實施例中,相對於氧化矽的鎢的蝕刻選擇性可以大於或約15:1、大於或約25:1、大於或約30:1、或是大於或約為40:1。在實施例中,相對於碳氧化矽的鎢的蝕刻選擇性可以大於或約10:1、大於或約20:1、大於或約30:1、或是大於或約為40:1。在實施例中,相對於氧化鎢的鎢的蝕刻選擇性可以大於或約10:1、大於或約20:1、大於或約50:1、或是大於或約為100:1。When the method is performed, the etching selectivity of tungsten relative to other components exposed on the surface of the substrate may be greater than or about 10: 1, greater than or about 20: 1, greater than or about 50: 1, or greater than or About 100: 1 or more for various materials formed on a substrate and can be exposed to plasma effluent. In the disclosed embodiment, the etch selectivity of tungsten relative to (poly) silicon may be greater than or about 100: 1, greater than or about 150: 1, greater than or about 200: 1, or greater than or about 250: 1. In an embodiment, the etch selectivity of tungsten relative to silicon oxide may be greater than or about 15: 1, greater than or about 25: 1, greater than or about 30: 1, or greater than or about 40: 1. In an embodiment, the etch selectivity of tungsten relative to silicon oxycarbide may be greater than or about 10: 1, greater than or about 20: 1, greater than or about 30: 1, or greater than or about 40: 1. In an embodiment, the etch selectivity of tungsten relative to tungsten oxide may be greater than or about 10: 1, greater than or about 20: 1, greater than or about 50: 1, or greater than or about 100: 1.

因此,取決於特徵尺寸,可以從基板的表面移除鎢,同時其他暴露材料可以減少小於1nm。在實施例中,用於金屬材料620的凹陷的深度可以小於或約50nm,並且可以小於或約40nm、小於或約30nm、小於或約20nm、小於或約10nm、或更少。由於此蝕刻深度,可以移除最小量的介電材料,可以小於或約3nm、小於或約1nm、小於或約0.5nm,或者材料可以大致上或基本上維持不變。因此,相對於介電材料與基板的鎢蝕刻的特徵可以是用於每一結構的材料的上述選擇性中之任一者。Therefore, depending on the feature size, tungsten can be removed from the surface of the substrate, while other exposed materials can be reduced by less than 1 nm. In an embodiment, the depth of the recess for the metal material 620 may be less than or about 50 nm, and may be less than or about 40 nm, less than or about 30 nm, less than or about 20 nm, less than or about 10 nm, or less. Due to this etch depth, the minimum amount of dielectric material can be removed, can be less than or about 3 nm, less than or about 1 nm, less than or about 0.5 nm, or the material can be maintained substantially or substantially unchanged. Therefore, the tungsten etching with respect to the dielectric material and the substrate may be characterized by any of the above-mentioned selectivities of materials for each structure.

可以在能夠沉積且能夠原子層沉積的腔室(包括上述的腔室400)中執行選擇性沉積。沉積可以預設為在相對於另一絕緣材料的金屬材料上選擇性沉積絕緣材料。舉例而言,蓋材料625可以大致上形成於金屬材料620上,同時最少地形成於介電材料610或受限於介電材料610。可以藉由多種操作來執行選擇性沉積,可以包括形成自組裝單層以促進選擇性沉積,或者可以包括主動抑制在其他介電材料上形成介電質。The selective deposition may be performed in a chamber capable of deposition and capable of atomic layer deposition, including the chamber 400 described above. The deposition may be preset to selectively deposit an insulating material on a metal material relative to another insulating material. For example, the cover material 625 may be formed substantially on the metal material 620 while being minimally formed on or limited by the dielectric material 610. Selective deposition may be performed by a variety of operations, including forming a self-assembled monolayer to facilitate selective deposition, or may include actively inhibiting the formation of a dielectric on other dielectric materials.

可以在結構的區域上形成自組裝單層,以調諧沉積。舉例而言,可以在結構上形成第一自組裝單層,然後將其暴露以從金屬材料620移除單層。單層可以維持在介電材料610上。單層可以具有可能排斥或無法與後來遞送的前驅物相互作用的封端部分。舉例而言,在實施例中,封端部分可以是疏水性,並且可以利用含氫部分(例如,甲基)封端,含氫部分可以不與附加前驅物相互作用。第二自組裝單層可以形成在金屬材料620上,而可以是親水性或與用於產生蓋材料625的一或更多個前驅物反應。因為材料可以與第一自組裝單層排斥,或者可以選擇性拉伸到元件,所以可以在金屬材料620上選擇性形成第二自組裝單層。第二自組裝單層可以利用氫氧基或其他親水部分封端,或是利用特別與用於形成蓋材料625的附加前驅物相互作用的部分封端。Self-assembled monolayers can be formed on areas of the structure to tune the deposition. For example, a first self-assembled monolayer may be formed on the structure and then exposed to remove the monolayer from the metallic material 620. A single layer may be maintained on the dielectric material 610. A monolayer may have a capped portion that may repel or fail to interact with a precursor that is subsequently delivered. For example, in embodiments, the capped portion may be hydrophobic and may be capped with a hydrogen-containing portion (eg, methyl), which may not interact with additional precursors. The second self-assembled monolayer may be formed on the metal material 620 and may be hydrophilic or react with one or more precursors used to generate the cover material 625. Because the material can be repelled from the first self-assembled single layer or can be selectively stretched to the element, the second self-assembled single layer can be selectively formed on the metallic material 620. The second self-assembling monolayer can be terminated with a hydroxyl group or other hydrophilic portion, or can be terminated with a portion that specifically interacts with additional precursors used to form the cover material 625.

然後,可以利用二或更多個前驅物執行原子層沉積,以開發蓋材料625。沉積的前驅物可以包括含金屬前驅物,並包括經配置以與封端第二自組裝單層(而非第一自組裝單層)的部分相互作用的前驅物。舉例而言,當使用親水性及疏水性封端單層時,原子層沉積前驅物中之一者可以包括水或一些其他前驅物,以發展可以是親水性的蓋材料。以此方式,沉積可能不會形成於可以是疏水性的第一自組裝單層上。若蓋材料包括金屬氧化物(例如,氧化鈦或氮化鈦),則用於原子層沉積的前驅物可以包括含鈦前驅物以及水或含氮前驅物。然後,在與水或其他前驅物的半反應期間,水可能無法與形成在介電材料610上的第一自組裝單層相互作用,而因此沉積將不在第一自組裝單層上形成。以此方式,可以在金屬材料620上選擇性形成蓋材料625,而不會形成可以化學蝕刻的遮罩層。Atomic layer deposition may then be performed using two or more precursors to develop the cover material 625. The deposited precursor may include a metal-containing precursor and include a precursor configured to interact with a portion of the capped second self-assembled monolayer (instead of the first self-assembled monolayer). For example, when using a hydrophilic and hydrophobic capping monolayer, one of the atomic layer deposition precursors can include water or some other precursor to develop a cover material that can be hydrophilic. In this way, the deposition may not be formed on the first self-assembled monolayer, which may be hydrophobic. If the cap material includes a metal oxide (for example, titanium oxide or titanium nitride), the precursor for atomic layer deposition may include a titanium-containing precursor and water or a nitrogen-containing precursor. Then, during a half-reaction with water or other precursors, water may not be able to interact with the first self-assembled monolayer formed on the dielectric material 610, and therefore the deposition will not be formed on the first self-assembled monolayer. In this way, the cover material 625 can be selectively formed on the metal material 620 without forming a mask layer that can be chemically etched.

在蓋材料625已經形成合適的高度之後,在一個實例中可以將第一自組裝單層暴露到例如UV光,並從基板移除。因此,第一自組裝單層可以直接在金屬材料的選擇性蝕刻之後形成,或者在轉移到附加腔室之後但在附加處理操作之前形成,而在結構上可以不利用需要化學移除或蝕刻的附加遮罩層。類似地,在選擇性沉積之後,可以不需要蝕刻蓋材料625,以確保在金屬材料上選擇性形成蓋材料625。以此方式,可以排除習知形成中使用的多個操作,這可以顯著減少佇列時間(例如,幾個小時)。After the cover material 625 has been formed to a suitable height, the first self-assembled monolayer may be exposed to, for example, UV light and removed from the substrate in one example. Therefore, the first self-assembled monolayer can be formed directly after selective etching of the metallic material, or after being transferred to the additional chamber but before the additional processing operation, and the structure can be used without chemical removal or etching. Attach a mask layer. Similarly, after selective deposition, the cover material 625 may not be etched to ensure that the cover material 625 is selectively formed on the metal material. In this way, multiple operations used in conventional formation can be eliminated, which can significantly reduce queue time (eg, several hours).

亦可以使用附加選擇性沉積技術(可以包括可替代的機構),以用於選擇性沉積介電材料(例如,含氮材料)。舉例而言,含氮材料可以作為用於沉積發生的材料上的自組裝單層中之一者(例如,單層的封端部分中之一者),而可以允許吸引用於形成先前描述的材料中之一或更多者的特定前驅物。其他技術可以利用溫度差異以增強相對於氧化矽的金屬上的沉積。舉例而言,利用含鈦前驅物與含氮前驅物的原子層沉積可以在高於或約500℃的溫度下執行,並且可以在高於或約750℃、高於或約900℃、高於或約1000℃、或達到、高於、或約1100℃的溫度下執行。Additional selective deposition techniques (which can include alternative mechanisms) can also be used for selective deposition of dielectric materials (eg, nitrogen-containing materials). For example, a nitrogen-containing material may serve as one of the self-assembled monolayers on the material used for deposition to occur (eg, one of the capped portions of the monolayer), but may allow attraction for A specific precursor of one or more of the materials. Other techniques can take advantage of temperature differences to enhance deposition on metal relative to silicon oxide. For example, atomic layer deposition using a titanium-containing precursor and a nitrogen-containing precursor may be performed at a temperature higher than or about 500 ° C, and may be higher than or about 750 ° C, higher than or about 900 ° C, higher than It is performed at a temperature of about 1000 ° C, or at, above, or about 1100 ° C.

隨著溫度在此範圍內增加,可以在鎢上以比在氧化矽上更高的速率發生沉積。然後,可以執行氮的選擇性蝕刻,以從氧化矽表面移除第一介電材料。儘管亦可能在金屬材料表面上減少第一介電材料,但是由於厚度可以比在氧化矽上的厚度多很多倍,所以可以在氧化矽上進行完全移除,同時維持金屬材料上的厚度。As the temperature increases in this range, deposition can occur on tungsten at a higher rate than on silicon oxide. A selective etch of nitrogen may then be performed to remove the first dielectric material from the silicon oxide surface. Although it is also possible to reduce the first dielectric material on the surface of the metal material, since the thickness can be many times greater than the thickness on the silicon oxide, it can be completely removed on the silicon oxide while maintaining the thickness on the metal material.

實施例亦可以利用抑制劑,以在金屬材料620上選擇性形成蓋材料625,而不在介電材料610上形成蓋材料625。舉例而言,可以在介電材料上施加抑制劑。抑制劑可以是任何數量的材料,材料的特徵可以是矽氧烷主鏈(例如,矽氧烷)或四氟乙烯主鏈(例如,PTFE),以及其他油性或表面活性劑材料。可以施加材料,以覆蓋介電材料610的暴露部分。抑制劑材料可以防止在金屬材料620上可以正常形成或沉積的材料的黏附或吸附。隨後形成蓋材料625,並可以將移除劑施加到基板上,以移除抑制劑材料。移除劑可以是濕式蝕刻劑、反應物、或表面活性劑清潔劑,而可以移除讓底下的介電材料610暴露的殘留抑制劑材料。因此,抑制劑可以直接在選擇性蝕刻之後施加,或者在基板轉移之後,但在影響基板的其他處理操作之前施加。利用抑制劑可以允許在定義區域中形成蓋材料,而不需要經由隨後的毯覆膜的圖案化及/或蝕刻定義。藉由移除先前及後續的圖案化操作,處理可以進一步減少習知處理的佇列時間。Embodiments may also use an inhibitor to selectively form the capping material 625 on the metal material 620 without forming the capping material 625 on the dielectric material 610. For example, an inhibitor may be applied on the dielectric material. The inhibitor may be any number of materials, and the materials may be characterized by a siloxane backbone (eg, siloxane) or a tetrafluoroethylene backbone (eg, PTFE), as well as other oily or surfactant materials. Material may be applied to cover exposed portions of the dielectric material 610. The inhibitor material can prevent the adhesion or adsorption of materials that can be normally formed or deposited on the metal material 620. A cover material 625 is then formed, and a remover can be applied to the substrate to remove the inhibitor material. The remover may be a wet etchant, a reactant, or a surfactant cleaner, and may remove a residual inhibitor material that exposes the underlying dielectric material 610. Therefore, the inhibitor may be applied directly after selective etching, or after substrate transfer, but before other processing operations affecting the substrate. Utilizing an inhibitor may allow a cover material to be formed in a defined area without the need for subsequent patterning and / or etching definitions of the blanket film. By removing previous and subsequent patterning operations, processing can further reduce the queue time for conventional processing.

抑制劑亦可以是毒化劑(poisoning agent),或是可以中和基板的表面或使基板的表面呈現惰性的電漿應用的產物。舉例而言,改性電漿可以由一或更多個前驅物形成,而可以包括惰性前驅物。可以將電漿施加到基板的表面,這可以改變介電材料610的表面,但是可以不影響金屬材料620。在一個可能實例中,含氮前驅物(可以是氮)可以遞送到產生電漿的處理腔室的電漿處理區域。電漿流出物(可以包括含氮電漿流出物)可以遞送到基板,並且可以沿著介電材料610形成氮化表面。The inhibitor can also be a poisoning agent, or the product of a plasma application that can neutralize the surface of the substrate or render the surface of the substrate inert. For example, the modified plasma may be formed from one or more precursors, and may include inert precursors. Plasma may be applied to the surface of the substrate, which may change the surface of the dielectric material 610, but may not affect the metal material 620. In one possible example, a nitrogen-containing precursor (which may be nitrogen) may be delivered to a plasma processing area of a plasma-generating processing chamber. Plasma effluent (which may include nitrogen-containing plasma effluent) may be delivered to the substrate and a nitrided surface may be formed along the dielectric material 610.

電漿流出物可以不影響金屬材料620,這可以維持整齊或未反應的表面。然後,可以利用一或更多種沉積技術形成蓋材料625,沉積技術可以包括原子層沉積或其他氣相或物理沉積。舉例而言,可以利用原子層沉積技術,而隨後利用電漿流出物處理。在沉積的每一循環之後,含氮電漿可以重新施加到基板上(例如,在介電材料610上)。以此方式,介電材料610的表面可以鈍化,以防止或限制那些區域上的蓋材料625的形成。其他電漿或非電漿材料亦可用於改性或毒化介電材料610,介電材料610亦可經加工以排斥可用於形成蓋材料625的一或更多個前驅物。利用在基板的非凹陷部分上的這些電漿流出物可以允許在定義區域中形成蓋材料,而不需要經由後續的毯覆膜的圖案化及/或蝕刻定義。藉由移除先前及後續的圖案化操作,處理可以進一步減少習知處理的佇列時間。Plasma effluent may not affect the metallic material 620, which may maintain a neat or unreacted surface. The capping material 625 may then be formed using one or more deposition techniques, which may include atomic layer deposition or other vapor or physical deposition. For example, atomic layer deposition techniques can be used, followed by plasma effluent treatment. After each cycle of deposition, the nitrogen-containing plasma may be reapplied to the substrate (eg, on the dielectric material 610). In this manner, the surface of the dielectric material 610 may be passivated to prevent or limit the formation of the cover material 625 on those areas. Other plasma or non-plasma materials can also be used to modify or poison the dielectric material 610, and the dielectric material 610 can also be processed to repel one or more precursors that can be used to form the cover material 625. Utilizing these plasma effluents on the non-recessed portions of the substrate may allow a cover material to be formed in a defined area without the need for subsequent patterning and / or etching definitions of the blanket film. By removing previous and subsequent patterning operations, processing can further reduce the queue time for conventional processing.

相對於一或更多個非金屬、介電質、或絕緣區域,這些技術中之任一者可以選擇性沉積或形成含矽金屬區域上的介電或絕緣材料。選擇性可以是完整的,亦即,蓋材料僅在金屬材料620或中間層上形成,而蓋材料可以完全不在介電材料610上形成。在其他實施例中,選擇性可能不是完整的,而含金屬材料上的沉積相對於介電或絕緣材料的比率可以是大於約2:1。選擇性亦可以大於或約5:1、大於或約10:1、大於或約15:1、大於或約20:1、大於或約25:1、大於或約30:1、大於或約35:1、大於或約40:1、大於或約45:1、大於或約50:1、大於或約75:1、大於或約100:1、大於或約200:1、或更多。With respect to one or more non-metallic, dielectric, or insulating regions, any of these techniques can selectively deposit or form a dielectric or insulating material on a silicon-containing metal region. The selectivity may be complete, that is, the cover material may be formed only on the metal material 620 or the intermediate layer, and the cover material may not be formed on the dielectric material 610 at all. In other embodiments, the selectivity may not be complete, and the ratio of the deposition on the metal-containing material to the dielectric or insulating material may be greater than about 2: 1. Selectivity can also be greater than or about 5: 1, greater than or about 10: 1, greater than or about 15: 1, greater than or about 20: 1, greater than or about 25: 1, greater than or about 30: 1, greater than or about 35 : 1, greater than or about 40: 1, greater than or about 45: 1, greater than or about 50: 1, greater than or about 75: 1, greater than or about 100: 1, greater than or about 200: 1, or more.

蓋材料可以形成為前述的厚度,厚度可以小於或約50nm,並且可以小於或約40nm、小於或約30nm、小於或約20nm、小於或約10nm、小於或約5nm、或更少。因此,低於50:1的選擇性可以是可接受的,以完全沉積蓋材料625,同時在介電材料610上形成有限量的材料或基本上沒有形成材料。可以在沉積之後在腔室200中執行輕微的回蝕操作,以確保將蓋材料625從介電材料610完全移除,以確保節點完全分離。因為覆蓋可以在金屬材料620的凹陷區域內完成,所以回蝕可以不影響所沉積的材料,或者可以清潔邊緣或側壁,以產生平滑表面。由於金屬材料620上的沉積可能更大,因此可以藉由稍長的沉積時間在金屬材料620上補償可能沉積在介電材料610上的任何量,然後可以凹陷到凹部的厚度,並且可以清潔介電材料610的側壁。The cover material may be formed to the aforementioned thickness, the thickness may be less than or about 50 nm, and may be less than or about 40 nm, less than or about 30 nm, less than or about 20 nm, less than or about 10 nm, less than or about 5 nm, or less. Therefore, a selectivity below 50: 1 may be acceptable to completely deposit the capping material 625 while forming a limited amount of material or substantially no material on the dielectric material 610. A slight etch-back operation may be performed in the chamber 200 after deposition to ensure complete removal of the cover material 625 from the dielectric material 610 to ensure complete node separation. Because the covering can be done in the recessed area of the metallic material 620, the etchback may not affect the deposited material, or the edges or sidewalls may be cleaned to produce a smooth surface. Since the deposition on the metal material 620 may be larger, any amount that may be deposited on the dielectric material 610 can be compensated by the slightly longer deposition time on the metal material 620, and then it can be recessed to the thickness of the recess and the dielectric can be cleaned The sidewall of the electrical material 610.

沉積操作可以在前述的任何溫度或壓力下執行,並可以在大於或約50℃的溫度下執行,且可以在大於或約100℃、大於或約150℃、大於或約200℃、大於或約250℃、大於或約300℃、大於或約350℃、大於或約400℃、大於或約450℃、大於或約500℃、大於或約600℃、大於或約700℃、大於或約800℃、或更高的溫度下執行。舉例而言,在原子層沉積操作期間,可以使用大於或約400℃的溫度,以活化前驅物,以在材料層形成時彼此相互作用。相較於習知技術,藉由利用本技術,可以利用更多的選擇性形成及移除來執行製造,這可以比習知處理減少數小時的佇列時間。藉由執行阻隔形成之外的凹陷操作,可以更清楚地定義節點分離,並且可以更容易地執行記憶體孔洞內的後續處理或形成。該等處理亦可以實現新結構,可藉由形成選擇性層以確保金屬材料受到保護,同時節點在記憶體結構中維持分離。The deposition operation may be performed at any of the foregoing temperatures or pressures, and may be performed at a temperature greater than or about 50 ° C, and may be greater than or about 100 ° C, greater than or about 150 ° C, greater than or about 200 ° C, greater than or about 250 ° C, greater or about 300 ° C, greater than or about 350 ° C, greater than or about 400 ° C, greater than or about 450 ° C, greater than or about 500 ° C, greater than or about 600 ° C, greater than or about 700 ° C, greater than or about 800 ° C , Or higher. For example, during an atomic layer deposition operation, temperatures greater than or about 400 ° C can be used to activate the precursors to interact with each other as the material layer is formed. Compared with the conventional technology, by using this technology, manufacturing can be performed with more selective formation and removal, which can reduce the queue time by several hours compared with the conventional processing. By performing recess operations other than barrier formation, node separation can be more clearly defined, and subsequent processing or formation within the memory holes can be more easily performed. These processes can also achieve new structures, by forming selective layers to ensure that the metal material is protected, while the nodes remain separated in the memory structure.

在本技術所包括的附加方法中,可以不執行金屬材料的凹陷。轉至 7 ,圖示根據本技術的實施例的示例性基板700的示意性橫截面圖。基板700可以類似於先前在第7A圖中描述及圖示的基板600,並且可以包括類似的材料或者前述的其他材料,並且可以具有前述的任何尺寸或其他特性的特徵。舉例而言,結構700可以包括基板605,以及介電材料610與金屬材料620的交替層。記憶體孔洞或溝道630可以形成於結構內,並且可以具有側壁632的特徵。In the additional method included in the present technology, the depression of the metal material may not be performed. Turning to FIG . 7 , a schematic cross-sectional view of an exemplary substrate 700 according to an embodiment of the present technology is illustrated. The substrate 700 may be similar to the substrate 600 previously described and illustrated in FIG. 7A, and may include similar materials or other materials as described above, and may have any of the dimensions or other characteristics described above. For example, the structure 700 may include a substrate 605 and alternating layers of a dielectric material 610 and a metal material 620. Memory holes or channels 630 may be formed within the structure and may have features of the sidewall 632.

不同於包括凹陷金屬材料620的方法500,如第7B圖所示,可以藉由本技術形成附加結構。如圖所示,可以不執行凹陷金屬材料620。所示之方法可以在形成記憶體孔洞或溝道630之後直接將蓋材料625沉積在金屬材料620上。可以執行前述的選擇性沉積蓋材料的類似方法或操作,而蓋材料(可以是阻隔材料)可以是任何前述材料(例如,包括氮化鈦)。Unlike the method 500 including the recessed metal material 620, as shown in FIG. 7B, additional structures can be formed by this technique. As shown, the recessed metal material 620 may not be performed. The illustrated method can deposit cap material 625 directly on metal material 620 after forming a memory hole or channel 630. A similar method or operation of the aforementioned selective deposition of a cap material may be performed, and the cap material (which may be a barrier material) may be any of the aforementioned materials (for example, including titanium nitride).

蓋材料可以形成為從金屬材料620向外延伸,並延伸於溝道630內。類似於先前描述的結構,蓋材料625可以不形成於介電材料610上,或者可以不跨越介電材料610連續,以在每一單元之間產生分離。在形成蓋材料625之後,可以執行附加操作(包括清潔介電材料610上的任何殘留形成物,以及阻擋層的形成物(例如氧化鋁)與附加核心形成物)。藉由執行沒有凹陷處理的方法,可以減少佇列時間,且可以限制表面粗糙化及其他蝕刻副作用。The cover material may be formed to extend outward from the metal material 620 and extend inside the channel 630. Similar to the previously described structure, the cover material 625 may not be formed on the dielectric material 610, or may not be continuous across the dielectric material 610 to create a separation between each cell. After the cover material 625 is formed, additional operations may be performed (including cleaning any residual formations on the dielectric material 610, as well as formations of the barrier layer (such as alumina) and additional core formations). By performing a method without pitting, queue time can be reduced, and surface roughening and other etching side effects can be limited.

在先前描述中,為了解釋之目的,已經闡述許多細節,以提供對於本技術的各種實施例的理解。然而,對於該領域具有通常知識者顯而易見的是,可以在沒有這些細節中之一些或在具有附加細節的情況下實施某些實施例。In the foregoing description, for the purposes of explanation, numerous details have been set forth in order to provide an understanding of various embodiments of the technology. However, it will be apparent to those of ordinary skill in the art that certain embodiments may be practiced without some of these details or with additional details.

已揭示幾個實施例,但應理解,該領域具有通常知識者可以在不悖離實施例的精神的情況下使用各種修改、替代構造、及等同物。此外,為了避免不必要地模糊本技術,並未描述許多已知的處理及元件。因此,上面的描述不應視為限制本技術之範疇。Several embodiments have been disclosed, but it should be understood that those skilled in the art can use various modifications, alternative constructions, and equivalents without departing from the spirit of the embodiments. Moreover, to avoid unnecessarily obscuring the technology, many known processes and components are not described. Therefore, the above description should not be considered as limiting the scope of the technology.

當提供值的範圍時,應理解,除非上下文另有明確說明,亦具體揭示該範圍的上限與下限之間的每一中間值到下限單位的最小部分。包括在所述範圍中的任何所述值或未敘述的中間值之間的任何較窄範圍以及所述範圍中的任何其他所述或中間值。除非所述範圍具有任何具體排除限制,這些較小範圍的上限與下限可以獨立地包括在範圍中或排除在外,而上限與下限中之任一者或二者都包括或都不包括在較小範圍中的每一範圍亦包括在本技術內。在所述範圍包括一或二個限制的情況下,則亦包括排除這些所包括限制中的一或二者的範圍。When a range of values is provided, it should be understood that, unless the context clearly indicates otherwise, each intermediate value between the upper and lower limits of the range is specifically disclosed to the smallest part of the lower limit unit. Any narrower range between any stated value or unstated intervening value in the stated range and any other stated or intervening value in that stated range is encompassed. Unless the range has any specific exclusions, the upper and lower limits of these smaller ranges may be independently included or excluded from the range, and either or both of the upper and lower limits may or may not be included in the smaller Each of the ranges is also included in the technology. Where the stated range includes one or both of the limits, ranges excluding either or both of those included limits are also included.

如本文及隨附專利申請範圍中所使用,除非上下文另有明確說明,否則單數形式「一」、「一個」、及「該」包括複數指稱。因此,舉例而言,指稱「一層」包括複數個這樣的層,而指稱「前驅物」包括指稱該領域具有通常知識者已知的一或更多個前驅物及其等同物等等。As used herein and in the scope of the accompanying patent application, the singular forms "a", "an", and "the" include plural referents unless the context clearly dictates otherwise. Thus, for example, reference to "a layer" includes a plurality of such layers, and reference to "precursor" includes reference to one or more precursors and their equivalents known to those having ordinary knowledge in the field, and so on.

此外,在本說明書及以下請求項中使用詞語「包含」、「所包含」、「含有」、「所含有」、「包括」、及「所包括」時,意欲在指定所述特徵、整體、部件、或操作的存在,但是不排除一或更多個其他特徵、整體、部件、操作、動作、或群組的存在或附加。In addition, when the words "including", "including", "containing", "containing", "including", and "including" are used in this specification and the following claims, it is intended to specify the features, the whole, The presence of a component, or operation, but does not exclude the presence or addition of one or more other features, wholes, components, operations, actions, or groups.

10‧‧‧裝載閘腔室10‧‧‧ Loading lock chamber

15‧‧‧隔離閥15‧‧‧ isolation valve

20‧‧‧處理腔室20‧‧‧Processing chamber

30‧‧‧氣體分配板30‧‧‧Gas distribution board

60‧‧‧基板60‧‧‧ substrate

61‧‧‧第一表面61‧‧‧first surface

65‧‧‧梭子65‧‧‧ Shuttle

70‧‧‧軌道70‧‧‧ track

90‧‧‧輻射熱源90‧‧‧ radiant heat source

100‧‧‧處理系統100‧‧‧treatment system

102‧‧‧前開口統一莢102‧‧‧ front opening uniform pod

104‧‧‧機器臂104‧‧‧ robot arm

106‧‧‧托持區域106‧‧‧Support area

108a‧‧‧處理腔室108a‧‧‧Processing chamber

108b‧‧‧處理腔室108b‧‧‧Processing chamber

108c‧‧‧處理腔室108c‧‧‧Processing chamber

108d‧‧‧處理腔室108d‧‧‧Processing chamber

108e‧‧‧處理腔室108e‧‧‧Processing chamber

108f‧‧‧處理腔室108f‧‧‧Processing chamber

109a‧‧‧串聯區段109a‧‧‧ Tandem Section

109b‧‧‧串聯區段109b‧‧‧ Tandem Section

109c‧‧‧串聯區段109c‧‧‧ Tandem Section

110‧‧‧第二機器臂110‧‧‧Second robot arm

200‧‧‧腔室200‧‧‧ chamber

201‧‧‧RPS單元201‧‧‧RPS unit

203‧‧‧冷卻板203‧‧‧ cooling plate

205‧‧‧氣體入口組件205‧‧‧Gas inlet assembly

210‧‧‧流體供應系統210‧‧‧ fluid supply system

214‧‧‧上板214‧‧‧on board

215‧‧‧第一電漿區域215‧‧‧The first plasma area

216‧‧‧下板216‧‧‧Lower plate

217‧‧‧面板217‧‧‧ Panel

218‧‧‧容積218‧‧‧Volume

219‧‧‧第一流體通道219‧‧‧first fluid channel

220‧‧‧絕緣環220‧‧‧Insulation ring

221‧‧‧第二流體通道221‧‧‧Second fluid channel

223‧‧‧離子消除器223‧‧‧Ion Eliminator

225‧‧‧噴淋頭225‧‧‧Sprinkler

233‧‧‧基板處理區域233‧‧‧Substrate processing area

240‧‧‧功率供應器240‧‧‧ Power Supply

253‧‧‧詳細視圖253‧‧‧Detailed view

255‧‧‧基板255‧‧‧ substrate

258‧‧‧氣體供應區域258‧‧‧Gas supply area

259‧‧‧孔隙259‧‧‧ porosity

265‧‧‧台座265‧‧‧ pedestal

325‧‧‧噴淋頭325‧‧‧ sprinkler

365‧‧‧通孔365‧‧‧through hole

375‧‧‧小孔洞375‧‧‧small hole

400‧‧‧腔室400‧‧‧ chamber

420‧‧‧注射器420‧‧‧Syringe

425‧‧‧氣體埠425‧‧‧Gas port

430‧‧‧注射器430‧‧‧syringe

435‧‧‧氣體埠435‧‧‧Gas port

440‧‧‧注射器440‧‧‧Syringe

445‧‧‧氣體埠445‧‧‧Gas port

450‧‧‧泵送系統450‧‧‧ pumping system

455‧‧‧真空埠455‧‧‧vacuum port

460‧‧‧分區460‧‧‧Division

498‧‧‧箭頭498‧‧‧arrow

500‧‧‧方法500‧‧‧method

505‧‧‧操作505‧‧‧Operation

510‧‧‧操作510‧‧‧ Operation

515‧‧‧操作515‧‧‧ operation

520‧‧‧操作520‧‧‧operation

525‧‧‧操作525‧‧‧operation

600‧‧‧結構600‧‧‧ Structure

605‧‧‧基板605‧‧‧ substrate

610‧‧‧介電材料610‧‧‧ Dielectric material

620‧‧‧金屬材料620‧‧‧metal materials

625‧‧‧蓋材料625‧‧‧ cover material

630‧‧‧溝道630‧‧‧channel

632‧‧‧側壁632‧‧‧ side wall

700‧‧‧結構700‧‧‧ Structure

可以藉由參照說明書及圖式的其餘部分來實現所揭示的技術的本質及優點的進一步理解。A further understanding of the nature and advantages of the disclosed technology can be achieved by reference to the remainder of the description and drawings.

第1圖圖示根據本技術的實施例的示例性處理系統的頂視平面圖。FIG. 1 illustrates a top plan view of an exemplary processing system according to an embodiment of the present technology.

第2A圖圖示根據本技術的實施例的示例性處理腔室的示意性橫截面圖。FIG. 2A illustrates a schematic cross-sectional view of an exemplary processing chamber according to an embodiment of the present technology.

第2B圖圖示根據本技術的實施例的示例性噴淋頭的詳細視圖。FIG. 2B illustrates a detailed view of an exemplary showerhead according to an embodiment of the present technology.

第3圖圖示根據本技術的實施例的示例性噴淋頭的底視平面圖。FIG. 3 illustrates a bottom plan view of an exemplary showerhead according to an embodiment of the present technology.

第4圖圖示根據本技術的實施例的示例性處理腔室的示意性橫截面圖。FIG. 4 illustrates a schematic cross-sectional view of an exemplary processing chamber according to an embodiment of the present technology.

第5圖圖示根據本技術的實施例的形成半導體結構的方法中的所選擇操作。FIG. 5 illustrates selected operations in a method of forming a semiconductor structure according to an embodiment of the present technology.

第6A圖至第6C圖圖示根據本技術的實施例的示例性基板的示意性橫截面圖。6A to 6C illustrate schematic cross-sectional views of an exemplary substrate according to an embodiment of the present technology.

第7A圖至第7B圖圖示根據本技術的實施例的示例性基板的示意性橫截面圖。7A to 7B illustrate schematic cross-sectional views of an exemplary substrate according to an embodiment of the present technology.

圖式中的幾個係包括作為示意圖。應理解,圖式僅用於說明目的,而除非特別聲明具有標度,否則不應視為比例。此外,作為示意圖,圖式係提供為幫助理解,並且可能不包括相較於實際表示的所有態樣或資訊,並且可能包括用於說明目的之誇大材料。Several lines in the diagram are included as schematic diagrams. It should be understood that the drawings are for illustration purposes only and should not be considered to scale unless specifically stated to have a scale. In addition, the diagrams are provided as illustrations to aid understanding, and may not include all aspects or information compared to actual representations, and may include exaggerated materials for illustrative purposes.

在隨附圖式中,類似的部件及/或特徵可以具有相同的元件符號。此外,相同類型的各種部件可以藉由在元件符號後利用字母來區分,以區分類似部件。若在說明書中僅使用最前面的元件符號,則該描述係適用於具有相同最前面的元件符號的任何一個類似部件,而與字母無關。In the accompanying drawings, similar components and / or features may have the same element symbols. In addition, various parts of the same type can be distinguished by using letters after the component symbol to distinguish similar parts. If only the frontmost component symbol is used in the description, the description applies to any similar component having the same frontmost component symbol, regardless of the letter.

國內寄存資訊 (請依寄存機構、日期、號碼順序註記) 無Domestic hosting information (please note in order of hosting institution, date, and number) None

國外寄存資訊 (請依寄存國家、機構、日期、號碼順序註記) 無Information on foreign deposits (please note in order of deposit country, institution, date, and number) None

Claims (20)

一種形成一半導體結構的方法,該方法包含以下步驟: 在一處理腔室的一遠端電漿區域中形成一含氟前驅物的一電漿; 使一半導體基板與該電漿的流出物接觸,其中該半導體基板係容納在該處理腔室的一處理區域中; 在該半導體基板上的一介電材料的暴露區域之間橫向地選擇性蝕刻一金屬材料;以及 隨後在該金屬材料上沉積一蓋材料,其中該蓋材料相對於該介電材料的暴露區域選擇性沉積在該金屬材料上。A method for forming a semiconductor structure includes the following steps: forming a plasma containing a fluorine precursor in a distal plasma region of a processing chamber; and contacting a semiconductor substrate with an effluent of the plasma Wherein the semiconductor substrate is contained in a processing region of the processing chamber; a metal material is selectively etched laterally between exposed regions of a dielectric material on the semiconductor substrate; and subsequently deposited on the metal material A cover material, wherein the cover material is selectively deposited on the metal material with respect to an exposed area of the dielectric material. 如請求項1所述之形成一半導體結構的方法,其中該蝕刻步驟係在一第一處理腔室中執行,該沉積步驟係在一第二處理腔室中執行。The method for forming a semiconductor structure according to claim 1, wherein the etching step is performed in a first processing chamber, and the deposition step is performed in a second processing chamber. 如請求項2所述之形成一半導體結構的方法,進一步包含以下步驟:將該半導體基板從該第一處理腔室轉移到該第二處理腔室,且其中該轉移步驟係以在不破壞真空的情況下執行。The method for forming a semiconductor structure according to claim 2, further comprising the steps of: transferring the semiconductor substrate from the first processing chamber to the second processing chamber, and wherein the transferring step is performed without destroying the vacuum Case. 如請求項1所述之形成一半導體結構的方法,其中該金屬材料包含鎢或鈷。The method for forming a semiconductor structure according to claim 1, wherein the metallic material comprises tungsten or cobalt. 如請求項1所述之形成一半導體結構的方法,其中該介電材料包含氧化矽。The method for forming a semiconductor structure according to claim 1, wherein the dielectric material comprises silicon oxide. 如請求項1所述之形成一半導體結構的方法,其中該蓋材料包含一金屬氮化物或一金屬氧化物。The method for forming a semiconductor structure according to claim 1, wherein the cover material comprises a metal nitride or a metal oxide. 如請求項1所述之形成一半導體結構的方法,其中該金屬材料從該溝道的該等側壁橫向蝕刻小於10nm。The method for forming a semiconductor structure according to claim 1, wherein the metal material is etched laterally from the sidewalls of the channel to less than 10 nm. 如請求項1所述之形成一半導體結構的方法,其中利用該金屬材料相對於該介電材料大於或約10:1的一選擇性而執行該蝕刻步驟。The method for forming a semiconductor structure according to claim 1, wherein the etching step is performed using a selectivity of the metal material relative to the dielectric material of greater than or about 10: 1. 如請求項1所述之形成一半導體結構的方法,其中利用該金屬材料相對於該介電材料大於或約2:1的一選擇性而執行該沉積。The method for forming a semiconductor structure according to claim 1, wherein the deposition is performed using a selectivity of the metal material relative to the dielectric material of greater than or about 2: 1. 如請求項1所述之形成一半導體結構的方法,其中選擇性沉積該蓋材料之步驟包含以下步驟:抑制該介電材料上的該蓋材料的生長。The method for forming a semiconductor structure according to claim 1, wherein the step of selectively depositing the cover material comprises the following steps: inhibiting the growth of the cover material on the dielectric material. 一種形成一半導體結構的方法,該方法包含以下步驟: 在一處理腔室的一遠端電漿區域中形成一含氟前驅物的一電漿; 使一半導體基板與該電漿的流出物接觸,其中該半導體基板係容納在該處理腔室的一處理區域中; 在該半導體基板上的一介電材料的暴露層之間橫向地選擇性蝕刻一金屬材料的層;以及 隨後在該金屬材料上沉積一蓋材料,其中該蓋材料相對於該介電材料的暴露區域選擇性沉積在該金屬材料上。A method for forming a semiconductor structure includes the following steps: forming a plasma containing a fluorine precursor in a distal plasma region of a processing chamber; and contacting a semiconductor substrate with an effluent of the plasma Wherein the semiconductor substrate is contained in a processing region of the processing chamber; a layer of a metallic material is selectively etched laterally between exposed layers of a dielectric material on the semiconductor substrate; and subsequently the metallic material is A capping material is deposited thereon, wherein the capping material is selectively deposited on the metal material relative to the exposed area of the dielectric material. 如請求項11所述之形成一半導體結構的方法,其中氮化矽的該等層從該溝道的該等側壁橫向蝕刻小於10nm。The method for forming a semiconductor structure as described in claim 11, wherein the layers of silicon nitride are etched laterally from the sidewalls of the channel to less than 10 nm. 如請求項12所述之形成一半導體結構的方法,其中該介電材料包含氧化矽。The method for forming a semiconductor structure according to claim 12, wherein the dielectric material comprises silicon oxide. 如請求項13所述之形成一半導體結構的方法,其中該蓋材料包含一金屬氮化物或一金屬氧化物。The method for forming a semiconductor structure according to claim 13, wherein the cover material comprises a metal nitride or a metal oxide. 如請求項14所述之形成一半導體結構的方法,其中該金屬氮化物包含氮化鈦。The method for forming a semiconductor structure according to claim 14, wherein the metal nitride comprises titanium nitride. 如請求項11所述之形成一半導體結構的方法,其中該蝕刻步驟係在一第一處理腔室中執行,該沉積步驟係在一第二處理腔室中執行。The method for forming a semiconductor structure according to claim 11, wherein the etching step is performed in a first processing chamber, and the deposition step is performed in a second processing chamber. 如請求項11所述之形成一半導體結構的方法,進一步包含以下步驟:將該半導體基板從該第一處理腔室轉移到該第二處理腔室,且其中該轉移步驟係以在不破壞真空的情況下執行。The method for forming a semiconductor structure according to claim 11, further comprising the steps of: transferring the semiconductor substrate from the first processing chamber to the second processing chamber, and wherein the transferring step is performed without breaking a vacuum. Case. 如請求項11所述之形成一半導體結構的方法,其中該半導體基板定義多個溝道,且其中在多個表面上蝕刻該金屬材料。The method for forming a semiconductor structure according to claim 11, wherein the semiconductor substrate defines a plurality of channels, and wherein the metal material is etched on a plurality of surfaces. 如請求項11所述之形成一半導體結構的方法,其中利用該金屬材料相對於該介電材料大於或約10:1的一選擇性而執行該蝕刻步驟。The method for forming a semiconductor structure according to claim 11, wherein the etching step is performed using a selectivity of the metal material relative to the dielectric material of greater than or about 10: 1. 如請求項11所述之形成一半導體結構的方法,其中利用該金屬材料相對於該介電材料大於或約2:1的一選擇性而執行該沉積。The method for forming a semiconductor structure according to claim 11, wherein the depositing is performed using a selectivity of the metal material relative to the dielectric material of greater than or about 2: 1.
TW107113501A 2017-04-20 2018-04-20 Structure with selective barrier layer TWI775839B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201762487728P 2017-04-20 2017-04-20
US62/487,728 2017-04-20

Publications (2)

Publication Number Publication Date
TW201839849A true TW201839849A (en) 2018-11-01
TWI775839B TWI775839B (en) 2022-09-01

Family

ID=63856886

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107113501A TWI775839B (en) 2017-04-20 2018-04-20 Structure with selective barrier layer

Country Status (2)

Country Link
TW (1) TWI775839B (en)
WO (1) WO2018195423A1 (en)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200118504A (en) 2018-03-02 2020-10-15 램 리써치 코포레이션 Selective deposition using hydrolysis
US11189635B2 (en) 2019-04-01 2021-11-30 Applied Materials, Inc. 3D-NAND mold
CN110021603B (en) * 2019-04-11 2021-09-14 德淮半导体有限公司 Semiconductor structure and forming method thereof
US11638377B2 (en) 2019-09-13 2023-04-25 Applied Materials, Inc. Self-aligned select gate cut for 3D NAND
US11587796B2 (en) 2020-01-23 2023-02-21 Applied Materials, Inc. 3D-NAND memory cell structure
US11930637B2 (en) 2020-06-19 2024-03-12 Applied Materials, Inc. Confined charge trap layer
JP2023552763A (en) * 2020-12-02 2023-12-19 東京エレクトロン株式会社 Method for recessing filling material in openings formed in a patterned substrate
US20240055268A1 (en) * 2022-08-10 2024-02-15 Tokyo Electron Limited Substrate processing with selective etching

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100655277B1 (en) * 1999-12-04 2006-12-08 삼성전자주식회사 Method of forming a common source line in nand type flash memory
US20070031609A1 (en) * 2005-07-29 2007-02-08 Ajay Kumar Chemical vapor deposition chamber with dual frequency bias and method for manufacturing a photomask using the same
KR100985881B1 (en) * 2008-05-28 2010-10-08 주식회사 하이닉스반도체 Flash memory device and method of manufacturing the same
EP2589070B1 (en) * 2010-06-30 2019-11-27 SanDisk Technologies LLC Ultrahigh density vertical nand memory device and method of making thereof
US9111877B2 (en) * 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US9379124B2 (en) * 2014-06-25 2016-06-28 Sandisk Technologies Inc. Vertical floating gate NAND with selectively deposited ALD metal films
US9595669B2 (en) * 2015-06-30 2017-03-14 Western Digital Technologies, Inc. Electroplated phase change switch
US9806089B2 (en) * 2015-09-21 2017-10-31 Sandisk Technologies Llc Method of making self-assembling floating gate electrodes for a three-dimensional memory device

Also Published As

Publication number Publication date
WO2018195423A1 (en) 2018-10-25
TWI775839B (en) 2022-09-01

Similar Documents

Publication Publication Date Title
TWI775839B (en) Structure with selective barrier layer
US10465294B2 (en) Oxide and metal removal
TWI790265B (en) Improved metal contact landing structure
US9412608B2 (en) Dry-etch for selective tungsten removal
WO2014113177A1 (en) Dry-etch for selective tungsten removal
TW201430946A (en) Selective titanium nitride etching
JP7401593B2 (en) Systems and methods for forming voids
US10128086B1 (en) Silicon pretreatment for nitride removal
TWI785783B (en) Systems and methods for selective metal compound removal
TWI751326B (en) Self-aligned via process flow
TWI774754B (en) Self-aligned contact and gate process flow
TWI758464B (en) Selective formation of silicon-containing spacer
TWI782981B (en) Conversion of sub-fin to soi
TWI798215B (en) Selective sidewall spacers
TWI778048B (en) Methods of forming semiconductor structures
WO2021049306A1 (en) Film forming method, film forming device, and film forming system

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent