TW201810096A - 積體電路元件之多重圖案化方法 - Google Patents

積體電路元件之多重圖案化方法 Download PDF

Info

Publication number
TW201810096A
TW201810096A TW105133311A TW105133311A TW201810096A TW 201810096 A TW201810096 A TW 201810096A TW 105133311 A TW105133311 A TW 105133311A TW 105133311 A TW105133311 A TW 105133311A TW 201810096 A TW201810096 A TW 201810096A
Authority
TW
Taiwan
Prior art keywords
nodes
integrated circuit
layout
edges
graph
Prior art date
Application number
TW105133311A
Other languages
English (en)
Other versions
TWI587164B (zh
Inventor
謝艮軒
賴志明
劉如淦
黃文俊
鄭文立
王派偉
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Application granted granted Critical
Publication of TWI587164B publication Critical patent/TWI587164B/zh
Publication of TW201810096A publication Critical patent/TW201810096A/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0207Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/70Adapting basic layout or design of masks to lithographic process requirements, e.g., second iteration correction of mask patterns for imaging
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0611Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/18Manufacturability analysis or optimisation for manufacturability

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Engineering & Computer Science (AREA)
  • Theoretical Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

本揭露是關於使用多重圖案化製造積體電路之方法。提供積體電路之佈局,佈局具有複數個積體電路特徵。自佈局取得一圖形,圖形具有複數個節點,節點透過複數個邊連接,其中節點代表積體電路特徵,而邊代表積體電路特徵之間的間隙。選擇至少二個節點,其中被選擇之節點並未直接透過一邊連接,而被選擇之節點共用至少一相鄰節點,其中至少一相鄰節點連接於N邊,其中N大於2。移除連接少於N邊的節點一。

Description

積體電路元件之多重圖案化方法
本揭露是關於積體電路之製造方法,特別是關於使用多重圖案化製造積體電路之方法。
半導體積體電路(integrated circuit;IC)工業歷經了快速的成長。在積體電路的演化中,功能密度(如每個晶片內的內連接元件之數量)逐漸增加,而尺寸大小(如製程所能製造出的最小元件)則不斷縮小。尺寸的縮小提供了諸多好處,例如產品效能以及降低成本。而尺寸的縮小亦增加了製程及生產的複雜性,故隨著此類優勢的不斷演化,積體電路的製造需要更多類似的發展。
例如,隨著尺寸的縮小,將增加傳統的光微影製程的困難度。一種解決此問題的方法為雙重圖案化(double patterning;DP)。典型的雙重圖案化將積體電路佈局分解為兩個子區域,並在各子區域形成光罩。晶圓透過兩個光罩進行兩個光微影製程。兩光微影製程之圖案互相覆蓋並合併在晶圓上形成密集的圖案。然而隨著尺寸的縮小,雙重圖案化在某些時候已無法滿足極小圖案密度之需求。
一種解決方法為多重圖案化(multiple patterning;MP),將積體電路佈局分解為N個子區域(本揭露中,N為大於2之整數,除非有另外指定)。至少N個光罩和並將積體電路佈局之圖案轉移至晶圓上。然而,用於積體電路之設計以及製造的多重圖案化的方法仍有許多挑戰,例如多重圖案化的分解相當於數學中的N塗色問題(N-coloring problem),塗色問題是一個不確定多項式時間之完成問題(NP-complete problem)。因此,需要找尋一個實際的方法來了解積體電路之設計以及製造的多重圖案化方法。
本揭露之一實施例為製造積體電路之方法,包含提供積體電路之佈局,佈局具有複數個積體電路特徵。由佈局推導出一圖形,圖形具有複數個節點,節點間透過複數個邊連接,其中節點代表積體電路特徵,而邊代表積體電路特徵之間的間隙。選擇至少二節點,其中被選擇之節點並未直接透過一邊連接,而被選擇之節點共用至少一相鄰節點,其中至少一相鄰節點連接於N邊,其中N大於2。合併被選擇之節點,藉此將與至少一相鄰節點連接的邊之數量降低至N以下,其中合併步驟的執行是透過使用電腦化之積體電路工具。移除連接少於N邊的節點。
本揭露之另一實施例為製造積體電路之方法,包含提供積體電路之一佈局,佈局具有複數個積體電路特 徵。分割積體電路特徵為N個子區域,使得N個子區域之每一者被分別指定至用於光微影製程之光罩層,其中N大於2,且分割步驟的執行是透過使用電腦化之積體電路工具,其中分割步驟包含:自佈局取得一圖形,圖形具有複數個節點,節點透過複數個邊連接,其中節點代表積體電路特徵,而邊代表積體電路特徵之間的間隙。移除連接少於N邊的節點。選擇至少二個節點,其中被選擇之節點並未直接透過一邊連接,且被選擇之節點共用至少一相鄰節點,其中至少一相鄰節點連接於N邊。合併被選擇之節點,藉此將連接至少一相鄰節點的邊之數量降低至N以下。反覆執行移除步驟、選擇步驟,以及合併步驟直到圖形中節點全部被移除。
本揭露之又一實施例為製造積體電路之方法,包含提供積體電路之佈局,佈局具有複數個積體電路特徵。檢查積體電路特徵是否適用於使用N個光罩來進行光微影製程之多重圖案化,其中N大於2,且檢查步驟的執行是透過使用電腦化之積體電路工具,其中檢查步驟包含自佈局取得一圖形,圖形具有複數個節點,節點透過複數個邊連接,其中節點代表積體電路特徵,而邊代表積體電路特徵之間的間隙,且間隙小於一臨界值。移除連接少於N邊的節點。選擇至少二個節點,其中被選擇之節點並未直接透過一邊連接,且被選擇之節點共用至少一相鄰節點,其中至少一相鄰節點連接於N邊。合併被選擇之節點,藉此將連接至少一相鄰節點的邊之數量降低至N以下,其中合併步驟包含加入一虛擬指示器至佈局,虛擬指示器指出節點所表示的積體電路 特徵可指定至同一光罩層。以及反覆執行移除步驟、選擇步驟,以及合併步驟直到圖形中節點全部被移除。
100‧‧‧系統
120‧‧‧設計廠
122、122a‧‧‧積體電路佈局
123、124、125、126、127、128、134、136、302、304、306、308、310、312、314、314a、314b、314c‧‧‧操作
130‧‧‧光罩廠
132‧‧‧光罩資料製備
144‧‧‧光罩製造
150‧‧‧積體電路製造商
152‧‧‧晶圓
160‧‧‧積體電路元件
400‧‧‧佈局
500、506、508‧‧‧圖形
552、554、556、558‧‧‧多重圖案化輔助特徵
560、562‧‧‧塗色標記
C01、C02‧‧‧顏色
600‧‧‧積體電路工具
602‧‧‧微處理器
604‧‧‧輸入元件
606‧‧‧儲存元件
608‧‧‧影片控制器
610‧‧‧系統記憶體
612‧‧‧總線
614‧‧‧顯示器
616‧‧‧通訊元件
P1、P2、P3、P4、P5、P6、P7、P8、P9、P18、P19、P20、P21、P22、P23、P24、P25、P26、P27、P28、P29、P30、P31‧‧‧節點/圖案
S12、S23、S24、S34‧‧‧間隙
E12、E24‧‧‧邊
閱讀以下詳細敘述並搭配對應之圖式,可了解本揭露之多個態樣。應注意,根據業界中的標準做法,多個特徵並非按比例繪製。事實上,多個特徵之尺寸可任意增加或減少以利於討論的清晰性。
第1圖為本揭露之部分實施例之積體電路之製造系統以及流程的方塊圖。
第2圖為第1圖之積體電路之製造系統的細節流程圖。
第3A圖為本揭露之部分實施例之製造積體電路之方法的流程圖。
第3B圖為第3A圖之方法的操作步驟之示意圖。
第4A圖為本揭露之部分實施例之積體電路佈局的示意圖。
第4B圖為第4A圖之積體電路佈局的演算法圖形。
第5A圖及第5B圖為本揭露之部分實施例之多重圖案化方法之演算法圖形的簡化方法。
第6A、6B及6C圖為本揭露之部分實施例之多重圖案化方法中演算法圖形的簡化示意圖。
第7A、7B、7C、7D、7E及7F圖為本揭露之部分其他實施例之多重圖案化方法中演算法圖形的簡化示意圖。
第8A、8B、8C及8D圖為本揭露之部分實施例之插入多重圖案化虛擬指示器至演算法圖形(積體電路佈局)的範例。
第9圖為本揭露之部分實施例之用於執行第3A圖及第3B圖之方法的電腦化之積體電路工具的方塊圖。
以下揭露提供眾多不同的實施例或範例,用於實施本案提供的主要內容之不同特徵。下文描述一特定範例之組件及配置以簡化本揭露。當然,此範例僅為示意性,且並不擬定限制。舉例而言,以下描述「第一特徵形成在第二特徵之上方或之上」,於實施例中可包括第一特徵與第二特徵直接接觸,且亦可包括在第一特徵與第二特徵之間形成額外特徵使得第一特徵及第二特徵無直接接觸。此外,本揭露可在各範例中重複使用元件符號及/或字母。此重複之目的在於簡化及釐清,且其自身並不規定所討論的各實施例及/或配置之間的關係。
此外,空間相對術語,諸如「下方(beneath)」、「以下(below)」、「下部(lower)」、「上方(above)」、「上部(upper)」等等在本文中用於簡化描述,以描述如附圖中所圖示的一個元件或特徵結構與另一元件或特徵結構的關係。除了描繪圖示之方位外,空間相對術語也包含元件在使用中或操作下之不同方位。此設備可以其他方式定向(旋轉90度或處於其他方位上),而本案中使用之空間相 對描述詞可相應地進行解釋。
本揭露之多個實施例大致上是關於積體電路的設計與製造。特別地,本揭露是關於積體電路製造的多重圖案化方法,其中積體電路佈局被分割為N個子區域,用於製造N個光罩,N為大於2之整數,例如3、4等。N個子區域之每一者皆對應到一個光罩層的資料檔案。這裡所說之光罩(或遮罩、網線)是用於光微影製程中的機構,如一個具有圖案化鉻層之熔融石英板,而光罩層是一個用於製造光罩的資料檔案(例如GDS檔)。N個不同的光罩合併用於圖案化晶圓。隨著半導體製程尺度不斷縮小的情形下,多重圖案化方法可以加強習知光微影工具的能力。多重圖案化之分割製程亦可看作是是塗色製程(coloring process),將積體電路佈局中的圖案指定成不同的顏色,以指示每個圖案對應到哪個光罩。分割製程可藉由設計及/或佈局工程師來設計進行。替代地或額外地,可在設計階段之後來執行分割製程,例如,在代工(foundry)製造階段後進行。
將積體電路佈局分割為N個子區域相當於在一個圖形上塗N個顏色,一般稱為不確定多項式時間之完成問題(可參照例如:M.R.Garey et al.“Some simplified NP-complete problems,”1974,pp.47-63)。同樣地,本揭露之目標在於找到一個實用的方法,將多重圖案化方法在積體電路製造中執行。
第1圖為本揭露之部分實施例之積體電路之製造系統100以及流程的方塊圖,此流程可根據提供的不同態 樣進行優化。積體電路製造系統100包含複數個實體單位,包含設計廠120、光罩廠130,以及積體電路製造商150(如:FAB),對所欲形成的積體電路元件160的設計、製造,以及生產線及/或服務,彼此互相配合以生產積體電路元件160。複數個實體單位透過通訊網路連接,可為單一網路或是多個網路,例如內部網路或是網際網路,亦可包含接線或無線通訊頻道。各實體單位與其他實體單位互動,可提供服務及/或接收服務。一個或多個設計廠120、光罩廠130以及積體電路製造商150可分別隸屬於不同公司,或屬於同公司並共用設備或資源。
設計廠120生產積體電路佈局122。積體電路佈局122包括多個用於設計積體電路元件160的幾何圖案(如:多邊形)。幾何圖案對應到一個或多個半導體層的積體電路特徵以形成積體電路元件160。舉例而言,積體電路元件160具有主動區、閘電極、源/汲極特徵、隔離特徵、金屬線、導孔等等。設計廠120執行適當的設計製程以形成積體電路佈局122。設計製程可包含邏輯設計、物理設計、配置以及繞線,及/或不同的設計檢查操作。積體電路佈局122位於一個或多個資料檔案中,儲存了幾何圖案的資訊。例如,積體電路佈局122可為GDS-2檔格式或是DF-2檔格式。第2圖為本揭露之部分實施例之設計廠120的細節流程圖。
參照第2圖,在一實施例中,設計流程包含操作123,產生具有幾何圖案(如:多邊形)之積體電路佈局 122a,每個幾何圖案對應到積體電路的特徵。於本實施例中,由於積體電路佈局122a之一層或多個層的圖案密度很高,因此在製造期間需要使用多重圖案化技術。設計流程進行至操作124及125,包含設計規則檢查(design rule checking;DRC)。特別地,設計規則檢查用來確認積體電路佈局122a是否適合多重圖案化分割(或是積體電路佈局122a是否適用多重圖案化)。換句話說,操作124及125用於檢查積體電路佈局122a是否具有N塗色性(N-colorable)。
在一實施例中,一個通過操作124及125的積體電路佈局122a要被確認是否適用多重圖案化。由於N塗色性一般而言為不確定多項式時間之完成問題,因此即便積體電路佈局122a未通過操作124及125,仍具有適用多重圖案化之可能性。若積體電路佈局122a未通過操作124及125,設計流程更包含操作126,用於插入多重圖案化輔助特徵(assistant features;AF)或多重圖案化虛擬指示器(virtual indicators)至積體電路佈局122a內。多重圖案化虛擬指示器增加了積體電路佈局122a通過操作124及125的機率。例如,多重圖案化虛擬指示器可指定某些積體電路特徵配置於同一光罩層。設計廠120的部分態樣是關於確認N塗色性以及插入多重圖案化虛擬指示器,更詳細內容將會在本揭露之後續討論。
若積體電路佈局122a或調整後之版本,通過操作124及125,則設計流程在操作127執行其他作業,例如 電路佈局驗證(layout versus schematic;LVS)、可製造性設計(design for manufacturability;DFM)驗證,及/或其他作業。接著,在操作128中,積體電路佈局122a或調整後之版本,透過下線(taped out)而成為積體電路佈局122。換句話說,積體電路佈局122a將會位於一個或多個具有幾何圖案資料的資料檔案中(如GDSII或DFII檔),積體電路佈局122亦可能包含操作126所插入之虛擬指示器。
回頭參照第1圖,光罩廠130將積體電路佈局122製造成一組光罩,並根據積體電路佈局122來製造積體電路元件160之各個層。光罩廠130執行光罩資料製備132(data preparation)以及光罩製造144。光罩資料製備132將積體電路佈局122轉換為可被光罩曝寫機(mask writer)運作的格式。光罩製造144製造光罩組。
第2圖繪示了本揭露之部分實施例之光罩資料製備132的更多細節。參照第2圖,在一實施例中,光罩資料製備132包含了多重圖案化的圖案分割(操作134),其中包含了使用積體電路佈局122內之多重圖案化輔助特徵或虛擬指示器。相應地,一個佈局(或是積體電路的一層)被分割為N個子區域(以顏色1、顏色2、顏色3至顏色N作代表),而每個子區域分別進行處理以進行光罩生產144。圖案分割之操作134將在本揭露之後續段落中進一步討論。光罩資料製備132可進一步包含製造流程(操作138),如光學接近修正(optical proximity correction;OPC)、離軸照明(off-axis illumination)、次解析輔助特徵 (sub-resolution assist features;SRAFs)、其他適合之技術,或上述之組合。
參照回第1圖,在光罩資料製備132之後及光罩生產144期間,根據修正後之積體電路佈局122產生一組光罩。一組光罩包含N個用於多重圖案化之光罩。例如,根據修正後之積體電路佈局122,利用電子束或多個電子束機構將圖案形成在光罩上。光罩可用不同方式形成,諸如二元式光罩(binary mask)或是相位轉移式光罩(phase shifting mask)。例如,二元式光罩包含一個透明基板(如:融熔石英)以及塗佈在基板上之不透明材料(如:鉻)。不透明材料是根據修正後之積體電路佈局122圖案化在基板上,因此在二元式光罩上形成透明區域與不透明區域。輻射光束(如紫外光)可穿過透明區域並被不透明區域阻擋,藉此將光罩的圖案轉移至塗佈在晶圓152上之光感應材料層(如光阻層)。
積體電路製造商150,例如半導體製造廠,利用光罩製造積體電路元件160,如光微影製程。積體電路製造商150可包含產線前段(front-end-of-line;FEOL)製造設備,以及產線後端(back-end-of-line;BEOL)製造設備。於本實施例中,透過光罩製造半導體晶圓152並搭配多重圖案化方法來製造積體電路元件160。半導體晶圓152包含具有材料層之矽基板或其他適合之基板。其他適合之基板材料包含其他適合的元素半導體(如鑽石或鍺)、化合物半導體(如碳化矽、砷化銦,或磷化銦),或合金半導體(如碳化矽鍺、磷化砷鎵,或磷化銦鎵)。半導體晶圓152更包含多 個摻雜區、介電特徵,以及多層內連接結構(在後續的製造步驟中形成)。光罩可於不同製程中使用,例如,光罩可用於離子植入製程以在半導體晶圓內形成多個摻雜區,用於蝕刻製程以在半導體晶圓內形成多個蝕刻區,及/或其他適合之製程。
第3A及3B圖為本揭露之部分實施例之方法300的概要流程圖。於一實施例中,方法300在設計廠120(如第2圖所示)中執行,用於確認積體電路佈局是否適用多重圖案化。於另一實施例中,方法300於光罩資料製備132(如第2圖所示)中執行,用於分割積體電路佈局,以製造多重圖案化之光罩。方法300僅為範例性質,並不用於限制於本揭露之範疇。額外的操作可在方法300之前、期間,或之後進行,且部分操作可被取代、省略,或根據其他實施例而改變位置。第3A及3B圖之方法為概要流程圖,每個操作之細節將會配合本揭露之後續圖式(第4A圖至第8D圖)詳細描述。
參照第3A圖,在方法300之操作302中,提供積體電路之佈局。參照第4A圖,一個示意性佈局400包含幾何圖案P1、P2、P3及P4(本實施例中為多邊形)。每個圖案分別代表積體電路之特徵,如主動區、閘電極、源/汲極特徵、隔離特徵、金屬線、導孔,或其他適合之積體電路特徵。圖案之間彼此分離。特別地,圖案P1及P2之間具有間隙S12,圖案P2及P3之間具有間隙S23,圖案P2及P4之間具有間隙S24,以及圖案P3及P4之間具有間隙S34。此外,於本實施例 中,間隙S12及S24小於一個預定的間隙X,而間隙S23及S34大於或等於預定的間隙X。間隙X代表最佳或最小的解析度(最小間隙),也就是此半導體製造技術世代中光微影製程所能達到的最小間隙。換句話說,間隙X就是相鄰的積體電路特徵之間,在不縮短相鄰之積體電路特徵的情況下,光微影製程所能製造出的最小距離。關於間隙X的更多資訊可參考美國專利(U.S.Patent No.8,683,392)。
方法300之操作304中,取得積體電路佈局之演算法圖形。取得圖形500第4B圖為沿用積體電路佈局400所取得之演算法圖形。為方便描述起見,演算法圖形在後續皆以圖形做敘述。圖形500包含多個節點(vertex),節點之間透過邊(edge)連接。每個節點代表對應的圖案P1至P4。為了方便描述,本揭露中節點與對應之圖案使用相同之編號。邊代表著小於間隙X的距離。於本實施例中,邊E12代表間隙S12,而邊E24代表間隙S24。應注意節點P3並沒有透過任何的邊連接,是因為圖案P3與其他圖案之間距離夠遠。為了方便起見,定義每個節點的「級數」(degree)為每個節點所連接的邊之數量。如於本範例中,節點P2之級數為2,而節點P1及P4之級數為1,而節點P3之級數為0。
在方法300的操作308中,將操作304中的圖形簡化。於一實施例中,操作306簡化圖形之方法為移除連接少於N邊的節點,亦即級數小於N之節點。基本原理是因為級數小於N之節點在N塗色問題中總是能被塗色。如第5A圖及第5B圖之3圖色問題(N=3,有效之顏色為顏色A、B及 C)。參照第5A圖,在圖形502中,節點P5之級數為1,且節點P5與P6之間具有一個邊。若節點P6可被塗色(也就是節點P6上可塗上一個顏色),則節點P5亦可被塗色。例如,節點P6塗上顏色A,則節點P5可塗上顏色B或C。因此,節點P5在圖形502中就不是一個3著色問題,意味著節點P5可自圖形502中移除。參照第5B圖,圖形504中,節點P7的級數為2並連接至節點P8與P9。根據上述相同之分析,節點P7可自圖形504中移除以簡化圖形504。於本實施例中,當一個節點自圖形中移除,與節點相連之邊亦移除。
於一實施例中,操作306的移除過程反覆地執行,直到所有的節點皆被移除,或是剩餘的節點之級數皆大於或等於N。第6A圖至第6C圖為3塗色問題(N=3)之簡化示意圖。第6A圖之圖形506具有節點P10、P11、P12、P13及P14的級數為1或2(皆小於N)。第6B圖之圖形506為移除節點P10、P11、P12、P13及P14之後的圖形。如圖所示,節點P15、P16及P17變為可以移除的狀態(級數小於N)。第6C圖為移除節點P15、P16及P17之後的圖形。此時,圖形506中所有節點之級數皆大於3。
方法300之操作308中,確認是否所有節點皆從圖形中移除。若所有的節點在操作306中都被移除(圖形已不存在任何節點),則下方之積體電路佈局(操作302中所提供之)適用多重圖案化,意味著圖案可以被分配至N個光罩上。例如,佈局400(如第4A圖所示),以及圖形502(如第5A圖所示)所對應的佈局、圖形504(如第5B圖所示)所對應 的佈局皆可適用三重圖案化製程(N=3)之多重圖案化。於部分實施例中,結果的格式根據方法300執行的地方而會有所不同。
於一實施例中,方法300透過設計廠120(如第2圖所示)執行,且結果的型態可為插入虛擬指示器之積體電路佈局122。虛擬指示器與積體電路圖案相連並指示積體電路圖案該如何設計成光罩層。此外,虛擬指示器亦可位於電腦中的佈局檔案,而不需要實際位於光罩上。例如,虛擬指示器可為積體電路佈局中參考層的塗色標記(coloring marker),且積體電路圖案中若具有相同的塗色標記則配置於相同的光罩層,若具有不同的塗色標記則配置於不同的光罩層。另一個例子為,虛擬指示器可為積體電路圖案的特徵,例如區域、文字,或其他積體電路圖案內的特徵,並指出積體電路圖案該被配置到相同光罩層或不同光罩層。於另一實施例中,虛擬指示器為插入積體電路佈局內之多重圖案化輔助特徵(例如:多邊形)。於不同實施例中,虛擬指示器可為任何的形式,只要它可被用於設計多重圖案化之積體電路生產工具(例如:光罩資料製備132)辨識即可。
於另一實施例中,方法300透過光罩資料製備132執行,且執行結果為分割之圖案,可用於製造N個光罩。在一實施例中,操作310獲取在操作306中每個步驟所移除之節點的資訊,並以逆向順序塗色積體電路圖案。例如,操作310將操作306中最後一步驟移除之節點塗色,再將操作306之倒數第二步驟中移除之節點塗色,依此類推。直到操 作306之第一步驟中移除的節點被塗色為止。在所有節點被塗色後(全部的圖案被分配至一光罩層),操作310輸出各個圖案組為檔案,用於光罩製作,如第1圖及第2圖所討論。
本揭露之發明人發現,在某些狀況下,即便圖形適用多重圖案化,操作306並不會永遠將圖形中的節點完全移除。這樣的狀況下,即便一個積體電路佈局可進行多重圖案化,但仍然會被設計廠120(如第2圖所示)之設計規則檢查工具拒絕,或被光罩廠130(如第2圖所示)拒絕。這樣的狀況下,可進一步對佈局進行調整,或是增加額外的光罩,以用於光微影製程。上述之兩種情況,都會導致設計及生產的成本的增加。第7A圖為一個四重圖案化(N=4)的範例。請參照第7A圖,自積體電路佈局取得圖形508,其中圖形508是經由如操作306等,透過簡化其他更複雜之圖案而來。如圖所示,第7A圖之各節點的級數等於或大於4。照這情況來看,積體電路佈局並不適用於四重圖案化。但事實上,圖形508是具有4塗色性的,並將會在後續討論。
本揭露之發明人進一步發現,部分特定的積體電路圖案(或圖形中所對應之節點)可被指定至相同的光罩層,基於這些積體電路圖案之特性及/或與其相鄰之圖案的關聯。例如,部分積體電路圖案屬於臨界路徑電路(critical path circuit)並需要被指定至同一光罩層,以最小化覆蓋誤差(overlay errors)或其他多重圖案化所造成的失配。舉另一例子來說,兩個相連之三角形的對向節點可指定為相同顏色(至少要為3塗色問題)。例如,圖形508(第7A圖所示) 之節點P18及P19,兩個相鄰的三角形△P18P20P26及△P19P20P26共用邊P20P26。節點P18及P19即為相鄰之兩個三角形的對向節點。同樣地,節點P18及P19亦為兩個相鄰的三角形△P18P21P26及△P19P21P26之對向節點。因此,節點P18及P19可指定為相同顏色。當二個或更多節點被指定為相同顏色,則這二個或更多節點可合併(更多細節將在後續討論),並可降低與這二個或更多節點連接之節點的級數。因此,藉由合併特定節點,一個乍看無法適用多重圖案化之積體電路佈局可被轉變為適用多重圖案化。
於一實施例中,即便兩節點並未直接連結(並未直接透過一邊相連),兩節點仍可被指定為相同顏色(而對應之圖案可被指定為相同光罩層)。在又一實施例中,兩節點在以下狀況可指定為相同顏色:(1)兩節點並未直接連結。(2)兩節點共用一個或多個相鄰之節點。以及(3)相鄰之至少一節點當下的級數為N。因此,藉由合併兩個節點,相鄰之節點的級數可被降低至小於N,代表相鄰之節點在操作306中可被移除,以進一步簡化圖形。於另一實施例中,每個欲合併之節點透過N個邊與其他節點連接。
參照回第3A圖,於本實施例中,當操作306無法完全簡化圖形(例如第7A圖之圖形508),方法300至操作308進行至操作312。在方法300之操作312中,選擇用於合併之節點。於不同實施例中,當圖形小於一個特定尺寸時(例如:當圖形中節點的數量小於一個臨界值),操作312可嘗試各種可能的顏色指定之組合,直到找出解為止,或直到所 有可能的組合皆被嘗試但仍無法找出解為止(這種狀況即為完全不適用多重圖案化,而需要請設計工程師調整以解決此問題)。若具有解,則操作312用相同塗色標記特定節點或指明那些節點可以合併。
方法300之操作314中,將操作312所選擇之節點(或標記為可合併之節點)合併。如第7B圖所示,節點P18及P19被合併(在後續之多重圖案化之設計規則檢查或多重圖案化分解製程視為一體)且合併之節點具有新的級數5。合併後的結果為,相鄰之節點P20、P21及P26的級數分別減少1。現在,節點P20、P21及P26之級數分別為3、3,及6。同樣地,節點P22及P23被合併,相鄰之節點P24、P25及P27的級數分別減少1。雖然第7B圖之選擇及合併步驟僅包含一對節點,實際上,操作312及314可應用於將兩個或多個節點合併為群組。
於一實施例中,兩個節點之合併的完成是透過插入多重圖案化輔助特徵至積體電路佈局內(第3B圖之操作314a)。第8A圖為其中一個例子。多重圖案化輔助特徵552及554插入至圖形508所對應之圖案中。於本實施例中,多重圖案化輔助特徵552及554為多邊形。此外,多重圖案化輔助特徵552及554是以電腦化佈局檔案的形式顯示,而並非實際出現在光罩上。多重圖案化輔助特徵552及554的出現,指示節點P18及P19所代表的佈局圖案可配置於相同光罩層(第一光罩層)並指示節點P22及P23所代表的佈局圖案可配置於相同光罩層(第二光罩層)。應注意,第一及 第二光罩層可為相同光罩層或不同光罩層。於一實施例中,多重圖案化輔助特徵552及554經過設計廠120(第2圖所示)加入至佈局。例如,多重圖案化輔助特徵552(554)可配置於節點P18與節點P19(或節點P22與節點P23)所代表的佈局圖案之間,並可與各自的佈局圖案實際接觸。另一範例中,多重圖案化輔助特徵552及554可與佈局圖案的任一邊具有些微間隔。於一實施例中,多重圖案化輔助特徵552及554部分覆蓋各自的佈局圖案。於第8B圖之另一實施例中,多重圖案化輔助特徵556及558完全地覆蓋各自的佈局圖案。應了解本揭露之各個不同的實施例都具有插入多重圖案化輔助特徵的可能性。
於另一實施例中,兩節點之合併可透過插入塗色標記至佈局的參考層,或調整佈局之參考層內之已存在的塗色標記來達成(如第3B圖之操作314b)。如第8C圖所示,節點P18及P19(或佈局圖案)被分配到相同的塗色標記560,而節點P22及P23(或佈局圖案)被分配到相同的塗色標記562。塗色標記560及562位於參考層上,參考層與畫有節點P18、P19、P22及P23之層是分離的。於一實施例中,參考層經由工具(例如操作124之多重圖案化設計規則檢查或操作134之圖案分割)處理並告知該工具相同之塗色標記之圖案被配置於同一光罩層。
於又一實施例中,兩節點之合併可藉由插入文字(text)或調整各佈局圖案所對應之文字來達成(第3B圖之操作314c),其中文字作為各佈局圖案的特徵。如第8D圖所 示,佈局圖案P18及P19與一文字連接,指出它們被指定成相同顏色C01。而佈局圖案P22及P23與另一文字連接,指出它們被指定成相同顏色C02。於不同實施例中,顏色C01與C02可為相同或不同顏色。文字可與各佈局圖案位於同一層,或不同層。於一實施例中,各文字經由工具(例如操作124之多重圖案化設計規則檢查或操作134之圖案分割)處理並告知該工具與文字相連之圖案被配置於同一光罩層。
參照回第3A圖,在合併適合的節點後,方法300回到操作306執行進一步的圖形簡化。如第7B圖所示,節點P20、P21、P24及P25皆可被移除,因為它們的級數都是3(其中N=4)。第7C圖為圖形508移除節點P20、P21、P24、P25,以及相連的邊之結果。由於移除節點P20、P21、P24、P25,以及相連的邊,合併之節點P18P19以及合併之節點P22P23之級數變為3,變為可移除的狀態。第7D圖為圖形508移除合併之節點P18P19以及合併之節點P22P23的結果。亦代表著節點P26及P27可在下一步驟中移除。第7E圖為移除P26及P27之結果。第7F圖為所有的節點皆自圖形508中移除的結果。換句話說,圖形508所代表的積體電路佈局適用於多重圖案化製程(此範例為N=4之四重圖案化)。方法300(如第3A圖所示)繼續進行至操作310以輸出結果。於一實施例中,節點的塗色(操作309)可反向追蹤操作306的簡化過程。例如,節點P28、P29、P30及P31首先被塗色,再來是節點P26及P27被塗色,再來是節點P18、P19、P22及P23被塗色,而最後是節點P20、P21、P24及P25被塗色。
於部分實施例中,方法300(如第3A圖所示)可反覆地執行迴圈(操作306、308、312及314)直到有解為止。應注意由於N塗色問題(N>2)為不確定多項式時間之完成問題,對於一個給定的佈局,方法300在一個給定的運算時間及/或有限的資源下,有可能找出解,亦可能找不出解。於部分實施例中,電腦的運算額度(如運算時間、資源的多寡)是基於設計廠120或光罩廠130(第2圖所示)的設計花費而決定。例如,當方法300在運算額度內無法找出一個結果,則可以在下一步嘗試之前,對佈局做一些調整(如第2圖之操作126)。
第9圖為一個電腦化之積體電路工具600,用於執行上述之方法300的各個實施例。電腦化之積體電路工具600可為設計廠120之設計工具(例如:設計規則檢查工具)或光罩廠130之光罩資料製備工具。電腦化之積體電路工具600包含微處理器602、輸入元件604、儲存元件606、影片控制器608、系統記憶體610、顯示器614,以及通訊元件616,上述各者彼此之間透過一個或多個總線612連接。儲存元件606可為軟式磁碟、硬碟、唯讀記憶光碟(CD-ROM)、光學驅動機,或任何型態之儲存元件。此外,儲存元件606可接收軟碟、唯讀記憶光碟、數位多功能影音光碟(DVD-ROM),或其他形式之電腦可讀取媒介。於一範例中,輸入元件604及儲存元件606合併用於接收佈局(例如:第2圖之佈局122a或122)。於一實施例中,儲存元件606可包含電腦可執行指令,當微處理器602讀取指令時,微處 理器602執行上述之方法300。此外,通訊元件616可為數據機、網路卡,或其他可讓積體電路工具600與其他工具通訊的元件。
電腦化之積體電路工具600可使用硬體、軟體,或上述之組合來執行方法300。硬體的範例為可用處理器平台(processor-capable platform),例如個人電腦或伺服器,亦可為手持處理元件,例如手機、平板,以及個人數位助理。此外,硬體可包含其他可以執行機器可讀指令的實體元件,例如場域可程式化閘陣列(field programmable gate array;FPGA)以及特殊應用積體電路(application specific integrated circuits(ASIC)。軟體包含任何儲存於記憶體媒介之機器程式碼,例如隨機存取式記憶體(random access memory;RAM)或唯讀式記憶體(read-only memory),或是儲存於其他元件中的程式碼,例如軟式磁碟、快閃記憶體,或是唯讀記憶光碟。軟體可包含原始碼或目的碼。此外,軟體包含任何可以執行用戶端及伺服器之指令組。
軟體與硬體的結合可強化本揭露之特定實施例之功能及表現。一個範例為直接將軟體功能製造進矽晶片,例如場域可程式化閘陣列或特殊應用積體電路。相應地,應了解軟體與硬體的結合亦包含在電腦化之積體電路工具600的定義中,亦可涵蓋本揭露之任何可能的等效結構或方法。
本揭露之電腦可讀式媒介包含被動式資料儲存 (passive data storage),例如隨機存取式記憶體,以及半永久資料儲存(semi-permanent data storage),例如唯讀記憶光碟。此外,本揭露之一實施例可包含在電腦之隨機存取式記憶體中,如此一來,一個標準電腦便轉換成電腦化之積體電路工具600。
電腦化之積體電路工具600可設計於在任何的架構中運作。例如,電腦化之積體電路工具600之設計可運作於單一電腦、區域網路、主從網路(client-server network)、廣域網路、網際網路、手持或其他可攜式及無線元件及網路。
雖然不欲進行限制,本揭露對半導體製程提供了諸多益處。例如本揭露之部分實施例可執行多重圖案化,將積體電路佈局分割為三個或多個子區域,各子區域分別製造在一個光罩內。當傳統光微影或雙重圖案化光微影製程無法滿足圖案密度之增加的需求時,透過檢察特定的佈局是否適用多重圖案化,或對特定佈局進行多重圖案化分割可更有效地處理以上的問題。根據本揭露之部分實施例,將節點合併之方法可透過使用虛擬指示器(例如:多重圖案化輔助特徵、圖色標記,以及多重圖案化文字)執行,且虛擬指示器可輕易地加入至現存的設計及製造流程。
本揭露之一實施例為製造積體電路之方法,包含提供積體電路之佈局,佈局具有複數個積體電路特徵。由佈局推導出一圖形,圖形具有複數個節點,節點間透過複數個邊連接,其中節點代表積體電路特徵,而邊代表積體電路特徵之間的間隙。選擇至少二節點,其中被選擇之節點並未 直接透過一邊連接,而被選擇之節點共用至少一相鄰節點,其中至少一相鄰節點連接於N邊,其中N大於2。合併被選擇之節點,藉此將與至少一相鄰節點連接的邊之數量降低至N以下,其中合併步驟的執行是透過使用電腦化之積體電路工具。移除連接少於N邊的節點。
本揭露之另一實施例為製造積體電路之方法,包含提供積體電路之一佈局,佈局具有複數個積體電路特徵。分割積體電路特徵為N個子區域,使得N個子區域之每一者被分別指定至用於光微影製程之光罩層,其中N大於2,且分割步驟的執行是透過使用電腦化之積體電路工具,其中分割步驟包含:自佈局取得一圖形,圖形具有複數個節點,節點透過複數個邊連接,其中節點代表積體電路特徵,而邊代表積體電路特徵之間的間隙。移除連接少於N邊的節點。選擇至少二個節點,其中被選擇之節點並未直接透過一邊連接,且被選擇之節點共用至少一相鄰節點,其中至少一相鄰節點連接於N邊。合併被選擇之節點,藉此將連接至少一相鄰節點的邊之數量降低至N以下。反覆執行移除步驟、選擇步驟,以及合併步驟直到圖形中節點全部被移除。
本揭露之又一實施例為製造積體電路之方法,包含提供積體電路之佈局,佈局具有複數個積體電路特徵。檢查積體電路特徵是否適用於使用N個光罩來進行光微影製程之多重圖案化,其中N大於2,且檢查步驟的執行是透過使用電腦化之積體電路工具,其中檢查步驟包含自佈局取得一圖形,圖形具有複數個節點,節點透過複數個邊連接,其中節點代表積體電路特徵,而邊代表積體電路特徵之間的 間隙,且間隙小於一臨界值。移除連接少於N邊的節點。選擇至少二個節點,其中被選擇之節點並未直接透過一邊連接,且被選擇之節點共用至少一相鄰節點,其中至少一相鄰節點連接於N邊。合併被選擇之節點,藉此將連接至少一相鄰節點的邊之數量降低至N以下,其中合併步驟包含加入一虛擬指示器至佈局,虛擬指示器指出節點所表示的積體電路特徵可指定至同一光罩層。以及反覆執行移除步驟、選擇步驟,以及合併步驟直到圖形中節點全部被移除。
上文概述了若干實施例的特徵,以便本領域熟習此項技藝者可更好地理解本揭示案的態樣。本領域熟習此項技藝者應當瞭解到他們可容易地使用本揭示案作為基礎來設計或者修改其他製程及結構,以實行相同目的及/或實現相同優勢的。本領域熟習此項技藝者亦應當瞭解到,此類等效構造不脫離本揭示案的精神及範疇,以及在不脫離本揭示案的精神及範疇的情況下,其可對本文進行各種改變、取代及變更。
508‧‧‧圖形
P18、P19、P20、P21、P22、P23、P24、P25、P26、P27‧‧‧節點/圖案

Claims (10)

  1. 一種製造積體電路之方法,包含:提供該積體電路之一佈局,該佈局具有複數個積體電路特徵;由該佈局推導出一圖形,該圖形具有複數個節點,該些節點透過複數個邊連接,其中該些節點代表該些積體電路特徵,而該些邊代表該些積體電路特徵之間的間隙;選擇至少二節點,其中被選擇之該些節點並未直接透過一邊連接,而被選擇之該至少二節點共用至少一相鄰節點,其中該至少一相鄰節點連接於N邊,其中N大於2;合併被選擇之該至少二節點,藉此將與該至少一相鄰節點連接的該些邊之一數量降低至N以下,其中該合併步驟的執行是透過使用一電腦化之積體電路工具;以及移除連接少於N邊的該些節點。
  2. 如請求項1所述之方法,更包含:反覆執行該選擇步驟、該合併步驟,以及該移除步驟,直到該圖形中之所有該些節點全部被移除。
  3. 如請求項2所述之方法,更包含:用至多N個顏色,塗色被移除之連接少於N邊的該些節點,其中各顏色對應至用於光微影之一光罩層。
  4. 如請求項3所述之方法,更包含:製造一組光罩,其中該組光罩中之各光罩分別對應至 該些積體電路特徵所分割出之一子區域,該些積體電路特徵所分割出之該子區域透過具有相同顏色之該些節點來表示。
  5. 如請求項1所述之方法,其中該合併步驟包含調整該佈局,調整該佈局是藉由加入一虛擬指示器至該佈局,該虛擬指示器指出被選擇之該些節點所表示的該些積體電路特徵可指定至一同一光罩層。
  6. 如請求項5所述之方法,其中該虛擬指示器為一輔助特徵、一塗色標記,或一文字。
  7. 一種製造積體電路之方法,包含:提供該積體電路之一佈局,該佈局具有複數個積體電路特徵;以及分割該些積體電路特徵為N個子區域,使得N個子區域之每一者被分別指定至用於光微影製程之一光罩層,其中N大於2,且該分割步驟的執行是透過使用一電腦化之積體電路工具,其中該分割步驟包含:自該佈局取得一圖形,該圖形具有複數個節點,該些節點透過複數個邊連接,其中該些節點代表該些積體電路特徵,而該些邊代表該些積體電路特徵之間的間隙;移除連接少於N邊的該些節點;選擇至少二個節點,其中被選擇之該些節點並未直接透過一邊連接,且被選擇之該些節點共用至少一相鄰節 點,其中該至少一相鄰節點連接於N邊;合併被選擇之該些節點,藉此將連接該至少一相鄰節點的該些邊之一數量降低至N以下;以及反覆執行該移除步驟、該選擇步驟,以及該合併步驟直到該圖形中該些節點全部被移除。
  8. 如請求項7所述之方法,更包含:用至多N個顏色,塗色被移除之連接少於N邊的該些節點,其中各顏色對應至用於光微影之一光罩層。
  9. 如請求項7所述之方法,更包含:製造一組光罩,各組光罩分別對應至該些積體電路特徵所分割出之該些子區域之其中一者。
  10. 一種製造積體電路之方法,包含:提供該積體電路之一佈局,該佈局具有複數個積體電路特徵;以及檢查該些積體電路特徵是否適用於使用N個光罩來進行光微影製程之多重圖案化,其中N大於2,且該檢查步驟的執行是透過使用一電腦化之積體電路工具,其中該檢查步驟包含:自該佈局取得一圖形,該圖形具有複數個節點,該些節點透過複數個邊連接,其中該些節點代表該些積體電路特徵,而該些邊代表該些積體電路特徵之間的間隙,且間隙小於一臨界值; 移除連接少於N邊的該些節點;選擇至少二個節點,其中被選擇之該些節點並未直接透過一邊連接,且被選擇之該些節點共用至少一相鄰節點,其中該至少一相鄰節點連接於N邊;合併被選擇之該些節點,藉此將連接該至少一相鄰節點的該些邊之一數量降低至N以下,其中該合併步驟包含加入一虛擬指示器至該佈局,該虛擬指示器指出該些節點所表示的該些積體電路特徵可指定至一同了光罩層;以及反覆執行該移除步驟、該選擇步驟,以及該合併步驟直到該圖形中該些節點全部被移除。
TW105133311A 2015-12-30 2016-10-14 積體電路元件之多重圖案化方法 TWI587164B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201562273365P 2015-12-30 2015-12-30
US62/273,365 2015-12-30
US15/179,754 2016-06-10
US15/179,754 US10078718B2 (en) 2015-12-30 2016-06-10 Multiple patterning method for semiconductor devices

Publications (2)

Publication Number Publication Date
TWI587164B TWI587164B (zh) 2017-06-11
TW201810096A true TW201810096A (zh) 2018-03-16

Family

ID=59069042

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105133311A TWI587164B (zh) 2015-12-30 2016-10-14 積體電路元件之多重圖案化方法

Country Status (5)

Country Link
US (2) US10078718B2 (zh)
KR (2) KR102102419B1 (zh)
CN (1) CN106935584B (zh)
DE (1) DE102016114812A1 (zh)
TW (1) TWI587164B (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10276394B2 (en) 2017-09-14 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Hybrid double patterning method for semiconductor manufacture
TWI679490B (zh) * 2018-11-13 2019-12-11 華邦電子股份有限公司 產生雙圖案光罩的處理方法以及其記錄媒體
TWI766370B (zh) * 2019-09-23 2022-06-01 美商應用材料股份有限公司 用於數位微影裝置的數位圖樣檔案最佳化

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10078718B2 (en) 2015-12-30 2018-09-18 Taiwan Semiconductor Manufacturing Co., Ltd. Multiple patterning method for semiconductor devices
CN106874543B (zh) * 2017-01-04 2020-06-09 上海华虹宏力半导体制造有限公司 版图的lef图形处理方法
US10509881B2 (en) 2017-09-28 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Method for coloring circuit layout and system for performing the same
US11079685B2 (en) 2017-11-14 2021-08-03 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing photo masks
CN111352297B (zh) * 2018-12-20 2023-02-28 华邦电子股份有限公司 产生双图案光罩的处理方法以及其记录媒体
CN116342625B (zh) * 2023-03-30 2023-10-03 广东思沃激光科技有限公司 用于LDI曝光设备的gds图形分割方法

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1998055950A1 (en) 1997-06-06 1998-12-10 Chapman David C Integrated circuit layout synthesis tool
US6678876B2 (en) 2001-08-24 2004-01-13 Formfactor, Inc. Process and apparatus for finding paths through a routing space
US6578183B2 (en) 2001-10-22 2003-06-10 Silicon Perspective Corporation Method for generating a partitioned IC layout
US7861205B2 (en) 2008-07-07 2010-12-28 Cadence Design Systems, Inc. Spine selection mode for layout editing
US8745554B2 (en) * 2009-12-28 2014-06-03 Taiwan Semiconductor Manufacturing Company, Ltd. Practical approach to layout migration
US8631379B2 (en) * 2010-02-09 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Decomposing integrated circuit layout
US8683392B2 (en) 2011-07-21 2014-03-25 Taiwan Semiconductor Manufacturing Company, Ltd. Double patterning methodology
US8601416B2 (en) 2012-03-15 2013-12-03 Taiwan Semiconductor Manufacturing Co., Ltd. Method of circuit design yield analysis
US8713491B2 (en) 2012-03-29 2014-04-29 Taiwan Semiconductor Manufacturing Co., Ltd. Pre-colored methodology of multiple patterning
US9361423B2 (en) 2012-04-13 2016-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. RC corner solutions for double patterning technology
US8762900B2 (en) 2012-06-27 2014-06-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method for proximity correction
US8745556B2 (en) 2012-06-28 2014-06-03 Taiwan Semiconductor Manufacturing Co., Ltd. Layout method and system for multi-patterning integrated circuits
US8769451B2 (en) 2012-07-12 2014-07-01 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device design method, system and computer program product
US8775993B2 (en) 2012-08-31 2014-07-08 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit design flow with layout-dependent effects
US8782575B1 (en) 2013-01-23 2014-07-15 Taiwan Semiconductor Manufacturing Company Limited Conflict detection for self-aligned multiple patterning compliance
US8910095B2 (en) * 2013-02-19 2014-12-09 Mentor Graphics Corporation Layout decomposition for triple patterning lithography
US8793640B1 (en) 2013-03-12 2014-07-29 Taiwan Semiconductor Manufacturing Company, Ltd. Methods and apparatus for RC extraction
US8887116B2 (en) 2013-03-14 2014-11-11 Taiwan Semiconductor Manufacturing Co., Ltd. Flexible pattern-oriented 3D profile for advanced process nodes
US9141752B2 (en) * 2013-03-14 2015-09-22 Taiwan Semiconductor Manufacturing Co., Ltd. EDA tool and method for conflict detection during multi-patterning lithography
US9690897B2 (en) * 2014-02-27 2017-06-27 Nxp Usa, Inc. Efficient extraction for colorless multi patterning
US10078718B2 (en) 2015-12-30 2018-09-18 Taiwan Semiconductor Manufacturing Co., Ltd. Multiple patterning method for semiconductor devices

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10276394B2 (en) 2017-09-14 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Hybrid double patterning method for semiconductor manufacture
US10483120B2 (en) 2017-09-14 2019-11-19 Taiwan Semiconductor Manufacturing Co., Ltd. Hybrid double patterning method for semiconductor manufacture
US10770304B2 (en) 2017-09-14 2020-09-08 Taiwan Semiconductor Manufacturing Co., Ltd. Hybrid double patterning method for semiconductor manufacture
TWI679490B (zh) * 2018-11-13 2019-12-11 華邦電子股份有限公司 產生雙圖案光罩的處理方法以及其記錄媒體
US10957555B2 (en) 2018-11-13 2021-03-23 Winbond Electronics Corp. Processing method for producing photomask with double patterns and storage medium thereof
TWI766370B (zh) * 2019-09-23 2022-06-01 美商應用材料股份有限公司 用於數位微影裝置的數位圖樣檔案最佳化

Also Published As

Publication number Publication date
US20170193147A1 (en) 2017-07-06
US10817635B2 (en) 2020-10-27
TWI587164B (zh) 2017-06-11
CN106935584A (zh) 2017-07-07
KR20180100035A (ko) 2018-09-06
US10078718B2 (en) 2018-09-18
CN106935584B (zh) 2019-11-08
KR102102419B1 (ko) 2020-04-21
US20190042685A1 (en) 2019-02-07
KR20170080435A (ko) 2017-07-10
DE102016114812A1 (de) 2017-07-06

Similar Documents

Publication Publication Date Title
TWI587164B (zh) 積體電路元件之多重圖案化方法
US11756999B2 (en) Double height cell regions, semiconductor device having the same, and method of generating a layout diagram corresponding to the same
US8527916B1 (en) Dissection splitting with optical proximity correction to reduce corner rounding
TWI603143B (zh) 光學鄰近修正之執行方法
US9026971B1 (en) Multi-patterning conflict free integrated circuit design
US20150234974A1 (en) Multiple patterning design with reduced complexity
KR102058224B1 (ko) 집적 회로 레이아웃 방법, 구조물, 및 시스템
US11171089B2 (en) Line space, routing and patterning methodology
JP2007279759A (ja) モデルベース光近接補正用収束技術
US8735050B2 (en) Integrated circuits and methods for fabricating integrated circuits using double patterning processes
US11901286B2 (en) Diagonal via pattern and method
US11763057B2 (en) Critical dimension uniformity
US20230267262A1 (en) Metal cut region location method
US11636248B2 (en) Metal cut region location system
US10770304B2 (en) Hybrid double patterning method for semiconductor manufacture