TW201303515A - Method and system for design of a surface to be manufactured using charged particle beam lithography - Google Patents

Method and system for design of a surface to be manufactured using charged particle beam lithography Download PDF

Info

Publication number
TW201303515A
TW201303515A TW101105805A TW101105805A TW201303515A TW 201303515 A TW201303515 A TW 201303515A TW 101105805 A TW101105805 A TW 101105805A TW 101105805 A TW101105805 A TW 101105805A TW 201303515 A TW201303515 A TW 201303515A
Authority
TW
Taiwan
Prior art keywords
shots
dose
pattern
shot
desired pattern
Prior art date
Application number
TW101105805A
Other languages
Chinese (zh)
Inventor
Akira Fujimura
Original Assignee
D2S Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US13/037,263 external-priority patent/US20120221985A1/en
Priority claimed from US13/037,270 external-priority patent/US9057956B2/en
Priority claimed from US13/037,268 external-priority patent/US20120221980A1/en
Application filed by D2S Inc filed Critical D2S Inc
Publication of TW201303515A publication Critical patent/TW201303515A/en

Links

Abstract

A method and system for fracturing or mask data preparation are disclosed which can reduce the critical dimension variation of patterns formed on a resist-coated surface using particle beam lithography by providing a higher peak dosage near the perimeter of the patterns than in the interiors of the patterns.

Description

欲使用帶電粒子束微影術製造之表面的設計方法與系統 Design method and system for surfaces to be fabricated using charged particle beam lithography 相關申請案 Related application

此申請案主張2011年2月28日提出申請,且發明名稱為「用於使用帶電粒子束微影術之待製造表面之設計的方法與系統(Method and System For Design Of A Surface To Be Manufactured Using Charged Particle Beam Lithography)」之美國專利申請案第13/037,263號,此文獻就各方面而言係併入本文中以供參考。此申請案亦關於2011年2月28日提出申請,且發明名稱為「用於帶電粒子束微影術用之增強精確圖案之設計的方法與系統(Method and System For Design Of Enhanced Accuracy Patterns For Charged Particle Beam Lithography)」之美國專利申請案第13/037,268號;且亦關於2011年2月28日提出申請,且發明名稱為「用於帶電粒子束微影術用之增強邊緣斜率圖案之設計的方法與系統(Method and System For Design Of Enhanced Edge Slope Patterns For Charged Particle Beam Lithography)」之美國專利申請案第13/037,270號,此二文獻就各方面而言皆併入本文中以供參考。 This application claims to be filed on February 28, 2011, and the title of the invention is "Method and System For Design Of A Surface To Be Manufactured Using." U.S. Patent Application Serial No. 13/037,263, the disclosure of which is incorporated herein by reference. This application is also filed on February 28, 2011, and the title of the invention is "Method and System For Design Of Enhanced Accuracy Patterns For Charged." U.S. Patent Application Serial No. 13/037,268, the entire disclosure of which is incorporated herein by reference in its entirety in its entirety in its entirety in U.S. Patent Application Serial No. 13/037,270, the disclosure of which is incorporated herein by reference.

技術領域 Technical field

本發明揭露是關於微影術,且特別是關於使用帶電粒子束微影術之表面的設計與製造,此表面可為倍縮光罩(reticle)、晶圓或任何其它表面。 The present invention is directed to lithography, and in particular to the design and manufacture of surfaces using charged particle beam lithography, which may be reticles, wafers or any other surface.

在例如積體電路之半導體元件的生產或製造中,光微影術可用於製造半導體元件。光微影術為一印刷製程,其中由倍縮光罩製造之光刻遮罩或光罩係用於轉移圖案至如半導體或矽晶圓的基板上以產生積體電路(I.C.)。其他基板可包括平面顯示器或甚至其它的倍縮光罩。再者,極紫外線(EUV)或X-射線微影術被認為是光微影術的類型。倍縮光罩或多重倍縮光罩可含有對應於積體電路個別層的電路圖案,且此圖案可成像在基板之已塗覆有一已知的光阻或抗蝕劑之輻射敏感材料層的特定區域上。一旦圖案化層被轉移,該層可進行各種不同的其它製程,例如蝕刻、離子植入(摻雜)、金屬化、氧化及拋光。此等製程係應用於完成基板中的個別層。若需要數層,則每一新層將重覆整個製程或其變化。最後,多數元件或積體電路的組合將呈現在基板上。此等積體電路可接著藉由切割或鋸開而彼此分離,且接著可安裝入個別的封裝體中。在更一般的例子中,基板上的圖案可用於界定加工品,例如顯示器像素、全息圖或磁性記錄頭。 Photolithography can be used to fabricate semiconductor components in the production or manufacture of semiconductor components such as integrated circuits. Photolithography is a printing process in which a lithographic mask or reticle made of a reticle is used to transfer a pattern onto a substrate such as a semiconductor or germanium wafer to produce an integrated circuit (I.C.). Other substrates may include flat panel displays or even other pleated reticle. Furthermore, extreme ultraviolet (EUV) or X-ray lithography is considered to be the type of photolithography. The reticle or multi-fold reticle may contain a circuit pattern corresponding to individual layers of the integrated circuit, and the pattern may be imaged on a layer of radiation sensitive material of the substrate that has been coated with a known photoresist or resist. On a specific area. Once the patterned layer is transferred, the layer can be subjected to a variety of other processes such as etching, ion implantation (doping), metallization, oxidation, and polishing. These processes are applied to complete individual layers in the substrate. If several layers are required, each new layer will repeat the entire process or its changes. Finally, a combination of most components or integrated circuits will be presented on the substrate. These integrated circuits can then be separated from one another by cutting or sawing and can then be mounted into individual packages. In a more general example, the pattern on the substrate can be used to define a finished product, such as a display pixel, hologram, or magnetic recording head.

在例如積體電路之半導體元件的生產或製造中,無光罩直寫(maskless direct write)亦可使用於製造半導體元件。無光罩直寫為一種印刷製程,其中將帶電粒子束微影術使用於轉移圖案至例如半導體或矽晶圓的基板以產生積體電路。其它基板可包括平面顯示器,用於奈米壓印的壓印光罩,或甚至是倍縮光罩。一層的所欲圖案係直接寫在表面上,該表面在此例子中亦為基板。一旦圖案層被轉移, 該層可進行各種不同的其它製程,例如蝕刻、離子植入(摻雜)、金屬化、氧化,及拋光。此等製程係應用於最後加工基板中的個別層。若需要數層,則每一新層將重覆整個製程或其變化。部分層可使用光微影術寫入,而其它層可使用無光罩直寫來寫入以製造相同基板。另外,特定層的部分圖案可使用光微影術寫入,且使用無光罩直寫其它圖案。最後,多數元件或積體電路的組合將出現在基板上。此等積體電路接著藉由切割或鋸切彼此分離且接著安裝入個別封裝體中。在更一般性的例子中,表面上的圖案可使用於界定例如顯示器像素、全息圖或磁性記錄頭。 In the production or manufacture of semiconductor components such as integrated circuits, maskless direct write can also be used to fabricate semiconductor components. The maskless writing is a printing process in which charged particle beam lithography is used to transfer a pattern to a substrate such as a semiconductor or germanium wafer to produce an integrated circuit. Other substrates may include flat panel displays, imprint reticle for nanoimprinting, or even pleated reticle. The desired pattern of a layer is written directly onto the surface, which in this example is also a substrate. Once the pattern layer is transferred, This layer can be subjected to a variety of other processes such as etching, ion implantation (doping), metallization, oxidation, and polishing. These processes are applied to individual layers in the final processed substrate. If several layers are required, each new layer will repeat the entire process or its changes. Some layers can be written using photolithography, while other layers can be written using a maskless direct write to make the same substrate. In addition, a partial pattern of a particular layer can be written using photolithography and other patterns are written straight using a maskless. Finally, a combination of most components or integrated circuits will appear on the substrate. These integrated circuits are then separated from each other by cutting or sawing and then mounted into individual packages. In a more general example, the pattern on the surface can be used to define, for example, a display pixel, a hologram, or a magnetic recording head.

二種常見形式之帶電粒子束微影術為可變式形狀電子束(VSB)及符元投影(CP)。此等皆為形狀電子束帶電粒子束微影術的次種類,其中精密電子束被成型且被操縱,以致能曝光塗覆光阻的表面,例如晶圓表面或倍縮光罩表面。在VSB中,此等形式為簡單形狀,一般限制於特定最小及最大尺寸的矩形,且具有與笛卡兒座標平面(亦即具有曼哈頓(manhattan)位向)之軸平行的邊,以及特定最小及最大尺寸的45度正三角形(亦即具有三個內角為45度、45度及90度的三角形)。在預定的位置,將電子劑量射擊入具有此等簡單形狀的光阻中。此系統形式的總寫入時間隨著射擊次數而增加。在符元投影(CP)中,在系統中有一模板,其中具有各種不同的孔徑或符元,該孔徑或符元可為複雜的形狀,例如直線、任意角度的線形、圓形、近圓形、環形、近環形、橢圓形、近橢圓形、部分圓形、部分近圓形、 部分環形、部分近環形、部分近橢圓形,或任意曲線形狀,且可為一連接的複雜形狀組或一連接的複雜形狀組的多數脫節組的群組。電子束可經由模板上之一符元射擊以有效率地在倍縮光罩上產生更複雜的圖案。理論上,因為此一系統在每次耗時射擊能夠射擊出更複雜的形狀,所以能夠比VSB系統更快速。因此,利用VSB系統之E-型圖案射擊需要4次射擊,但利用符元投影系統,以1次射擊可射擊出相同的E-型圖案。需注意的是,VSB系統可視為作為符元投影之特殊(簡單)個案,其中此等符元只是簡單符元,一般為矩形或45-45-90度的三角形。亦可能局部曝光一符元。其可藉由例如阻斷部分粒子束來完成。舉例而言,上述之E-型圖案可局部曝光成F-型圖案或I-型圖案,其中藉由孔徑截斷不同的射束部分。此與如何可使用VSB射擊不同尺寸矩形的機制相同。在此揭露中,局部投影係用於意指符元投影及VSB投影二者。 Two common forms of charged particle beam lithography are variable shape electron beam (VSB) and symbol projection (CP). These are all sub-categories of shaped electron beam charged particle beam lithography in which a precision electron beam is shaped and manipulated to expose a photoresist-coated surface, such as a wafer surface or a pleated reticle surface. In VSB, these forms are simple shapes, generally limited to a particular minimum and maximum size rectangle, and have sides parallel to the axis of the Cartesian coordinate plane (ie, having a Manhattan orientation), and a specific minimum And a 45-degree equilateral triangle of the largest size (ie, a triangle with three internal angles of 45 degrees, 45 degrees, and 90 degrees). At a predetermined location, the electronic dose is shot into a photoresist having such a simple shape. The total write time for this system form increases with the number of shots. In symbol projection (CP), there is a template in the system with various apertures or symbols, which can be complex shapes, such as lines, lines of any angle, circles, and near circles. , ring, nearly annular, elliptical, nearly elliptical, partially circular, partially nearly circular, Partially annular, partially proximally annular, partially nearly elliptical, or any curved shape, and may be a group of connected complex shapes or a group of connected complex shapes that are mostly disjointed. The electron beam can be fired through one of the symbols on the stencil to efficiently produce a more complex pattern on the reticle. In theory, this system can be faster than a VSB system because it can shoot more complex shapes every time it takes time. Therefore, it takes 4 shots to shoot with the E-type pattern of the VSB system, but with the symbol projection system, the same E-pattern can be shot with one shot. It should be noted that the VSB system can be regarded as a special (simple) case of a symbol projection, where these symbols are simply symbols, generally rectangular or a 45-45-90 degree triangle. It is also possible to partially expose a symbol. This can be done, for example, by blocking a partial particle beam. For example, the E-type pattern described above may be partially exposed to an F-type pattern or an I-type pattern in which different beam portions are truncated by an aperture. This is the same as how you can use VSB to shoot rectangles of different sizes. In this disclosure, local projection is used to mean both symbol projection and VSB projection.

如所指出者,在光微影術中,光刻遮罩或倍縮光罩包含對應待積體化至基板上的電路元件的幾何圖案。用於製造倍縮光罩之圖案可利用電腦輔助設計(CAD)軟體或程式產生。在設計圖案上,CAD程式可依循一組預定的設計規則以致能產生倍縮光罩。此等規則可藉由加工、設計及終端使用限制來設定。終端使用限制的一例子為以無法在所需供應電壓下充分操作的方式,限定電晶體之幾何形狀。尤其,設計規則可界定電路裝置或互連線之間的空間公差。此設計規則為例如用於確保電路裝置或線以非所欲的 方式與另一者交互作用。舉例而言,使用設計規則,使得線不會以可造成短路的方式彼此太靠近。除了其它事項之外,此設計規則限制能被可靠製造的最小尺寸。當意指此等最小尺寸時,通常引入臨界尺寸的觀念。此等例如界定為一線的最小寬度或二線之間的最小空間,此等尺寸需要精細控制。 As indicated, in photolithography, the lithographic mask or reticle comprises a geometric pattern corresponding to the circuit elements to be integrated onto the substrate. The pattern used to make the reticle can be created using computer aided design (CAD) software or programming. In designing a pattern, the CAD program can follow a predetermined set of design rules to produce a reticle. These rules can be set by processing, design and end-use restrictions. An example of end-use limitations is to define the geometry of the transistor in a manner that does not operate adequately at the required supply voltage. In particular, design rules can define spatial tolerances between circuit devices or interconnects. This design rule is for example used to ensure that the circuit arrangement or line is undesired. The way to interact with the other. For example, design rules are used so that the wires do not get too close to each other in a way that can cause a short circuit. Among other things, this design rule limits the minimum size that can be reliably manufactured. When referring to these minimum dimensions, the concept of critical dimensions is often introduced. These are for example defined as the minimum width of a line or the minimum space between two lines, which require fine control.

在藉由光微影術之積體電路製造中的一目標為藉由使用倍縮光罩,在基板上複製原始電路設計。積體電路製造者總是企圖盡可能有效率地使用半導體晶圓真實狀況。工程師保持縮小電路尺寸以容許積體電路含有更多的電路元件及使用較少電力。因為積體電路臨界尺寸降低且其電路密度增加,電路圖案或實體設計的臨界尺寸逼近使用於光微影術之光學曝光工具的解析限度。因為電路圖案的臨界尺寸變得更小且逼近曝光工具的解析度值,實體設計至光阻層上顯影之實際電路圖案的精確轉錄變得困難。為了進一步使用光微影術於轉移具有小於光微影術使用之光波長的特徵之圖案,已發展一種已知為光學鄰近修正(OPC)的製程。OPC改變實體設計以補償因例如光繞射及特徵與緊鄰特徵之光交互作用的效應造成的畸變。OPC包括所有利用倍縮光罩執行的解析度增進技術。 One of the goals in the fabrication of integrated circuits by photolithography is to replicate the original circuit design on the substrate by using a reticle. Integral circuit manufacturers are always trying to use the true state of semiconductor wafers as efficiently as possible. Engineers keep the circuit down to allow the integrated circuit to contain more circuit components and use less power. As the critical dimension of the integrated circuit is reduced and its circuit density is increased, the critical dimension of the circuit pattern or solid design approximates the resolution limit of the optical exposure tool used in photolithography. Since the critical dimension of the circuit pattern becomes smaller and approaches the resolution value of the exposure tool, precise transcription of the actual circuit pattern that is physically designed to develop on the photoresist layer becomes difficult. In order to further use photolithography to transfer patterns having features that are smaller than the wavelength of light used for photolithography, a process known as optical proximity correction (OPC) has been developed. The OPC changes the physical design to compensate for distortion caused by, for example, light diffraction and effects of light interactions with features and immediate features. OPC includes all resolution enhancement techniques performed using a reticle.

OPC可增加次解析微影特徵以遮罩圖案以降低原始實體設計圖案,亦即設計,與基板上最終被轉移之電路圖案之間的差異。此次解析微影特徵與實體設計中原始圖案相互作用且彼此相互作用,且補償緊近效應以改良最終被轉 移之電路圖案。用於改良圖案之轉移的一特徵為次解析輔助特徵(SRAF)。增加以改良圖案轉移的另一特徵稱為「襯線」。襯線為能被放置在圖案的一角落以銳化最終被轉移影像中之該角落的小特徵。通常的狀況為SRAF之表面製造方法的精密度要求低於通常被稱為主要特徵之欲印刷在基板上的圖案。襯線為主要特徵的一部分。因為光微影術的限制更延伸入次波長波段(sub-wavelength regime),必須使OPC特徵愈來愈複雜,以致於補償更為細微的交互作用及功效。因為成像系統被推向更靠近其限制,製造具有足夠精細OPC特徵的倍縮光罩的能力變為關鍵性的。雖然增加襯線或其他OPC特徵至光罩圖案是有利的,其亦實質增加光罩圖案中的總特徵計數。舉例而言,使用傳統技術將一襯線添加至一正方形的每一角落,將使光罩或倍縮光罩多增加8個矩形。增加OPC特徵是非常吃力的工作,需要費用極高的計算時間,且造成更昂貴的倍縮光罩。不僅OPC特徵複雜,且因為光學鄰近效應(optical proximity effect)與最小線距尺寸相較為長程,在既定位置的正確OPC圖案顯著地依鄰近其他幾何圖形而定。因此,舉例而言,依倍縮光罩上鄰近者而定,線末端將具有不同尺寸的襯線。即使目的可能是在晶圓上製造完全相同的形狀也是如此。此等些微但關鍵性的改變是重要的,且已防止避免其他得以形成倍縮光罩圖案。傳統上是以主要特徵的角度討論待寫在倍縮光罩上的OPC裝飾圖案(OPC decoration),該主要特徵為在OPC裝飾之前反映出來的特徵,且OPC特徵,其中OPC 特徵可包括襯線、直角凹凸(jogs)及SRAF。為了量化些微改變的意義,由鄰近地區至鄰近地區OPC裝飾中典型的些微改變可為主要特徵尺寸的5%至80%。需注意的是,為了清楚起見,所參照的是OPC設計中的變化。製造變化,例如線邊緣粗糙度及角落圓化,亦出現於實際表面圖案中。當此等OPC變化在晶圓上產生實質相同圖案時,其意指晶圓上的幾何圖形在具體指明的失誤內被規定成相同,其依幾何圖形被設計執行的功能細節而定,例如電晶體或導線。然而,典型的規格為主要特徵範圍的2%-50%。存在有無數亦造成變化的製造因子,但整體失誤的OPC組件一般是在所列示的範圍內。例如次解析輔助特徵的OPC形狀,例如受到各種不同設計規則的管制,例如基於使用光微影術可轉移至晶圓之最小特徵尺寸的規則。其它設計規則可來自於光罩製程或若符元投影帶電粒子束寫入系統被使用於在倍縮光罩上形成圖案,則可來自模板製程。亦應注意的是,光罩上SRAF特徵的精確性要求可能低於遮罩上主要特徵的精確性要求。 The OPC can add sub-analytical lithography features to mask the pattern to reduce the original physical design pattern, that is, the difference between the design and the circuit pattern that is ultimately transferred on the substrate. The analytical lithography features interact with the original patterns in the physical design and interact with each other, and compensate for the close effect to improve the final turn. Move the circuit pattern. One feature used to improve the transfer of patterns is the Secondary Analytical Auxiliary Feature (SRAF). Another feature added to improve pattern transfer is called "serif". The serif is a small feature that can be placed in a corner of the pattern to sharpen the corner of the final image being transferred. The usual situation is that the surface fabrication method of SRAF requires less precision than the pattern to be printed on the substrate, which is commonly referred to as the main feature. The serif is part of the main feature. Because the limitations of photolithography extend into the sub-wavelength regime, the OPC features must be made more complex, so as to compensate for more subtle interactions and effects. As the imaging system is pushed closer to its limits, the ability to fabricate a pleated reticle with sufficiently fine OPC features becomes critical. While it is advantageous to add serifs or other OPC features to the reticle pattern, it also substantially increases the total feature count in the reticle pattern. For example, using a conventional technique to add a serif to each corner of a square will add an additional 8 rectangles to the reticle or reticle. Adding OPC features is a laborious task that requires extremely high computational time and results in a more expensive reticle. Not only is the OPC feature complex, but because the optical proximity effect is relatively long-range with the minimum line spacing size, the correct OPC pattern at a given location is significantly dependent on the proximity of other geometries. Thus, for example, depending on the neighbors on the reticle, the ends of the wire will have serifs of different sizes. Even if the purpose may be to make exactly the same shape on the wafer. These minor but critical changes are important and have prevented the avoidance of other doubling mask patterns. Traditionally, the OPC decoration to be written on the reticle has been discussed from the perspective of main features, which are features reflected before OPC decoration, and OPC features, among which OPC Features may include serifs, right angle jogs, and SRAF. To quantify the significance of minor changes, typical slight changes in OPC decorations from adjacent regions to adjacent regions can range from 5% to 80% of the main feature size. It should be noted that for the sake of clarity, reference is made to changes in the OPC design. Manufacturing variations, such as line edge roughness and corner rounding, also occur in actual surface patterns. When such OPC variations produce substantially the same pattern on the wafer, it means that the geometry on the wafer is specified to be the same within the specified error, depending on the functional details that the geometry is designed to perform, such as electricity. Crystal or wire. However, typical specifications range from 2% to 50% of the main feature range. There are countless manufacturing factors that also cause variations, but the overall OPC component is generally within the scope of the listing. For example, the OPC shape of the secondary resolution assist feature is governed, for example, by a variety of different design rules, such as rules based on the minimum feature size that can be transferred to the wafer using photolithography. Other design rules may come from the reticle process or if the symbol projection charged particle beam writing system is used to form a pattern on the reticle, it may come from a stencil process. It should also be noted that the accuracy requirements of the SRAF features on the reticle may be lower than the accuracy requirements of the main features on the mask.

用於在倍縮光罩上形成圖案的技術很多,包括使用光微影術或帶電粒子束微影術。最常使用的系統為可變式形狀電子束(VSB),其中如上文中所述,具有例如曼哈頓三角形及45-度正三角形之簡單形狀的電子劑量使經光阻塗覆之倍縮光罩表面曝光。在傳統遮罩寫入中,電子之劑量或射擊在傳統上係設計成儘可能避免重疊,以致能大幅簡化在倍縮光罩上的光阻將如何記錄在圖案上的計算。類似 地,設計成組的射擊以致能完全覆蓋待形成在倍縮光罩上的圖案。 There are many techniques for forming a pattern on a reticle, including the use of photolithography or charged particle beam lithography. The most commonly used system is a variable shape electron beam (VSB), wherein as described above, an electron dose having a simple shape such as a Manhattan triangle and a 45-degree equilateral triangle makes the photoresist coated reticle surface exposure. In conventional mask writing, the dose or shot of electrons has traditionally been designed to avoid overlap as much as possible, so that the calculation of how the photoresist on the reticle is recorded on the pattern can be greatly simplified. similar Ground, a set of shots is designed so as to completely cover the pattern to be formed on the reticle.

對於最先進技術節點的倍縮光罩寫入一般涉及多道帶電粒子束寫入,所謂多次曝光的製程,藉此在倍縮光罩上寫入且覆寫既定形狀。一般而言,使用二至四道來寫入倍縮光罩以平均帶電粒子寫入器的精密度誤差,容許產生更精確的光罩。一般而言,包括劑量的射擊的清單也每道相同。在多次曝光之一變化中,在多道曝光之間射擊清單可改變,但在任一道曝光中射擊的聯合覆蓋相同區域。多次寫入可降低光阻塗覆表面的過熱。多次寫入亦平均帶電粒子束寫入器的無規誤差。使用用於不同道曝光的不同射擊清單的多次寫入亦可降低寫入製程中特定系統性誤差的影響。 The doubling mask writing for the most advanced technology nodes generally involves multiple charged particle beam writing, a so-called multiple exposure process, whereby the reticle is written and overwritten with a predetermined shape. In general, using two to four tracks to write the reticle to average the precision error of the charged particle writer allows for a more accurate reticle. In general, the list of shots including doses is also the same for each lane. In one of the multiple exposures, the shot list can change between multiple exposures, but the joint of shots in either exposure covers the same area. Multiple writes can reduce overheating of the photoresist coated surface. Multiple writes also average the random error of the charged particle beam writer. Multiple writes using different shot lists for different track exposures can also reduce the effects of specific systemic errors in the write process.

有無數與帶電粒子中曝光有關聯的非所欲短程效應及長程效應。例如反向散射及起霧之長程效應(long-range effects)為被稱為區域劑量之圖案區域中劑量總和的函數,或寫入表面之所有射擊之總劑量的函數。因此,理想的是能夠降低藉由基板或倍縮光罩之表面所接收的總劑量,同時仍在預定公差內在光阻上形成所欲圖案。此外,有利的是同時降低曝光表面上圖案的所需的時間,以致能降低製造例如倍縮光罩或晶圓之表面的成本。 There are numerous undesired short-range effects and long-range effects associated with exposure in charged particles. For example, the long-range effects of backscattering and fogging are a function of the sum of the doses in the pattern area referred to as the regional dose, or a function of the total dose of all shots written to the surface. Therefore, it is desirable to be able to reduce the total dose received by the surface of the substrate or reticle while still forming the desired pattern on the photoresist within predetermined tolerances. Furthermore, it is advantageous to simultaneously reduce the time required to expose the pattern on the surface so that the cost of manufacturing a surface such as a reticle or wafer can be reduced.

發明概要 Summary of invention

本發明揭露一種用於碎化或遮罩資料準備之方法及系 統,其中一組帶電粒子束射擊在接近該所欲圖案的周邊產生高於該所欲圖案的內部之波峰劑量。本發明揭露的技術有利地降低半導體製造中的圖案之臨界尺寸變異,同時防止總劑量之不必要增加。在一具體實施例中,在射擊輪廓之間可留置間隙,其中間隙足夠小以致於在表面上將無間隙形成。在其它具體實施例中,可使用重疊射擊。又另一具體實施例中,包括拖曳射擊的使用。此方法可與可變型束(VSB)射擊、符元投影(CP)射擊或VSB與CP射擊之組合一起使用。 The invention discloses a method and system for shredding or masking data preparation A set of charged particle beam shots produces a peak dose that is higher than the interior of the desired pattern near the periphery of the desired pattern. The techniques disclosed herein advantageously reduce critical dimension variations of patterns in semiconductor fabrication while preventing unnecessary increases in total dose. In a specific embodiment, a gap may be left between the shot profiles, wherein the gap is sufficiently small that no gaps will be formed on the surface. In other embodiments, overlapping shots can be used. In yet another embodiment, the use of a tow shot is included. This method can be used with variable beam (VSB) shots, symbol projection (CP) shots, or a combination of VSB and CP shots.

圖式之簡單說明 Simple description of the schema

第1圖係圖解說明符元投影帶電粒子束系統之一實施例;第2A圖係圖解說明一單一帶電粒子束射擊的一實施例及該射擊之截面劑量圖;第2B圖係圖解說明一對鄰近射擊之一實施例以及該射擊對的截面劑量圖;第2C圖係圖解說明一形成在來自該成對之第2B圖射擊的光阻塗覆表面上之圖案的一實施例;第3A圖係圖解說明一多邊形圖案的一實施例;第3B圖係圖解說明第3A圖之多邊形圖案之傳統碎化的一實施例;第3C圖係圖解說明第3A圖之多邊形圖案之替代碎化的一實施例;第4A圖係圖解說明來自矩形射擊之射擊輪廓的一實 施例;第4B圖係圖解說明使用正常射擊劑量之第4A圖射擊的縱向射擊曲線的一實施例;第4C圖係圖解說明包括長程效應之類似第4B圖之縱向射擊曲線的一實施例;第4D圖係圖解說明使用高於正常射擊劑量之第4A圖射擊的縱向射擊曲線的一實施例;第4E圖係圖解說明包括長程效應之類似第4C圖之縱向射擊曲線的一實施例;第4F圖係圖解說明類似第4E圖之縱向射擊曲線但具有較高背景劑量等級的一實施例;第5A圖係圖解說明欲形成在一表面上之圓形圖案的一實施例;第5B圖係圖解說明可形成第5A圖之圖案的九個射擊之輪廓的一實施例;第6A圖係圖解說明在OPC之前,包含二正方形之圖案的一實施例;第6B圖係圖解說明可藉由第6A圖之圖案的OPC製程製成的一曲線圖案的一實施例;第6C圖係圖解說明第6B圖之圖案如何藉由拖曳圓形CP射擊及VSB射擊形成曲線圖案的一實施例;第6D圖係圖解說明第6B圖之圖案如何藉由拖曳圓形CP射擊及VSB射擊形成曲線圖案的另一實施例;第7圖係圖解說明如何製備用於使用光微影術之製造 例如矽晶圓上的積體電路之基板的一例如倍縮光罩之表面的概念流程圖;以及第8圖係圖解說明如何製備用於製造例如矽晶圓上的積體電路之基板的一表面的概念流程圖。 1 is a diagram illustrating an embodiment of a symbolic charged particle beam system; FIG. 2A illustrates an embodiment of a single charged particle beam shot and a cross-sectional dose diagram of the shot; FIG. 2B illustrates a pair An embodiment of an adjacent shot and a cross-sectional dose map of the shot pair; FIG. 2C illustrates an embodiment of a pattern formed on the photoresist coated surface from the pair of shots of FIG. 2B; FIG. 3A An embodiment of a polygonal pattern is illustrated; FIG. 3B is an embodiment illustrating the conventional fragmentation of the polygonal pattern of FIG. 3A; and FIG. 3C is a diagram illustrating an alternative fragmentation of the polygonal pattern of FIG. 3A. Embodiment; Figure 4A is a diagram illustrating a shot from a rectangular shot Example; Figure 4B is an illustration of an embodiment of a longitudinal firing curve shot using Figure 4A of a normal firing dose; Figure 4C is an illustration of an embodiment of a longitudinal firing curve similar to Figure 4B including a long range effect; 4D is an illustration of an embodiment of a longitudinal firing curve shot using a 4A map shot above a normal firing dose; FIG. 4E is an illustration of an embodiment of a longitudinal firing curve similar to 4C chart including a long range effect; The 4F diagram illustrates an embodiment similar to the longitudinal firing curve of Figure 4E but with a higher background dose level; Figure 5A illustrates an embodiment of a circular pattern to be formed on a surface; An embodiment illustrating the outline of nine shots that may form the pattern of Figure 5A; Figure 6A illustrates an embodiment of a pattern comprising two squares prior to OPC; Figure 6B illustrates the An embodiment of a curved pattern made by the OPC process of the pattern of FIG. 6A; FIG. 6C is an embodiment illustrating how the pattern of FIG. 6B forms a curved pattern by dragging a circular CP shot and a VSB shot. FIG 6D illustrates a first pattern of the first line of FIG. 6B how the circular CP shot by boxes and VSB shot another embodiment of the curved pattern is formed; FIG. 7 illustrates a system for producing photolithographic of how prepared using For example, a conceptual flow diagram of a surface of a substrate of an integrated circuit on a germanium wafer, such as a reticle, and FIG. 8 illustrates how to prepare a substrate for fabricating an integrated circuit such as a germanium wafer. Conceptual flow chart of the surface.

具體實施例之詳細說明 Detailed description of specific embodiments

本發明之揭露內容描述一種用於使圖案碎化成用於帶電粒子束寫入器之射擊的方法,其中在接近圖案周邊提供高於圖案內部的波峰劑量。此方法可降低後續形成表面上之圖案的臨界尺寸(CD)變異。 The present disclosure describes a method for shredding a pattern into a shot for a charged particle beam writer, wherein a peak dose above the pattern is provided near the perimeter of the pattern. This method reduces the critical dimension (CD) variation of subsequent patterns on the surface.

現參考圖式,其中類似的數字意指類似的物件,第1圖係圖解說明傳統微影術系統100的一具體實施例,例如帶電粒子束寫入器系統,在此例子中為應用符元投影以製造表面130的電子束寫入器系統。電子束寫入器系統100具有電子束源112,其朝向孔板116投射電子束114。板116上形成有一孔118,其容許電子束114通過。一旦電子束114通過孔118,其藉由一透鏡系統(未顯示)被導向或偏轉成為朝向另一矩形孔板或模板遮罩122的電子束120。模板122中形成有多數開孔或孔124,其界定各種不同形式的符元126,其可為複雜的符元。形成在模板122中的每一符元126可用於在例如矽晶圓、倍縮光罩或其它基板之基板132的一表面130上形成圖案148。在局部曝光中、局部曝光、局部投影、局部符元投影,或可變符元投影中,電子束120可被定位以致能僅撞擊或照射符元126中之一者的一部 分,藉此形成圖案148,其為符元126的子集。對每一符元126而言,其小於藉由孔118界定之電子束120的尺寸,不含孔的遮没區域136,其被設計成鄰近符元126,以致能防止電子束120照射到非所欲的模板122上符元。電子束134自符元126中之一者射出且通過縮小來自符元126之圖案尺寸的電磁或靜電縮小透鏡138。在普遍可取得的帶電粒子束寫入器系統,縮減因數係界於10至60。縮減電子束140自縮小透鏡138射出且藉由一系列的偏向器142導向至表面130作為圖案148,其被描述成具有對應符元126A之字母「H」的形狀。因為縮小透鏡138,與符元126A相較,圖案148具有縮小的尺寸。圖案148藉由使用電子束系統100之一射擊來描繪。與使用可變形射束(VSB)投影系統或方法相較,此降低完成圖案148的整體寫入時間。雖然顯示一孔118被形成於板116中,在板116中形成一個以上的孔是可能的。雖然在此實施例中顯示二板116及122,也可能只有一板或超過二板,每一板包含一或多個孔。 Referring now to the drawings in which like reference numerals refer to the like, FIG. 1 illustrates a particular embodiment of a conventional lithography system 100, such as a charged particle beam writer system, in this example an application symbol An electron beam writer system that projects to produce surface 130. The electron beam writer system 100 has an electron beam source 112 that projects an electron beam 114 toward the orifice plate 116. A hole 118 is formed in the plate 116 that allows the electron beam 114 to pass. Once the electron beam 114 passes through the aperture 118, it is directed or deflected by an lens system (not shown) into an electron beam 120 toward another rectangular aperture plate or template mask 122. A plurality of apertures or apertures 124 are formed in the template 122 that define various different forms of symbols 126, which may be complex symbols. Each of the symbols 126 formed in the template 122 can be used to form a pattern 148 on a surface 130 of the substrate 132, such as a germanium wafer, a pleated reticle, or other substrate. In partial exposure, partial exposure, partial projection, local symbol projection, or variable symbol projection, the electron beam 120 can be positioned to only impact or illuminate one of the symbols 126 Thus, a pattern 148 is formed which is a subset of the symbols 126. For each symbol 126, it is smaller than the size of the electron beam 120 defined by the aperture 118, and the aperture-free masking region 136 is designed to be adjacent to the symbol 126 so as to prevent the electron beam 120 from being illuminated. The desired template 122 is on the symbol. Electron beam 134 emerges from one of symbols 126 and passes through an electromagnetic or static reduction lens 138 that reduces the pattern size from symbol 126. In the commonly available charged particle beam writer system, the reduction factor is between 10 and 60. The reduced electron beam 140 emerges from the reduction lens 138 and is directed by a series of deflectors 142 to the surface 130 as a pattern 148, which is depicted as having the shape of the letter "H" of the corresponding symbol 126A. Because the lens 138 is reduced, the pattern 148 has a reduced size compared to the symbol 126A. Pattern 148 is depicted by firing using one of electron beam systems 100. This reduces the overall write time of the completed pattern 148 as compared to using a deformable beam (VSB) projection system or method. Although it is shown that a hole 118 is formed in the plate 116, it is possible to form more than one hole in the plate 116. Although two plates 116 and 122 are shown in this embodiment, there may be only one or more than two plates, each plate containing one or more holes.

在傳統帶電粒子束寫入器系統,縮小透鏡138被校正以提供固定縮減因數。縮小透鏡138及/或偏向器142亦使粒子束聚焦在表面130的平面。表面130的尺寸也可顯著大於偏轉板142之射束偏轉能力。因此,圖形一般是以一系列條紋的形式寫在表面上。每一條紋含有多數子場,其中一子場係在偏轉板142的射束偏轉能力內。電子束寫入器系統100含有一定位機構150以容許定位每一條紋及子場的基板132。在傳統帶電粒子束寫入器系統的一變化 中,基板132係保持靜止不動,而一子場被曝光,之後定位機構150移動基板132至下一子場位置。在傳統帶電粒子束寫入器系統的另一變化中,基板132在寫入過程中連續移動。在此涉及連續移動的變化中,當基板132移動時,除了偏轉板142之外,有另一組偏轉板(未顯示)在相同速度及方向下移動射束。 In a conventional charged particle beam writer system, the reduction lens 138 is calibrated to provide a fixed reduction factor. The reduced lens 138 and/or deflector 142 also focuses the particle beam on the plane of the surface 130. The size of the surface 130 can also be significantly greater than the beam deflection capability of the deflector plate 142. Therefore, the graphic is generally written on the surface in the form of a series of stripes. Each strip has a majority of subfields, one of which is within the beam deflection capability of deflection plate 142. The electron beam writer system 100 includes a positioning mechanism 150 to permit positioning of the substrate 132 for each stripe and subfield. A change in the traditional charged particle beam writer system The substrate 132 remains stationary while a subfield is exposed, after which the positioning mechanism 150 moves the substrate 132 to the next subfield position. In another variation of the conventional charged particle beam writer system, the substrate 132 is continuously moved during the writing process. In this variation involving continuous movement, when the substrate 132 is moved, in addition to the deflection plate 142, another set of deflection plates (not shown) move the beam at the same speed and direction.

能夠以合理精確度投射在表面130上的最小尺寸圖案受限於與電子束寫入器系統100及表面130有關聯的不同短程物理效應,其一般包含基板132上的光阻塗層。此等效應包括前散射、庫侖效應(Coulomb effect)及光阻擴散。射束模糊為用於包括所有此等短程效應的專門術語。最現代的電子束寫入器系統可達到20 nm至30 nm範圍內的有效射束模糊。前散射可構成四分之一至二分之一的總射束模糊。現代電子束寫入器系統含有無數的機構以使每一射束模糊的構成部分減至最低。部分電子束寫入器系統可容許在寫入過程中射束模糊被改變,由電子束寫入系統可得的最小值至一或更多較大值。 The smallest size pattern that can be projected onto surface 130 with reasonable accuracy is limited by the different short range physical effects associated with electron beam writer system 100 and surface 130, which typically include a photoresist coating on substrate 132. These effects include front scatter, Coulomb effect, and photoresist diffusion. Beam blur is a term used to include all such short-range effects. The most modern electron beam writer system achieves effective beam blur from 20 nm to 30 nm. The front scatter can constitute a quarter to a half of the total beam blur. Modern electron beam writer systems contain a myriad of mechanisms to minimize the obscuration of each beam. Partial electron beam writer systems can tolerate beam blurring during writing, from the minimum available to the electron beam writing system to one or more larger values.

例如電子束寫入器系統之帶電粒子束寫入器的射擊劑量為射束源112的強度與每一射擊之曝光時間的函數。一般而言,射束強度維持固定,且改變曝光時間以獲得可變的射擊劑量。可改變曝光時間以補償各種不同的長程效應,例如在所謂鄰近效應修正(PEC)過程中的背散射及霧化。電子束寫入器系統一般容許設定一總劑量,稱為基本劑量,其影響一曝光操作的所有射擊。部分電子束寫入器 系統進行電子束寫入器系統本身內的劑量補償計算,且不容許每一射擊的劑量被個別指定成輸入射擊清單的部分,因此輸入射擊具有未指定的射擊劑量。在鄰近效應修正之前,此一電子束寫入器系統中,所有射擊具有基本劑量。其它電子束寫入器系統確實容許以逐一射擊的基礎進行劑量指定。在容許逐一射擊劑量指定的電子束寫入器系統中,可得之劑量程度的數目為64至4096或以上,若有相對較少的劑量程度,例如3至8種程度。本發明的部分具體實施例以與帶電粒子束寫入系統一起使用為目標,該壬等系統不容許以逐一射擊的基礎進行劑量指定,或其容許相對較少劑量水平中之一的指定。 The firing dose of a charged particle beam writer, such as an electron beam writer system, is a function of the intensity of the beam source 112 and the exposure time of each shot. In general, the beam intensity remains fixed and the exposure time is varied to obtain a variable firing dose. The exposure time can be varied to compensate for a variety of different long range effects, such as backscattering and fogging during so-called proximity effect correction (PEC). An electron beam writer system generally allows for setting a total dose, referred to as a base dose, which affects all shots of an exposure operation. Partial electron beam writer The system performs a dose compensation calculation within the electron beam writer system itself and does not allow the dose for each shot to be individually specified as part of the input shot list, so the input shot has an unspecified shot dose. Prior to the proximity effect correction, in this electron beam writer system, all shots had a base dose. Other electron beam writer systems do allow for dose specification on a one-by-one basis. In an electron beam writer system that allows one shot dose to be specified, the number of dose levels available is 64 to 4096 or more, if there are relatively small dose levels, such as 3 to 8 degrees. Some embodiments of the present invention are directed to use with a charged particle beam writing system that does not allow for dose specification on a firing-by-shot basis, or that allows for the designation of one of a relatively small dosage level.

第2A-B圖係圖解說明如何自一或多帶電粒子束射擊在光阻塗覆表面上記錄能量。在第2A圖,矩形圖案202圖解說明一射擊輪廓,其為自非鄰近其它射擊之一射擊,將產生在一光阻塗覆表面的圖案。在劑量圖210中,劑量曲線212係圖解說明通過射擊輪廓202沿著線路204的截面劑量。線路214代表光阻閾值,在該光阻閾值之劑量以上,光阻將記錄一圖案。如同自劑量圖210可看出,劑量曲線212係在界於X-座標「a」及「b」之間的光阻閾值以上。座標「a」對應虛線216,其表示射擊輪廓202之最左範圍。同理,座標「b」對應虛線218,其表示射擊輪廓202之最右範圍。在第2A圖的例子中,用於射擊的射擊劑量為正常劑量,如劑量圖210上所標示者。在傳統遮罩寫入方法學中,設定正常劑量以致於在無長程效應之下,相對較 大矩形射擊將在光阻塗覆表面上,記錄具有所欲尺寸的圖案。因此正常劑量將依光阻閾值214的值而定。 2A-B are diagrams illustrating how energy is recorded on a photoresist coated surface from one or more charged particle beam shots. In Figure 2A, the rectangular pattern 202 illustrates a shot profile that is fired from one of the other non-adjacent shots that will produce a pattern on the photoresist coated surface. In dose map 210, dose curve 212 illustrates the cross-sectional dose along line 204 by firing profile 202. Line 214 represents the photoresist threshold above which the photoresist will record a pattern. As can be seen from the dose map 210, the dose curve 212 is above the photoresist threshold between the X-coordinates "a" and "b". The coordinate "a" corresponds to the dashed line 216, which represents the leftmost extent of the shot profile 202. Similarly, the coordinate "b" corresponds to the dashed line 218, which represents the rightmost extent of the shot profile 202. In the example of Figure 2A, the firing dose for firing is a normal dose, as indicated on the dose map 210. In the traditional mask writing methodology, the normal dose is set so that there is no long-range effect, relatively A large rectangular shot will record a pattern of the desired size on the photoresist coated surface. Thus the normal dose will depend on the value of the photoresist threshold 214.

第2B圖係圖解說明二粒子束射擊的射擊輪廓,以及對應的劑量曲線。射擊輪廓222及射擊輪廓224得自於二鄰近粒子束射擊。在劑量圖220中,劑量曲線230係圖解說明通過射擊輪廓222及224沿著線路226的劑量。如劑量曲線230所示,藉由光阻沿著線路226記錄的劑量為例如來自藉由射擊輪廓222及射擊輪廓224所代表的二粒子束射擊之總和的組合。如可見者,劑量曲線230是在自X-座標「a」至X-座標「d」之閾值214以上。此表示光阻將記錄該二射擊為單一形狀,自座標「a」延伸至座標「d」。第2C圖係圖解說明一圖案252,其為來自第2B圖之實施例的二射擊可形成的圖案。圖案252之可變寬度為射擊輪廓222與射擊輪廓224之間間隙的結果,且圖解說明射擊222與226之間間隙造成劑量下降至低於最接近間隙之射擊輪廓的角落的閾值。 Figure 2B is a graphical representation of the firing profile of a two-particle beam shot, and the corresponding dose curve. The shot profile 222 and the shot profile 224 are derived from two adjacent particle beam shots. In dose map 220, dose curve 230 illustrates the dose along line 226 by firing profiles 222 and 224. As shown by the dose curve 230, the dose recorded by the photoresist along line 226 is, for example, a combination of the sum of the two-particle beam shots represented by the shot profile 222 and the shot profile 224. As can be seen, the dose curve 230 is above the threshold 214 from the X-coordinate "a" to the X-coordinate "d". This means that the photoresist will record the two shots as a single shape, extending from the coordinate "a" to the coordinate "d". Figure 2C illustrates a pattern 252 that is a pattern that can be formed from the two shots of the embodiment of Figure 2B. The variable width of pattern 252 is the result of the gap between shot profile 222 and shot profile 224, and illustrates that the gap between shots 222 and 226 causes the dose to drop below a threshold of the corner of the shot profile closest to the gap.

當使用傳統非重疊射擊時,其使用單一曝光操作,傳統上所有射擊在PEC劑量調整之前,被指定一正常劑量。因此不支持逐一射擊劑量指定的帶電粒子束寫入器可藉由設定基本劑量至正常劑量來使用。若以此帶電粒子束寫入器使用多數曝光操作,基本劑量傳統上係根據下述方程式設定:基本劑量=正常劑量/曝光操作的數目。 When using conventional non-overlapping shots, it uses a single exposure operation, traditionally all shots are assigned a normal dose before the PEC dose adjustment. Therefore, a charged particle beam writer that does not support a shot-by-shot dose can be used by setting a base dose to a normal dose. If the charged particle beam writer uses most exposure operations, the base dose is conventionally set according to the equation: basic dose = normal dose / number of exposure operations.

第3A-C圖係圖解說明二已知碎化多邊形圖案的方法。 第3A圖係圖解說明欲形成在一表面上的多邊形圖案302。第3B圖係圖解說明使用非重疊或不相交射擊形成此圖案的傳統方法。射擊輪廓310、射擊輪廓312及射擊輪廓314彼此不相交。此外,與此等射擊輪廓相關聯的三射擊在鄰近修正之前,皆使用所欲的正常劑量。使用如第3B圖所示之傳統方法的優點為可容易地預料光阻的反應。再者,第3B圖的射擊可藉由將帶電粒子束寫入器的基本劑量設定為正常劑量,使用不容許以逐一射擊劑量為基礎進行劑量指定的帶電粒子束系統來曝光。第3C圖係圖解說明使用揭露於2009年5月27日提出申請之發明名稱為「用於欲使用可變式形狀電子束微影術製造之倍縮光罩之設計的方法及系統(Method And System For Design Of A Reticle To Be Manufactured Using Variable Shaped Beam Lithography)」的美國專利申請案第12/473,265號之重疊射擊,在光阻塗覆表面上形成圖案302的替代方法。在第3C圖中,已消弭射擊輪廓無法重疊的限制,且射擊320及射擊322確實重疊,該二者無一之射擊輪廓為另一者之射擊輪廓的支組。在第3C圖的實施例中,與第3B圖的三射擊相較,容許射擊輪廓重疊使得僅以二射擊形成圖案302。然而,在第3C圖中,光阻對於重疊射擊的反應不如第3B圖般容易預料。尤其,因為藉由重疊區域332接收的大劑量,如水平陰影線所示,內部角落324、326、328及330可能記錄成過度圓化。帶電粒子束模擬可用於測定藉由光阻記錄的圖案。在一具體實施例中,帶電粒子束模擬可用於計算在二維(X及Y)網格中,用 於每一網格位置的劑量,產生所謂劑量圖之計算劑量之網格。帶電粒子束模擬的結果可表示用於射擊320及射擊322之非正常劑量的使用。此外,在第3C圖中,在區域332之射擊的重疊,使區域劑量增加超過其在無射擊重疊下將有的區域劑量。雖然二個別射擊的重疊將不會顯著增加區域劑量,此技術將增加區域劑量及若使用在整個設計中將增加總劑量。 3A-C are diagrams illustrating two methods of known shredding polygon patterns. Figure 3A illustrates a polygonal pattern 302 to be formed on a surface. Figure 3B illustrates a conventional method of forming this pattern using non-overlapping or disjoint shots. The shot profile 310, the shot profile 312, and the shot profile 314 do not intersect each other. In addition, the three shots associated with these shot profiles use the desired normal dose prior to proximity correction. An advantage of using the conventional method as shown in Fig. 3B is that the reaction of the photoresist can be easily expected. Further, the shot of FIG. 3B can be exposed by setting the basic dose of the charged particle beam writer to a normal dose, using a charged particle beam system that does not allow dose specification based on the shot-by-shot dose. Figure 3C is a diagram illustrating the method and system for designing a reticle for use with variable shape electron beam lithography, which was filed on May 27, 2009. An alternative method of forming a pattern 302 on a photoresist coated surface by overlapping shots of U.S. Patent Application Serial No. 12/473,265, the disclosure of which is incorporated herein by reference. In Figure 3C, the limits of the shot contours that cannot be overlapped have been eliminated, and the shots 320 and shots 322 do overlap, and none of the shots are the set of shot profiles of the other. In the embodiment of Figure 3C, the firing profile is allowed to overlap such that the pattern 302 is formed with only two shots as compared to the three shots of Figure 3B. However, in Figure 3C, the resistance of the photoresist to overlapping shots is not as predictable as in Figure 3B. In particular, because of the large dose received by the overlap region 332, as indicated by the horizontal hatching, the interior corners 324, 326, 328, and 330 may be recorded as excessively rounded. Charged particle beam simulation can be used to determine the pattern recorded by the photoresist. In a specific embodiment, charged particle beam simulation can be used to calculate in a two-dimensional (X and Y) grid. The dose at each grid location produces a grid of calculated doses for the so-called dose map. The results of the charged particle beam simulation can represent the use of an abnormal dose for shot 320 and shot 322. Moreover, in Figure 3C, the overlap of the shots in area 332 causes the area dose to increase beyond the area dose it will have without shot overlap. Although the overlap of the two individual shots will not significantly increase the regional dose, this technique will increase the regional dose and will increase the total dose if used throughout the design.

在曝光時,舉例而言,使用帶電粒子束微影術在表面上重疊的圖案,每一圖案例的尺寸,如在最終製造表面上測得者,由於製造差異將些許不同。尺寸變異的量為主要製造最適化的標準。在今日光罩遮罩技術,所欲可為均方根(RMS)變異不超過1 nm(1σ)。更多的尺寸變異轉換電路效能的更多變化,導致需要更高的設計裕度,使得設計更快、電力更低的積體電路的難度漸增。此變化被稱為臨界尺寸(CD)變化。低CD變化是理想的,且表示製造變化將在最終製造表面上產生相對小的尺寸變異。在較小的規模中,高CD變化的效果可以線路邊緣粗糙度(LER)來觀察。LER是由每一線路邊緣的一部分被稍微不同地製造所造成,導致欲具有直線邊緣的線路的部分波紋。CD變化與被稱為邊緣斜率的光阻閾值處的劑量曲線斜率呈負相關。因此,邊緣斜率或劑量限值為表面之粒子束寫入的關鍵最適化因子。 At the time of exposure, for example, a pattern of overlapping on the surface by charged particle beam lithography is used, and the size of each pattern example, as measured on the final manufactured surface, will be slightly different due to manufacturing variations. The amount of dimensional variation is the standard for major manufacturing optimization. In today's reticle masking technology, the desired root mean square (RMS) variation is no more than 1 nm (1 sigma). More variations in the performance of the size variation conversion circuit result in the need for higher design margins, making the design of faster, lower power integrated circuits more difficult. This change is called a critical dimension (CD) change. Low CD variations are desirable and indicate that manufacturing variations will result in relatively small dimensional variations on the final fabricated surface. On a smaller scale, the effect of high CD variations can be observed with line edge roughness (LER). The LER is caused by a slightly different portion of the edge of each line, resulting in a partial ripple of the line to have a straight edge. The CD change is inversely related to the slope of the dose curve at the photoresist threshold called the edge slope. Therefore, the edge slope or dose limit is the key optimization factor for particle beam writing on the surface.

第4A圖係圖解說明矩形射擊402之一輪廓的實施例。第4B圖係圖解說明以正常射擊劑量,無例如若射擊402為在例如可為10微米之背散射效應範圍內的唯一射擊將發生的 背散射,通過射擊輪廓402,沿著線路404之劑量的劑量圖410之一實施例。其它長程效應亦假設無助於第4B圖的背景曝光,導致零背景曝光程度。輸送至光阻的總劑量係圖解說明於y-軸,且為正常劑量的100%。因為零背景曝光,總劑量及射擊劑量相同。劑量圖410亦圖解說明光阻閾值414。由劑量圖410在x-方向所代表之形狀的CD變化,與和光阻閾值相交之劑量曲線412在x-座標「a」及「b」的斜率負相關。 4A is an illustration of an embodiment of a contour of a rectangular shot 402. Figure 4B is a diagram illustrating the normal firing dose, without, for example, if the shot 402 is the only shot within the range of backscattering effects, for example, 10 microns. Backscattering, one embodiment of a dose map 410 of doses along line 404 by firing profile 402. Other long-range effects also assume that they do not contribute to the background exposure of Figure 4B, resulting in zero background exposure. The total dose delivered to the photoresist is illustrated on the y-axis and is 100% of the normal dose. Because of the zero background exposure, the total dose and firing dose are the same. The dose map 410 also illustrates the photoresist threshold 414. The change in CD from the shape represented by the dose map 410 in the x-direction, and the dose curve 412 intersecting the photoresist threshold are inversely correlated at the slopes of the x-coordinates "a" and "b".

第4B圖之零背景曝光條件並未反映實際設計。實際設計一般將在射擊402之背散射距離內具有許多其它射擊。第4C圖係圖解說明以非零背景曝光428,以正常劑量之射擊的劑量圖420之一實施例。在此實施例中,顯示占正常劑量之20%的背景曝光。在劑量圖420中,劑量曲線422係圖解說明類似射擊402之射擊的截面劑量。由於背散射造成的背景曝光,如曲線422與光阻閾值424在點「a」及「b」相交處的較低邊緣斜率所示,曲線422的CD變化比曲線412的CD變化更差。 The zero background exposure conditions of Figure 4B do not reflect the actual design. The actual design will typically have many other shots within the backscatter distance of shot 402. Figure 4C illustrates an embodiment of a dose map 420 of a normal dose shot with a non-zero background exposure 428. In this embodiment, a background exposure of 20% of the normal dose is displayed. In dose map 420, dose curve 422 is a cross-sectional dose illustrating a shot similar to shot 402. Due to the background exposure caused by backscattering, as shown by the lower edge slope of curve 422 and photoresist threshold 424 at the intersection of points "a" and "b", the CD change of curve 422 is worse than the CD change of curve 412.

一增加劑量曲線在光阻閾值之斜率的方法為增加射擊劑量。第4D圖係圖解說明具有劑量曲線432之劑量圖430的一實施例,總劑量為正常劑量的150%,無背景曝光。無背景曝光,射擊劑量等於總劑量。在第4D圖中的閾值434未由第4B圖中的閾值414改變。增加射擊劑量增加了藉由光阻記錄之圖案的尺寸。因此,為了維持光阻圖案的尺寸,如劑量曲線432與閾值434的交點的圖解說明,用於劑量圖430之 射擊尺寸稍微小於射擊402。如所示,劑量曲線432與閾值434相交處的斜率係高於劑量曲線412與閾值414相交處的斜率,表示第4D圖之較高劑量射擊具有比第4B圖之正常劑量更低、改良之CD變化。 A method of increasing the slope of the dose curve at the photoresist threshold is to increase the firing dose. Figure 4D illustrates an embodiment of a dose map 430 having a dose curve 432 with a total dose of 150% of the normal dose without background exposure. Without background exposure, the firing dose is equal to the total dose. The threshold 434 in the 4D plot is not changed by the threshold 414 in FIG. 4B. Increasing the shot dose increases the size of the pattern recorded by the photoresist. Therefore, in order to maintain the size of the photoresist pattern, such as an illustration of the intersection of the dose curve 432 and the threshold 434, for the dose map 430 The shot size is slightly smaller than shot 402. As shown, the slope at which the dose curve 432 intersects the threshold 434 is higher than the slope at which the dose curve 412 intersects the threshold 414, indicating that the higher dose shot of the 4D map has a lower normal dose than the 4B map, modified CD changes.

然而,類似劑量圖410,劑量圖430之零背景曝光條件未反映實際設計。第4E圖係圖解說明具有調整達到具有20%之背景曝光之在光阻上總劑量為正常劑量之150%的射擊劑量的劑量圖440之一實施例,例如僅有一射擊的劑量增加至150%且其它射擊保持100%之正常劑量將發生者。閾值444與第4B-4D圖相同。背景曝光係圖解說明於線路448。如所示者,因為存在背散射,劑量曲線442在x-座標「a」及「b」的斜率比劑量曲線432在x-座標「a」及「b」的斜率小。比較圖420及440來看射擊劑量的影響,劑量曲線442在x-座標「a」及「b」的斜率高於劑量曲線422在相同x-座標的斜率,表示若其它射擊的劑量維持相同,藉由增加劑量可獲得改良的邊緣斜率。 However, similar to dose map 410, the zero background exposure conditions of dose map 430 do not reflect the actual design. Figure 4E is an illustration of an embodiment of a dose map 440 having a firing dose adjusted to achieve a background exposure of 20% with a total dose on the photoresist of 150% of the normal dose, such as a dose of only one shot increased to 150% And other shots will remain 100% of the normal dose will occur. The threshold 444 is the same as the 4B-4D map. Background exposure is illustrated on line 448. As shown, the slope of the dose curve 442 at the x-coordinates "a" and "b" is smaller than the slope of the dose curve 432 at the x-coordinates "a" and "b" because of backscatter. Comparing Figures 420 and 440 to see the effect of firing dose, the slope of dose curve 442 at x-coordinates "a" and "b" is higher than the slope of dose curve 422 at the same x-coordinate, indicating that if the doses of other shots remain the same, An improved edge slope can be obtained by increasing the dose.

第4F圖係圖解說明一劑量圖450的實施例,圖解說明所有射擊的劑量已增加至150%之正常劑量的情況。二背景劑量程度係顯示在劑量圖450上:30%背景劑量459,例如若所有射擊使用150%之正常劑量可產生,以及顯示供比較之20%之背景劑量458,因為20%為劑量圖440之背景劑量。劑量曲線452係以30%背景劑量459為基礎。如可見者,在x-座標「a」及「b」之劑量曲線452的邊緣斜率小於在相同點的劑量曲線442的邊緣斜率。 Figure 4F is an illustration of an embodiment of a dose map 450 illustrating the case where the dose of all shots has increased to a normal dose of 150%. The two background dose levels are shown on the dose map 450: 30% background dose 459, for example, if all shots are used with 150% of the normal dose, and a background dose of 458 is shown for comparison, because 20% is the dose map 440 Background dose. Dose curve 452 is based on a 30% background dose 459. As can be seen, the edge slope of the dose curve 452 at x-coordinates "a" and "b" is less than the edge slope of the dose curve 442 at the same point.

總之,第4A-F圖係圖解說明可使用高於正常劑量來選擇性地降低隔離之形狀的CD變化。然而,增加劑量具有二非所欲的效應。首先,劑量上的增加係藉由延長曝光時間,以現代帶電粒子束寫入器達成。因此,劑量上的增加使寫入時間增加,其增加成本。第二,如第4E-F圖中圖解說明,若在彼此背散射範圍內的許多射擊使用增加的劑量,背散射的增加降低所有射擊的邊緣斜率,藉此惡化特定指定劑量之所有射擊的CD變化。任一既定射擊的避免此問題的方法為增加劑量及以較小尺寸發射。然而,如此做甚至增加背散射。此循環造成所有射擊是在較高的劑量,使得寫入次數更惡化。因此,較佳為僅增加用於界定邊緣之射擊的劑量。 In summary, the 4A-F diagram illustrates the use of higher than normal doses to selectively reduce CD variations in the shape of the isolation. However, increasing the dose has two undesirable effects. First, the increase in dose is achieved by extending the exposure time with a modern charged particle beam writer. Therefore, an increase in dose increases the writing time, which increases the cost. Second, as illustrated in Figure 4E-F, if many shots within the backscattering range of each other use increased doses, the increase in backscattering reduces the edge slope of all shots, thereby attenuating CDs for all shots of a given specified dose. Variety. The method of avoiding this problem for any given shot is to increase the dose and launch in a smaller size. However, doing so even increases backscatter. This cycle causes all shots to be at higher doses, making the number of writes worse. Therefore, it is preferred to only increase the dose used to define the shot of the edge.

邊緣斜率或劑量限度僅為圖案邊緣處的問題。舉例而言,若正常劑量為2x光阻閾值以致能提供良好的邊緣斜率,圖案的內部區域的劑量可低於正常劑量,只要在說明製造變化的部分限度之後,所有內部區域的劑量保持高於光阻閾值即可。在本發明的揭露內容中,揭露二種降低圖案之內部區域的劑量之方法。 The edge slope or dose limit is only a problem at the edge of the pattern. For example, if the normal dose is a 2x photoresist threshold to provide a good edge slope, the dose in the inner region of the pattern can be lower than the normal dose, as long as the internal dose is kept above the partial limit of the manufacturing variation. The photoresist threshold is sufficient. In the disclosure of the present invention, two methods of reducing the dose of the inner region of the pattern are disclosed.

˙ 若可取得指定射擊劑量,使用的劑量低於正常劑量。 ̇ If the specified firing dose is available, the dose used is lower than the normal dose.

˙ 在圖案內部之射擊之間插入間隙。雖然射擊輪廓可顯示出間隙,若在間隙區域內的劑量到處皆高於光阻閾值,具有供製造變化的限度,將無藉由光阻記錄的間隙。此二技術中之一或全部將降低區域劑量,因此降低藉由反 向散射所造成的背景劑量。在圖案邊緣的邊緣斜率將因而增加,藉此修改CD變化。 插入 Insert a gap between the shots inside the pattern. Although the shot profile may show a gap, if the dose in the gap region is above the photoresist threshold everywhere, there is no limit for manufacturing variations, and there will be no gap recorded by the photoresist. One or both of these two techniques will reduce the regional dose and therefore reduce The background dose caused by the scattering. The slope at the edge of the pattern edge will thus increase, thereby modifying the CD variation.

最適化技術可用於決定在圖案內部可達到的最低劑量。在一些具體實施例中,此等最適化技術將包括計算對該組射擊的光阻反應,例如使用粒子束模擬,以致能決定該組射擊可能在預定的容許誤差內形成所欲圖案。需注意的是,當產生用於僅支持未指定劑量射擊之帶電粒子束的射擊時,間隙可使用於圖案的內部區域以降低區域劑量及總劑量。藉由模擬,尤其是製造容許誤差的「角落案例」,可預定具有較低劑量或間隙的設計,利用減少的寫入時間及修改的邊緣斜率,以安全地射擊所欲形狀。 Optimization techniques can be used to determine the lowest dose that can be achieved inside the pattern. In some embodiments, such optimization techniques will include calculating a photoresist response to the set of shots, such as using particle beam simulation, such that it can be determined that the set of shots may form a desired pattern within a predetermined tolerance. It should be noted that when firing is generated for a charged particle beam that only supports unspecified dose shots, the gap can be used for the inner region of the pattern to reduce the regional dose and total dose. By simulating, in particular, "corner cases" that create tolerances, designs with lower doses or gaps can be predetermined, with reduced write times and modified edge slopes to safely shoot the desired shape.

第5A圖係圖解說明待形成在一表面上之圓形圖案502的一實施例。第5B圖係圖解說明圖案502如何可利用具有指定射擊劑量之一組九個VSB射擊形成的一實施例。第5B圖係圖解說明該九個射擊之個別的射擊輪廓。在第5B圖中因為重疊射擊512、514、516、518、520、522、524及526各自定義表面上圖案的周邊,此等射擊可被指定一相對較高之劑量組,或可能全部被指定正常劑量,以維持良好的邊緣斜率。然而,因為射擊530未定義圖案的邊緣,射擊530可具有小於射擊512、514、516、518、520、522、524及526之指定劑量,例如0.7x正常劑量。小心地選擇射擊尺寸以致於形狀502的內部無任何一部分落至低於光阻閾值,也許具有某一製造變化的限度。亦可按尺寸製作射擊530,使得在射擊530的輪廓及每一鄰近射擊的輪廓之間存 在有間隙,如第5B圖所圖解說明者。當間隙存在,在該組射擊中射擊輪廓的結合不會涵蓋所欲的圖案。粒子束模擬可用於決定間隙之最適化尺寸,以致於在未造成由光阻記錄的間隙之下可降低劑量。與具有正常劑量之曝光射擊530相較,當在此等射擊的彼此反向散射範圍內應用於大量此等射擊530時,於射擊530使用低於正常劑量將降低反向散射及起霧,有助於修改邊緣斜率。 Figure 5A illustrates an embodiment of a circular pattern 502 to be formed on a surface. Figure 5B illustrates an embodiment of how pattern 502 can be formed using a set of nine VSB shots having a specified firing dose. Figure 5B illustrates the individual firing profiles of the nine shots. In Figure 5B, because overlapping shots 512, 514, 516, 518, 520, 522, 524, and 526 each define the perimeter of the pattern on the surface, such shots may be assigned a relatively high dose group, or may all be specified Normal dose to maintain a good edge slope. However, because shot 530 does not define an edge of the pattern, shot 530 can have a specified dose that is less than shots 512, 514, 516, 518, 520, 522, 524, and 526, such as a 0.7x normal dose. The firing size is carefully chosen such that no part of the interior of shape 502 falls below the photoresist threshold, perhaps with a certain manufacturing variation. Shooting 530 can also be made to size such that between the contour of shot 530 and the contour of each adjacent shot In the presence of a gap, as illustrated in Figure 5B. When a gap exists, the combination of the shot profiles in the set of shots does not cover the desired pattern. Particle beam simulation can be used to determine the optimal size of the gap so that the dose can be reduced without causing a gap recorded by the photoresist. When applied to a large number of such shots 530 within the backscattering range of such shots as compared to exposure shots 530 having normal doses, using lower than normal doses at shot 530 will reduce backscattering and fogging, Helps to modify the edge slope.

上述第5B圖描述的分辨率,甚至使用不容許個別射擊之劑量指定的帶電粒子束系統也可實行。在本發明之一具體實施例中,可選擇小量的劑量,例如1.0x正常劑量及0.7x正常劑量二種劑量,且此二劑量之個別射擊可在二分隔的曝光歷程中分隔及曝光,其中一曝光歷程的基本劑量為1.0x正常劑量且另一曝光歷程的基本劑量為0.7x基本劑量。在第5B圖的實施例中,射擊512、514、516、518、520、522、524及526可指定第一曝光歷程,其在PEC校正之前,使用1.0x正常劑量的基本劑量。射擊530可指定第二曝光歷程,其在PEC校正之前使用0.7x正常劑量之基本劑量。 The resolution described in Figure 5B above, even with a charged particle beam system that does not allow for the dose of individual shots, can be implemented. In a specific embodiment of the present invention, a small amount of a dose, such as a 1.0x normal dose and a 0.7x normal dose, may be selected, and the individual shots of the two doses may be separated and exposed in a two-part exposure process. The base dose for one exposure course is 1.0x normal dose and the base dose for another exposure course is 0.7x base dose. In the embodiment of Figure 5B, shots 512, 514, 516, 518, 520, 522, 524, and 526 may specify a first exposure history that uses a base dose of 1.0 x normal dose prior to PEC correction. Shot 530 can specify a second exposure history that uses a base dose of 0.7x normal dose prior to PEC correction.

即使利用不支持個別射擊之劑量指定的帶電粒子束寫入器,重疊射擊可用於產生大於100%正常劑量之光阻劑量。在第5B圖中,舉例而言,射擊514及512、射擊526及524、射擊520及522,及射擊518及516的輪廓可指定重疊,在周邊產生高於正常劑量的區域。自此等區域投射的較高能量可「充填」射擊輪廓530與周邊射擊之間的間 隙,使得能夠減少射擊530的尺寸。 Even with a charged particle beam writer that does not support the dose of individual shots, overlapping shots can be used to produce a photoresist dose greater than 100% of the normal dose. In FIG. 5B, for example, shots 514 and 512, shots 526 and 524, shots 520 and 522, and shots 518 and 516 may be contoured to create an area that is higher than the normal dose at the periphery. The higher energy projected from such areas can "fill" between the shot silhouette 530 and the surrounding shots. The gap makes it possible to reduce the size of the shot 530.

第6A圖係圖解說明包含二正方形604及606的圖案,例如可發生在積體電路設計的接觸或導孔(via)層。第6B圖係圖解說明可由第6A圖之圖案的進階OPC製程造成之曲線圖案610。圖案610為欲形成在倍縮光罩上的理想圖案,其中倍縮光罩將使用於光微影術製程以產生類似基板上604及606的圖案。圖案610係由二主要形狀組成:形狀612及形狀614,及七個SRAF形狀:形狀620、形狀622、形狀624、形狀626、形狀628、形狀630及形狀632。第6C圖係圖解說明拖曳射擊如何可應用於形成絕大部分之第6B圖的圖案610之一實施例640。拖曳射擊係揭露於2010年10月5日提出申請,且發明名稱為「使用帶電粒子束微影術製造表面之方法及系統(Method and System For Manufacturing a Surface Using Charged Particle Beam Lithography)」之美國專利申請案第12/898,646號中,該文獻在此併入本文中以供參考。舉例而言,圖案650中多數虛線圓形表示圓形CP符元的單一拖曳射擊。射擊組640包含拖曳射擊642、644、650、652、654、656、658、660及662,加上VSB射擊664及666。在第6C圖中,VSB射擊664及666具有包埋的「X」圖案以輔助圖解說明。VSB射擊輪廓664及666與拖曳射擊的輪廓重疊,其定義圖案642及644的周邊。在本發明揭露之一具體例中,當使用具有個別射擊劑量指定的帶電粒子束寫入器時,射擊664及射擊666被指定為低於正常劑量。第6D圖係圖解說明,在 本發明揭露之另一具體實施例中,多數拖曳射擊及二個VSB射擊如何可被使用於形成第6B圖的圖案。第6D圖的拖曳射擊,射擊組群670與射擊組群640相同。然而,第6D圖中的VSB射擊694及696小於第6C圖中的VSB射擊664及666。如第6D圖中可見者,在VSB射擊輪廓與拖曳射擊輪廓之間存在有間隙。當例如使用粒子束模擬計算時,光阻的反應可指出間隙之所有區域內的劑量係高於光阻閾值,其中因為射擊組群670之較小VSB射擊降低接觸或導孔對之區域中的區域劑量,射擊組群670之較小VSB射擊優於射擊組群640之VSB射擊。 Figure 6A illustrates a pattern comprising two squares 604 and 606, such as a contact or via layer that may occur in an integrated circuit design. Figure 6B illustrates a curved pattern 610 resulting from an advanced OPC process of the pattern of Figure 6A. Pattern 610 is an ideal pattern to be formed on a reticle, which will be used in a photolithography process to produce patterns similar to 604 and 606 on the substrate. Pattern 610 is comprised of two main shapes: shape 612 and shape 614, and seven SRAF shapes: shape 620, shape 622, shape 624, shape 626, shape 628, shape 630, and shape 632. Figure 6C is a diagram illustrating an embodiment 640 of how the drag shot can be applied to form a pattern 610 of the majority of Figure 6B. The towed shooting system is disclosed in the US Patent Application No. 5, 2010, and the invention is entitled "Method and System For Manufacturing a Surface Using Charged Particle Beam Lithography". This document is incorporated herein by reference in its entirety. For example, most of the dashed circles in pattern 650 represent a single drag shot of a circular CP symbol. Shooting group 640 includes drag shots 642, 644, 650, 652, 654, 656, 658, 660, and 662 plus VSB shots 664 and 666. In Figure 6C, VSB shots 664 and 666 have an embedded "X" pattern to aid in illustration. The VSB firing profiles 664 and 666 overlap the contours of the tow shots, which define the perimeter of the patterns 642 and 644. In one embodiment of the present disclosure, shot 664 and shot 666 are designated to be lower than the normal dose when using a charged particle beam writer with individual shot dose specifications. Figure 6D is a graphical illustration of In another embodiment of the present disclosure, how many tow shots and two VSB shots can be used to form the pattern of Figure 6B. In the tow shot of FIG. 6D, the shooting group 670 is the same as the shooting group 640. However, the VSB shots 694 and 696 in Figure 6D are smaller than the VSB shots 664 and 666 in Figure 6C. As can be seen in Figure 6D, there is a gap between the VSB shot profile and the drag shot profile. When, for example, particle beam simulation calculations are used, the reaction of the photoresist can indicate that the dose system in all regions of the gap is above the photoresist threshold, wherein the smaller VSB shots of the fire group 670 reduce the area in the contact or via pair For regional doses, the smaller VSB shots of the fire group 670 are better than the VSB shots of the fire group 640.

在本發明之一具體實施例中,在正常劑量或接近正常劑量射擊之間的間隙可充填或部分充填低劑量射擊,例如具有小於50%正常劑量的射擊。 In a particular embodiment of the invention, the gap between the normal dose or near normal dose shot can be filled or partially filled with a low dose shot, such as a shot having a normal dose of less than 50%.

將由表面接收的劑量可以被稱為字符之二維(X及Y)劑量圖來計算及儲存。二維劑量圖或字符為包含字符之射擊鄰近的計算劑量值的二維網格。此劑量圖或字符可儲存在字符庫中。字符庫在碎化一設計中的圖案時用於輸入。舉例而言,再次參照第5A及5B圖,可計算射擊512、514、516、518、520、522、524及526及530之組合的劑量圖並儲存於字符庫中。若在碎化期間,輸入圖案中之一為與圓形圖案502相同尺寸的圓形,可由庫中檢索出圖案502之字符及包含字符的九個射擊,避免決定適當射擊組以形成圓形輸入圖案的計算勞力。字符亦可含有CP射擊,且可含有拖曳的CP或VSB射擊。一系列字符亦可被組合以產生參數化字 符。參數可為不連續的或連續的。舉例而言,用於形成例如圓形圖案502的圓形圖案的射擊及劑量圖,可適合於多數圖案尺寸,以及多數所得字符可組合以形成不連續的參數化字符。在另一實施例中,圖案寬度可參數化成拖曳射擊速度的函數。 The dose received by the surface can be calculated and stored as a two-dimensional (X and Y) dose map of characters. A two-dimensional dose map or character is a two-dimensional grid of calculated dose values adjacent to the shot containing the character. This dose map or character can be stored in the character library. The character library is used for input when shredding a pattern in a design. For example, referring again to Figures 5A and 5B, dose maps of shots 512, 514, 516, 518, 520, 522, 524, and combinations of 526 and 530 can be calculated and stored in a character library. If during the shredding, one of the input patterns is a circle of the same size as the circular pattern 502, the characters of the pattern 502 and the nine shots containing the characters can be retrieved from the library to avoid determining the appropriate shot group to form a circular input. The calculated labor of the pattern. Characters can also contain CP shots and can contain dragged CP or VSB shots. A series of characters can also be combined to produce a parameterized word symbol. The parameters can be discontinuous or continuous. For example, shots and dose maps for forming a circular pattern, such as circular pattern 502, may be suitable for most pattern sizes, and most of the resulting characters may be combined to form discrete parametric characters. In another embodiment, the pattern width can be parameterized as a function of the drag firing speed.

第7圖為說明如何製備用於製造例如晶圓上的積體電路之一表面的倍縮光罩的概念流程圖。在第一步驟752中,實體設計,設計例如積體電路的實體設計。此可包括決定邏輯閘極、電晶體、金屬層及其它在例如積體電路之實體設計必須被發現的必要物件。接下來,在步驟754中,決定光學鄰近修正。在本發明揭露之一具體實施例中,此可包括取得預計算的字符或參數化字符庫776作為輸入。此亦可替換地或額外地包括取得預設計之包括複雜符元的符元庫770作為輸入,該等符元可在步驟762中在模板760上取得。在本發明揭露之一具體實施例中,OPC步驟754亦可包括同時最適化射擊計數或寫入次數,且亦可包括碎化操作、射擊配置操作、劑量指定操作,或亦可包括射擊次序最適化操作,或其它遮罩資料準備操作,部分或所有此等操作可同時或組合在單一步驟中。一旦完成光學鄰近修正,在步驟756中發展遮罩設計。 Figure 7 is a conceptual flow diagram illustrating how to prepare a reticle for fabricating, for example, one of the integrated circuits on a wafer. In a first step 752, the physical design, design, for example, the physical design of the integrated circuit. This may include determining logic gates, transistors, metal layers, and other necessary items that must be discovered in a physical design such as an integrated circuit. Next, in step 754, optical proximity correction is determined. In one embodiment of the present disclosure, this may include taking a pre-computed character or parameterized character library 776 as input. This may alternatively or additionally include obtaining a pre-designed symbol library 770 comprising complex symbols, which may be retrieved on template 760 in step 762. In an embodiment of the present disclosure, the OPC step 754 may also include simultaneously optimizing the shot count or the number of writes, and may also include a shredding operation, a shot configuration operation, a dose specifying operation, or may also include an optimal firing order. Operation, or other masking material preparation operations, some or all of these operations may be combined simultaneously or in a single step. Once the optical proximity correction is completed, the mask design is developed in step 756.

在步驟758中,可進行遮罩資料準備操作,其可包括碎化操作、射擊配置操作、劑量指定操作,或射擊次序最適化。OPC步驟754或MDP步驟758的步驟,或與此二步驟754或758無關的分開的程式,可包括決定需要存在 模板上之有限數目之模板符元或大數目之字符或參數化字符的程式,該等字符可利用小數目的射擊,藉由組合需要存在於模板上的符元與不同劑量、位置及程度的局部曝光以將所有或大部分所需圖案寫在倍縮光罩上而射擊在該表面上。本發明揭露中預期組合OPC及任一或所有不同的遮罩資料準備之操作於一步驟中。可包括碎化操作之遮罩資料準備步驟758,其亦可包含圖案配對操作以配對字符以產生緊密配合遮罩設計的遮罩。在本發明揭露的一些具體實施例中,遮罩資料準備步驟758可包括改變射擊劑量以在所產生圖案的周邊產生高於所產生圖案的內部之波峰劑量。在其它具體實施例中,所產生之射擊在最接近之鄰近射擊的射擊輪廓之間可產生間隙,以致於區域劑量降低,但該等間隙將不會被後續產生之遮罩影像764記錄。在另一具體實施例中,步驟758可包括藉由改變間隙尺寸的最適化。遮罩資料準備亦可包含輸入待形成在表面上的圖案與稍微不同的圖案,選擇一組待使用以形成符元以形成多數圖案,該組符元安裝在模板遮罩上,該組符元包括複雜及VSB符元,且該組符元是以變化的符元劑量或變化的符元位置為基礎,或在該符元內對一符元施與局部曝光,或拖曳一符元,以降低射擊計數或總寫入時間。在表面上一組稍微不同的圖案可設計成在基板上產生實質相同的圖案。再者,該組符元可自預定組的符元中選擇。在本文揭露內容的一具體實施例中,在遮罩寫入步驟762期間可快速選擇之在步驟770中可在模板上取得的一組符元,可為 了特定遮罩設計來製備。在該具體實施例中,一旦完成遮罩資料準備步驟758,在步驟760中製備模板。在本發明揭露的另一具體實施例中,在MDP步驟758之前或與MDP步驟758同時,在步驟760中製備模板,且可與特定遮罩設計無關。在此具體實施例中,在步驟770中可取得符元,且模板布局設在步驟772中設計以上位地輸出供許多潛在遮罩設計756,以合併有可能藉由特定OPC程式754或特定MDP程式758或特徵化實質設計752的特定形式設計輸出的稍微不同的圖案,該特定形式設計例如記憶體、快閃記憶體、晶片上系統的設計,或設計於實體設計752的特定製程技術,或使用於實體設計752的特定晶胞庫,或任何其它共同的特徵,其可來自遮罩設計756中不同組之稍微不同的圖案。模板可包括一組符元,例如在步驟758中決定的有限數目的符元,包括一組調整符元。 In step 758, a mask data preparation operation may be performed, which may include a shredding operation, a shot configuration operation, a dose specifying operation, or an optimal firing order. The steps of OPC step 754 or MDP step 758, or separate programs not related to the two steps 754 or 758, may include determining the need to exist A program of a limited number of template symbols or a large number of characters or parameterized characters on a template that can utilize a small number of shots by combining the symbols that need to be present on the template with different doses, positions, and degrees. Partial exposure is performed on the surface by writing all or most of the desired pattern onto the reticle. The present invention contemplates the operation of combining OPC and any or all of the different mask data preparations in one step. A mask data preparation step 758 of shredding operations may be included, which may also include a pattern pairing operation to pair the characters to create a mask that closely fits the mask design. In some embodiments of the present disclosure, the mask data preparation step 758 can include varying the firing dose to produce a peak dose above the interior of the generated pattern at the periphery of the generated pattern. In other embodiments, the resulting shots may create a gap between the shot profiles closest to the adjacent shots such that the area dose is reduced, but the gaps will not be recorded by the mask image 764 that is subsequently produced. In another embodiment, step 758 can include optimizing by varying the gap size. The mask data preparation may also include inputting a pattern to be formed on the surface and a slightly different pattern, and selecting a group to be used to form symbols to form a plurality of patterns, the group of symbols being mounted on the template mask, the group of symbols Including complex and VSB symbols, and the group of symbols is based on a changed symbol dose or a changed symbol position, or a partial exposure is applied to a symbol within the symbol, or a symbol is dragged to Reduce the shot count or total write time. A set of slightly different patterns on the surface can be designed to produce substantially the same pattern on the substrate. Furthermore, the set of symbols can be selected from the symbols of the predetermined group. In a specific embodiment of the disclosure, during the mask writing step 762, a set of symbols that can be obtained on the template in step 770 can be quickly selected, which can be A specific mask design was prepared. In this particular embodiment, once the mask data preparation step 758 is completed, a template is prepared in step 760. In another embodiment of the present disclosure, the template is prepared in step 760 prior to or concurrent with the MDP step 758 and may be independent of the particular mask design. In this particular embodiment, symbols are retrieved in step 770, and the template layout is designed to be output in step 772 for a number of potential mask designs 756 to merge, possibly by a particular OPC program 754 or a particular MDP. The program 758 or the specific form of the characterization design 752 is designed to produce a slightly different pattern of the design, such as a memory, a flash memory, a system on a wafer, or a particular process technology designed for the physical design 752, or The particular cell bank used for the physical design 752, or any other common feature, may come from a slightly different pattern of different sets in the mask design 756. The template may include a set of symbols, such as a limited number of symbols determined in step 758, including a set of adjustment symbols.

一旦模板完成,模板係用於一例如電子束寫入器系統之遮罩寫入機中產生一表面。此特定步驟係定義為步驟762。電子束寫入器系統經由該模板投射一電子束至一表面以在一表面中形成形圖案,如步驟764中所示。完成的表面可接著使用於光微影術機,其顯示於步驟766中。最後,在步驟768,產生例如矽晶圓之基板。如先前已描述者,在步驟770中,可提供符元至OPC步驟754或MDP步驟758。步驟770亦提供符元至符元及模板設計步驟772或字符產生步驟774。符元及模板設計步驟772提供輸入至模板步驟760以及至符元步驟770。字符產生步驟774提供資訊至字 符或參數化字符的步驟776。再者,如同已討論者,字符或參數化字符步驟776提供資訊至OPC步驟754或MDP步驟758。 Once the template is complete, the template is used to create a surface in a mask writer such as an electron beam writer system. This particular step is defined as step 762. The electron beam writer system projects an electron beam to a surface via the template to form a pattern in a surface, as shown in step 764. The finished surface can then be used in a photolithography machine, which is shown in step 766. Finally, at step 768, a substrate such as a germanium wafer is produced. As previously described, in step 770, a symbol to OPC step 754 or an MDP step 758 may be provided. Step 770 also provides a symbol to symbol and template design step 772 or character generation step 774. Symbol and template design step 772 provides an input to template step 760 and a symbol step 770. Character generation step 774 provides information to the word Step 776 of the character or parameterized character. Again, as already discussed, the character or parametric character step 776 provides information to the OPC step 754 or the MDP step 758.

現在參考第8圖,顯示另一如何製備直接寫在一例如矽晶圓之基板上之一表面的典範概念流程圖800。在第一步驟802中,設計一例如積體電路之實體設計的一實體設計。其可為設計者想轉移至基板上的理想圖案。接下來,在步驟804中,各種不同的資料準備(DP)步驟,包括進行碎化及PEC以準備輸入資料至基板寫入裝置。步驟804可包括圖案之碎化成一組複雜的CP及/或VSB射擊,其中部分射擊可彼此重疊。步驟804亦可包含輸入來自步驟824之可能字符或參數化字符,該字符是以來自步驟818的預定符元為基礎,且符元係在字符產生步驟822中使用改變符元劑量或改變符元位置的計算或施與符元之局部曝光來決定。步驟804亦可包含圖案配合以配合字符產生與步驟802中產生之實體設計緊密配合的晶圓影像。亦可進行圖案配合的疊代、劑量指定及等效檢查,該疊代潛在地僅包括一疊代,其中進行圖案配合之自動正確建構(correct-by-construction)「確定」計算。在本發明揭露的一些具體實施例中,資料準備步驟804可包括改變射擊劑量以在所產生圖案的周邊產生高於所產生圖案的內部之波峰劑量。在其它具體實施例中,所產生之射擊在最接近之鄰近射擊的射擊輪廓之間可產生間隙,以致於區域劑量降低,但該等間隙將不會被後續產生之晶圓影像812記錄。 在另一具體實施例中,步驟804可包括藉由改變間隙尺寸的最適化。模板係在步驟808中製備且接著在步驟810中提供至晶圓寫入器。一旦模板完成,模板係使用於例如電子束寫入器系統之晶圓寫入器機械中製備晶圓。此步驟認定為步驟810。電子束寫入器系統投電子束經由該模板至一表面上以在該表面上形成圖案。此表面在步驟812中完成。 Referring now to Figure 8, another exemplary process flow diagram 800 for preparing a surface directly written on a substrate such as a germanium wafer is shown. In a first step 802, a physical design, such as a physical design of an integrated circuit, is designed. It can be an ideal pattern that the designer wants to transfer to the substrate. Next, in step 804, various different data preparation (DP) steps are performed including shredding and PEC to prepare input data to the substrate writing device. Step 804 can include fragmentation of the pattern into a complex set of CP and/or VSB shots, wherein portions of the shots can overlap each other. Step 804 can also include inputting a possible character or parameterized character from step 824 based on the predetermined symbol from step 818, and the symbol is used in character generation step 822 to change the symbol dose or change symbol. The calculation of the position or the local exposure of the symbol is determined. Step 804 can also include pattern matching to match the character to produce a wafer image that closely matches the physical design produced in step 802. Pattern substitution, dose designation, and equivalence inspection may also be performed, the iteratively including only one iteration, in which a correct-by-construction "determination" calculation is performed. In some embodiments of the present disclosure, the data preparation step 804 can include changing the firing dose to produce a peak dose above the interior of the generated pattern at the periphery of the generated pattern. In other embodiments, the resulting shots may create a gap between the shot profiles closest to the adjacent shots such that the area dose is reduced, but the gaps will not be recorded by the subsequently generated wafer image 812. In another embodiment, step 804 can include optimizing by varying the gap size. The template is prepared in step 808 and then provided to the wafer writer in step 810. Once the template is complete, the template is used to fabricate wafers in a wafer writer machine such as an electron beam writer system. This step is identified as step 810. An electron beam writer system projects an electron beam through the template onto a surface to form a pattern on the surface. This surface is completed in step 812.

再者,在步驟818中,可提供符元至資料準備及PEC步驟804。步驟818亦提供符元至字符產生步驟822。符元及模板設計步驟820提供輸入至模板步驟808或至符元步驟818。符元步驟818可提供輸入至符元及模板設計步驟820。字符產生步驟822提供資訊至字符或參數化字符步驟824。字符或參數化字符步驟824提供資訊至資料準備及PEC步驟804。步驟810可包括依需要重覆應用於每一加工層,潛在地有些使用與第7圖有關連的方法處理,以及其它使用參考第8圖之上文中概述的方法處理,或其它使用任何其它晶圓寫入方法製造以產生矽晶圓上的積體電路。 Further, in step 818, a symbol to data preparation and PEC step 804 can be provided. Step 818 also provides a symbol to character generation step 822. The symbol and template design step 820 provides an input to the template step 808 or to the symbol step 818. Symbol step 818 can provide input to symbol and template design step 820. Character generation step 822 provides information to character or parameterized character step 824. The character or parameterized character step 824 provides information to the data preparation and PEC step 804. Step 810 can include repeated application to each of the processing layers as needed, potentially using some of the methods associated with Figure 7, and other methods using the methods outlined above with reference to Figure 8, or using any other crystal. The circular writing method is fabricated to produce an integrated circuit on a germanium wafer.

描述於本發明揭露中的碎化、遮罩資料準備、鄰近效應修正及字符產生流程,可使用具有適當電腦軟體之一般用電腦作為計算裝置來執行。由於需要大量的計算,可能亦並聯使用多數電腦或處理器核心。在一具體實施例中,計算亦可細分成用於流程中一或多個密集運算步驟計算步驟的多數2-維幾何區域,以支持平行處理。在另一具體實施例中,特殊目的硬體裝置,無論是單一使用或多數組合 使用,可用於以比一般用電腦或處理器核更快的速度來執行一或多個步驟。在一具體實施例中,特殊目的硬體裝置可為圖形處理單元(GPU)。在另一具體實施例中,描述於本發明揭露中之最適化及模擬製程可包括修改及再計算可能解法的疊代過程,以致能最小化總射擊數或總帶電粒子束寫入時間,或一些其它參數。在又另一具體實施例中,可在自動正確建構(correct-by-construction)方法中決定起始射擊組,使得不需要射擊改良。 The shredding, mask data preparation, proximity effect correction, and character generation flow described in the present disclosure can be performed using a general computer having an appropriate computer software as a computing device. Due to the large amount of computation required, most computers or processor cores may also be used in parallel. In a specific embodiment, the calculations may also be subdivided into a plurality of 2-dimensional geometric regions for one or more computational steps of the computational steps in the process to support parallel processing. In another embodiment, the special purpose hardware device, whether single or most Use, can be used to perform one or more steps at a faster rate than a typical computer or processor core. In a specific embodiment, the special purpose hardware device can be a graphics processing unit (GPU). In another embodiment, the optimization and simulation process described in the present disclosure may include modifying and recalculating the iterative process of possible solutions such that the total number of shots or the total charged particle beam write time is minimized, or Some other parameters. In yet another embodiment, the starting shot set can be determined in a correct-by-construction method such that no shot improvement is required.

雖然說明書已參照特定具體實施例詳細說明,應瞭解到熟習此項技術者,在瞭解前述內容後,可容易地構思此等具體實施例的替代方式、變化及等效物。此等及其它對本發明之用於碎化、遮罩資料準備及鄰近效應修正之方法的改良及變化,在未偏離特別描述於後附申請專利範圍中之本發明標的物之精神及範圍之下,可藉由該等熟習此項技術者實施。再者,該等熟習此項技術者將瞭解到前述說明只是為了舉例,且非意欲構成限制。在未偏離本發明之範圍之下,可添加、省卻或改良說明書中的步驟。一般而言,任何顯示的流程圖僅意欲表示基本操作達到功能的一種可能的次序,且有可能有許多變化。因此,本發明標的物意欲涵蓋此等落於後附申請專利範圍及其等等效物之範圍內的改良及變化。 Although the specification has been described in detail with reference to the specific embodiments thereof, it will be understood that those skilled in the <RTIgt; </ RTI> <RTIgt; </ RTI> <RTIgt; </ RTI> <RTIgt; These and other improvements and variations of the method of the present invention for the sizing, masking, and proximity effects are not deviated from the spirit and scope of the subject matter of the present invention as specifically described in the appended claims. , can be implemented by those skilled in the art. In addition, those skilled in the art will understand that the foregoing description is by way of example only and is not intended to be limiting. The steps in the specification may be added, omitted, or modified without departing from the scope of the invention. In general, any flow chart shown is merely intended to indicate one possible order in which the basic operations are functional, and many variations are possible. Therefore, the subject matter of the present invention is intended to cover such modifications and variations within the scope of the appended claims.

100‧‧‧傳統微影術系統,電子束寫入器系統 100‧‧‧Traditional lithography system, electron beam writer system

112‧‧‧電子束源,射束源 112‧‧‧electron beam source, beam source

114、120、134‧‧‧電子束 114, 120, 134‧‧‧ electron beam

116‧‧‧孔板 116‧‧‧ Orifice

118‧‧‧孔 118‧‧‧ hole

122‧‧‧孔板或模板遮罩,模板 122‧‧‧ Orifice or template mask, template

124‧‧‧開孔,孔 124‧‧‧ openings, holes

126、126A‧‧‧符元 126, 126A‧‧‧ symbol

130‧‧‧表面 130‧‧‧ surface

132‧‧‧基板 132‧‧‧Substrate

136‧‧‧遮没區域 136‧‧‧masked area

138‧‧‧縮小透鏡 138‧‧‧Reduction lens

140‧‧‧縮減電子束 140‧‧‧Reduce electron beam

142‧‧‧偏向器,偏轉板 142‧‧‧ deflector, deflector

148、252、602、610‧‧‧圖案 148, 252, 602, 610‧‧‧ patterns

150‧‧‧定位機構 150‧‧‧ Positioning agency

202‧‧‧矩形圖案,射擊輪廓 202‧‧‧Rectangular pattern, shooting outline

204、226、404、448‧‧‧線路 204, 226, 404, 448‧‧‧ lines

210、220、410、420、430、440、450‧‧‧劑量圖 210, 220, 410, 420, 430, 440, 450‧‧‧ dose map

212、230、412、422、432、442、452‧‧‧劑量曲線 212, 230, 412, 422, 432, 442, 452 ‧ ‧ dose curve

214、414、424‧‧‧光阻閾值 214, 414, 424‧‧‧ photoresist threshold

216、218‧‧‧虛線 216, 218‧‧‧ dotted line

222、224、310~314‧‧‧射擊輪廓 222, 224, 310~314‧‧‧ shooting profile

302‧‧‧多邊形圖案 302‧‧‧ Polygon pattern

320、322、512~526‧‧‧射擊 320, 322, 512~526‧‧ shot

324~~3330‧‧‧內部角落 324~~3330‧‧‧Interior corner

332‧‧‧重疊區域 332‧‧‧Overlapping areas

402、530‧‧‧射擊,射擊輪廓 402, 530‧‧ shot, shooting outline

428‧‧‧非零背景曝光 428‧‧‧Non-zero background exposure

434、444‧‧‧閾值 434, 444‧‧‧ threshold

458~459‧‧‧背景劑量 458~459‧‧‧background dose

502‧‧‧圓形圖案 502‧‧‧Circular pattern

604、606‧‧‧正方形 604, 606‧‧‧ square

612~632‧‧‧形狀 612~632‧‧‧ shape

640、670‧‧‧射擊組群 640, 670‧‧‧ shooting groups

642~662‧‧‧拖曳射擊 642~662‧‧‧Towing shot

664~666、694~696‧‧‧VSB射擊 664~666, 694~696‧‧‧VSB shooting

750、800‧‧‧流程圖 750, 800‧‧‧ flow chart

752~776、802~824‧‧‧步驟 752~776, 802~824‧‧‧ steps

第1圖係圖解說明符元投影帶電粒子束系統之一實施例; 第2A圖係圖解說明一單一帶電粒子束射擊的一實施例及該射擊之截面劑量圖;第2B圖係圖解說明一對鄰近射擊之一實施例以及該射擊對的截面劑量圖;第2C圖係圖解說明一形成在來自該成對之第2B圖射擊的光阻塗覆表面上之圖案的一實施例;第3A圖係圖解說明一多邊形圖案的一實施例;第3B圖係圖解說明第3A圖之多邊形圖案之傳統碎化的一實施例;第3C圖係圖解說明第3A圖之多邊形圖案之替代碎化的一實施例;第4A圖係圖解說明來自矩形射擊之射擊輪廓的一實施例;第4B圖係圖解說明使用正常射擊劑量之第4A圖射擊的縱向射擊曲線的一實施例;第4C圖係圖解說明包括長程效應之類似第4B圖之縱向射擊曲線的一實施例;第4D圖係圖解說明使用高於正常射擊劑量之第4A圖射擊的縱向射擊曲線的一實施例;第4E圖係圖解說明包括長程效應之類似第4C圖之縱向射擊曲線的一實施例;第4F圖係圖解說明類似第4E圖之縱向射擊曲線但具有較高背景劑量等級的一實施例;第5A圖係圖解說明欲形成在一表面上之圓形圖案的 一實施例;第5B圖係圖解說明可形成第5A圖之圖案的九個射擊之輪廓的一實施例;第6A圖係圖解說明在OPC之前,包含二正方形之圖案的一實施例;第6B圖係圖解說明可藉由第6A圖之圖案的OPC製程製成的一曲線圖案的一實施例;第6C圖係圖解說明第6B圖之圖案如何藉由拖曳圓形CP射擊及VSB射擊形成曲線圖案的一實施例;第6D圖係圖解說明第6B圖之圖案如何藉由拖曳圓形CP射擊及VSB射擊形成曲線圖案的另一實施例;第7圖係圖解說明如何製備用於使用光微影術之製造例如矽晶圓上的積體電路之基板的一例如倍縮光罩之表面的概念流程圖;以及第8圖係圖解說明如何製備用於製造例如矽晶圓上的積體電路之基板的一表面的概念流程圖。 1 is a diagram illustrating an embodiment of a symbolized charged particle beam system; Figure 2A illustrates an embodiment of a single charged particle beam shot and a cross-sectional dose map of the shot; Figure 2B illustrates an embodiment of a pair of adjacent shots and a cross-sectional dose map of the shot pair; Figure 2C An embodiment of a pattern formed on a photoresist coated surface from the paired shot of FIG. 2B is illustrated; FIG. 3A illustrates an embodiment of a polygonal pattern; and FIG. 3B illustrates An embodiment of conventional shredding of a polygonal pattern of FIG. 3A; FIG. 3C is an embodiment illustrating alternative shredding of a polygonal pattern of FIG. 3A; and FIG. 4A is an illustration of an implementation of a shooting profile from a rectangular shot Example; Figure 4B illustrates an embodiment of a longitudinal firing curve of a shot taken using Figure 4A of a normal firing dose; Figure 4C illustrates an embodiment of a longitudinal firing curve similar to Figure 4B including a long range effect; The 4D diagram illustrates an embodiment of a longitudinal firing curve shot using a 4A map shot above the normal firing dose; and FIG. 4E illustrates a longitudinal firing curve similar to the 4C plot including the long range effect. An embodiment; FIG. 4F first firing line curve similarly illustrates the longitudinal section of FIG. 4E, but an embodiment with a higher dose level according to the background; FIG. 5A illustrates a system to be formed on the surface of a circular pattern An embodiment; FIG. 5B is an embodiment illustrating the outline of nine shots that can form the pattern of FIG. 5A; FIG. 6A is an illustration of an embodiment including a pattern of two squares before OPC; The figure illustrates an embodiment of a curved pattern that can be made by the OPC process of the pattern of FIG. 6A; and FIG. 6C illustrates how the pattern of FIG. 6B forms a curve by dragging a circular CP shot and a VSB shot. An embodiment of the pattern; FIG. 6D is a diagram illustrating another embodiment of how the pattern of FIG. 6B is formed by dragging a circular CP shot and a VSB shot; FIG. 7 is a diagram illustrating how to prepare for use of light micro A conceptual flow diagram of the fabrication of a surface such as a reticle of a substrate on an integrated circuit on a wafer; and Figure 8 illustrates how to prepare an integrated circuit for use in fabricating, for example, a germanium wafer. A conceptual flow diagram of a surface of a substrate.

100‧‧‧傳統微影術系統,電子束寫入器系統 100‧‧‧Traditional lithography system, electron beam writer system

112‧‧‧電子束源,射束源 112‧‧‧electron beam source, beam source

114‧‧‧電子束 114‧‧‧Electron beam

116‧‧‧孔板 116‧‧‧ Orifice

118‧‧‧孔 118‧‧‧ hole

120‧‧‧電子束 120‧‧‧electron beam

122‧‧‧孔板或模板遮罩,模板 122‧‧‧ Orifice or template mask, template

124‧‧‧開孔,孔 124‧‧‧ openings, holes

126‧‧‧符元 126‧‧‧ symbol

126A‧‧‧符元 126A‧‧‧ symbol

130‧‧‧表面 130‧‧‧ surface

132‧‧‧基板 132‧‧‧Substrate

134‧‧‧電子束 134‧‧‧electron beam

136‧‧‧遮没區域 136‧‧‧masked area

138‧‧‧縮小透鏡 138‧‧‧Reduction lens

140‧‧‧縮減電子束 140‧‧‧Reduce electron beam

142‧‧‧偏向器,偏轉板 142‧‧‧ deflector, deflector

148‧‧‧圖案 148‧‧‧ pattern

150‧‧‧定位機構 150‧‧‧ Positioning agency

Claims (25)

一種用於碎化或遮罩資料準備之方法,包含下述步驟:輸入待形成在一表面上的所欲圖案;以及決定一組帶電粒子束射擊,其將在該表面上形成該所欲圖案;其中該組射擊中之一射擊為一拖曳射擊,以及其中該組射擊將在接近該所欲圖案的周邊產生高於該所欲圖案的內部之一波峰劑量。 A method for shredding or masking data preparation, comprising the steps of: inputting a desired pattern to be formed on a surface; and determining a set of charged particle beam shots that will form the desired pattern on the surface One of the shots of the set is a tow shot, and wherein the set of shots will produce a peak dose above the desired pattern near the perimeter of the desired pattern. 如申請專利範圍第1項之方法,其中該拖曳射擊係用於形成該圖案的周邊的一部分。 The method of claim 1, wherein the tow shot is used to form a portion of the perimeter of the pattern. 一種用於碎化或遮罩資料準備之方法,包含下述步驟:輸入待形成在一表面上的所欲圖案;以及決定一組帶電粒子束射擊,其將在該表面上形成該所欲圖案;其中至少二射擊重疊,該等至少二射擊中之任一者非另一者的支組,以及其中該組射擊將在接近該所欲圖案的周邊產生高於該所欲圖案的內部之一波峰劑量。 A method for shredding or masking data preparation, comprising the steps of: inputting a desired pattern to be formed on a surface; and determining a set of charged particle beam shots that will form the desired pattern on the surface Wherein at least two shots overlap, one of the at least two shots is not the other of the groups, and wherein the set of shots will produce an interior that is higher than the desired pattern near the perimeter of the desired pattern Peak dose. 如申請專利範圍第3項之方法,其中該組射擊中射擊的聯合不完全涵蓋該所欲圖案。 The method of claim 3, wherein the combination of shots in the set of shots does not completely cover the desired pattern. 如申請專利範圍第4項之方法,其中該決定步驟包含決定該等射擊的位置,以致於在最接近的鄰近射擊之間存在有間隙。 The method of claim 4, wherein the determining step comprises determining a position of the shots such that there is a gap between the closest adjacent shots. 如申請專利範圍第5項之方法,其中該決定步驟進一 步包含使用一最適化技術,其中該等間隙的尺寸被改變。 For example, the method of claim 5, wherein the decision step is further The step involves the use of an optimization technique in which the dimensions of the gaps are changed. 如申請專利範圍第3項之方法,其中該決定步驟包含計算藉由該組帶電粒子束射擊將在該表面上形成的該圖案。 The method of claim 3, wherein the determining step comprises calculating the pattern to be formed on the surface by the group of charged particle beam shots. 如申請專利範圍第7項之方法,其中該計算包含帶電粒子束模擬。 The method of claim 7, wherein the calculation comprises a charged particle beam simulation. 如申請專利範圍第8項之方法,其中該帶電粒子束模擬包含由下述作用組成的組群中至少一者:前散射、反向散射、光阻擴散、庫侖效應、蝕刻、起霧、加載及光阻充電。 The method of claim 8, wherein the charged particle beam simulation comprises at least one of the group consisting of: forward scattering, backscattering, photoresist diffusion, Coulomb effect, etching, fogging, loading And photoresist charging. 如申請專利範圍第3項之方法,其中該組射擊包含一複雜符元的至少一射擊。 The method of claim 3, wherein the set of shots comprises at least one shot of a complex symbol. 如申請專利範圍第3項之方法,其中該組射擊包含多數射擊支組,且其中每一射擊支組被指定給一不同曝光歷程中的曝光。 The method of claim 3, wherein the set of shots comprises a plurality of shots, and wherein each shot is assigned to an exposure in a different exposure history. 如申請專利範圍第3項之方法,其中該決定步驟使用一最適化技術。 The method of claim 3, wherein the determining step uses an optimization technique. 如申請專利範圍第12項之方法,其中該組射擊包含一總劑量,且其中該最適化技術降低該總劑量。 The method of claim 12, wherein the set of shots comprises a total dose, and wherein the optimization technique reduces the total dose. 一種用於碎化或遮罩資料準備之系統,包含:一能夠輸入一待形成在一表面上的所欲圖案之裝置;以及一能夠決定一組射擊之裝置,該組射擊將形成該所 欲圖案;其中該組射擊之支組中之一射擊為一拖曳射擊,以及其中該組射擊將在接近該所欲圖案的周邊產生高於該所欲圖案的內部之一波峰劑量。 A system for shredding or masking data preparation, comprising: a device capable of inputting a desired pattern to be formed on a surface; and a device capable of determining a set of shots, the set of shots forming the premises a pattern; wherein one of the set of shots is a tow shot, and wherein the set of shots will produce a peak dose above the desired pattern near the perimeter of the desired pattern. 如申請專利範圍第14項之系統,其中該拖曳射擊將形成至少一部分之該所欲圖案的周邊。 The system of claim 14, wherein the tow shot will form at least a portion of the perimeter of the desired pattern. 一種用於碎化或遮罩資料準備之系統,包含:一能夠輸入一待形成在一表面上的所欲圖案之裝置;以及一能夠決定一組射擊之裝置,該組射擊將形成該所欲圖案;其中至少二射擊重疊,該等至少二射擊中之任一者非另一者的支組,以及其中該組射擊將在接近該所欲圖案的周邊產生高於該所欲圖案的內部之一波峰劑量。 A system for shredding or masking data preparation, comprising: a device capable of inputting a desired pattern to be formed on a surface; and a device capable of determining a set of shots, the set of shots forming the desired a pattern; wherein at least two shots overlap, any one of the at least two shots is not the other of the groups, and wherein the set of shots will produce an interior that is higher than the desired pattern near the periphery of the desired pattern A peak dose. 如申請專利範圍第16項之系統,其中該組射擊中射擊的聯合不完全涵蓋該所欲圖案。 For example, the system of claim 16 wherein the combination of shots in the set of shots does not completely cover the desired pattern. 如申請專利範圍第17項之系統,其中該能夠決定之裝置在最接近的鄰近射擊之間產生間隙。 A system of claim 17, wherein the determinable device creates a gap between the nearest adjacent shots. 如申請專利範圍第16項之系統,其中該能夠決定之裝置使用一最適化技術。 For example, the system of claim 16 wherein the device capable of determining uses an optimization technique. 如申請專利範圍第19項之系統,其中該組射擊包含一總劑量,且其中該總劑量被降低。 The system of claim 19, wherein the set of shots comprises a total dose, and wherein the total dose is decreased. 如申請專利範圍第16項之系統,其中該能夠決定之裝 置計算將由該組射擊在該表面上形成的該圖案。 For example, the system of claim 16 of the patent scope, wherein the device can be determined The calculation will be performed by the set of shots of the pattern formed on the surface. 如申請專利範圍第21項之系統,其中該計算包含帶電粒子束模擬。 A system as claimed in claim 21, wherein the calculation comprises a charged particle beam simulation. 如申請專利範圍第22項之系統,其中該帶電粒子束模擬包含由下述作用組成的組群中至少一者:前散射、反向散射、光阻擴散、庫侖效應、蝕刻、起霧、加載及光阻充電。 The system of claim 22, wherein the charged particle beam simulation comprises at least one of the group consisting of: forward scattering, backscattering, photoresist diffusion, Coulomb effect, etching, fogging, loading And photoresist charging. 如申請專利範圍第16項之系統,其中該組射擊包含至少一複雜符元。 A system as claimed in claim 16, wherein the set of shots comprises at least one complex symbol. 如申請專利範圍第16項之系統,其中該組射擊包含多數射擊支組,且其中每一射擊支組被指定給一不同曝光歷程中的曝光。 A system of claim 16, wherein the set of shots comprises a plurality of shots, and wherein each shot is assigned to an exposure in a different exposure history.
TW101105805A 2011-02-28 2012-02-22 Method and system for design of a surface to be manufactured using charged particle beam lithography TW201303515A (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US13/037,263 US20120221985A1 (en) 2011-02-28 2011-02-28 Method and system for design of a surface to be manufactured using charged particle beam lithography
US13/037,270 US9057956B2 (en) 2011-02-28 2011-02-28 Method and system for design of enhanced edge slope patterns for charged particle beam lithography
US13/037,268 US20120221980A1 (en) 2011-02-28 2011-02-28 Method and system for design of enhanced accuracy patterns for charged particle beam lithography

Publications (1)

Publication Number Publication Date
TW201303515A true TW201303515A (en) 2013-01-16

Family

ID=48094422

Family Applications (2)

Application Number Title Priority Date Filing Date
TW101105805A TW201303515A (en) 2011-02-28 2012-02-22 Method and system for design of a surface to be manufactured using charged particle beam lithography
TW101105804A TWI567503B (en) 2011-02-28 2012-02-22 Method and system for design of enhanced patterns for charged particle beam lithography

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW101105804A TWI567503B (en) 2011-02-28 2012-02-22 Method and system for design of enhanced patterns for charged particle beam lithography

Country Status (1)

Country Link
TW (2) TW201303515A (en)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7039222B2 (en) * 2017-09-11 2022-03-22 キオクシア株式会社 Imprint device and imprint method
JP2022114213A (en) 2021-01-26 2022-08-05 キオクシア株式会社 Proximity effect correction method, original plate manufacturing method and drawing device

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6610989B1 (en) * 1999-05-31 2003-08-26 Fujitsu Limited Proximity effect correction method for charged particle beam exposure
US8426832B2 (en) * 2006-11-21 2013-04-23 D2S, Inc. Cell projection charged particle beam lithography
US7981575B2 (en) * 2008-09-01 2011-07-19 DS2, Inc. Method for optical proximity correction of a reticle to be manufactured using variable shaped beam lithography
US7901845B2 (en) * 2008-09-01 2011-03-08 D2S, Inc. Method for optical proximity correction of a reticle to be manufactured using character projection lithography

Also Published As

Publication number Publication date
TW201245897A (en) 2012-11-16
TWI567503B (en) 2017-01-21

Similar Documents

Publication Publication Date Title
US10101648B2 (en) Method and system for forming a pattern on a reticle using charged particle beam lithography
JP6054385B2 (en) Method and system for forming a pattern using charged particle beam lithography
US9625809B2 (en) Method and system for forming patterns using charged particle beam lithography with variable pattern dosage
US20130070222A1 (en) Method and System for Optimization of an Image on a Substrate to be Manufactured Using Optical Lithography
US9465297B2 (en) Method and system for forming patterns with charged particle beam lithography
US20120217421A1 (en) Method and system for forming patterns using charged particle beam lithography with overlapping shots
US20120096412A1 (en) Method and System for Forming High Accuracy Patterns Using Charged Particle Beam Lithography
US9057956B2 (en) Method and system for design of enhanced edge slope patterns for charged particle beam lithography
US20120221980A1 (en) Method and system for design of enhanced accuracy patterns for charged particle beam lithography
KR20140015340A (en) Method and system for forming patterns using charged particle beam lithography
US8745549B2 (en) Method and system for forming high precision patterns using charged particle beam lithography
US20120221985A1 (en) Method and system for design of a surface to be manufactured using charged particle beam lithography
US9612530B2 (en) Method and system for design of enhanced edge slope patterns for charged particle beam lithography
US9323140B2 (en) Method and system for forming a pattern on a reticle using charged particle beam lithography
TWI592762B (en) Method and system for forming patterns using charged particle beam lithography
TWI567503B (en) Method and system for design of enhanced patterns for charged particle beam lithography
US20130252143A1 (en) Method and system for design of enhanced accuracy patterns for charged particle beam lithography
TWI546614B (en) Method and system for reducing manufacturing variation using charged particle beam lithography
WO2012118621A2 (en) Method and system for design of enhanced patterns for charged particle beam lithography