TW201145384A - Semiconductor device manufacturing method and plasma etching apparatus - Google Patents

Semiconductor device manufacturing method and plasma etching apparatus Download PDF

Info

Publication number
TW201145384A
TW201145384A TW100103440A TW100103440A TW201145384A TW 201145384 A TW201145384 A TW 201145384A TW 100103440 A TW100103440 A TW 100103440A TW 100103440 A TW100103440 A TW 100103440A TW 201145384 A TW201145384 A TW 201145384A
Authority
TW
Taiwan
Prior art keywords
gas
flow rate
project
semiconductor device
film
Prior art date
Application number
TW100103440A
Other languages
English (en)
Other versions
TWI508164B (zh
Inventor
Masato Kushibiki
Eiichi Nishimura
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of TW201145384A publication Critical patent/TW201145384A/zh
Application granted granted Critical
Publication of TWI508164B publication Critical patent/TWI508164B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control
    • H01J37/32706Polarising the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Drying Of Semiconductors (AREA)

Description

201145384 六、發明說明: 【發明所屬之技術領域】 本發明,係有關於半導體裝置之製造方法以及電漿蝕 刻裝置。 【先前技術】 在半導體裝置之製造工程中,係將半導體晶圓等之基 板配置在電漿蝕刻裝置之處理腔內,並進行有對於被形成 在基板上之各種膜而進行電漿蝕刻之處理。 在電漿蝕刻裝置中,係將收容有半導體晶圓等之基板 的處理腔內設爲特定壓力之減壓氛圍,並且,將特定之處 理氣體供給至處理腔內,而將此處理氣體經由高頻電場等 來電漿化。之後,經由使此處理氣體之電漿作用在基板上 ,而對於被形成在基板上之各種膜作電漿蝕刻。 又,在由此種電漿蝕刻裝置所進行的電漿處理中,係 週知有:於供給至處理腔內之混合氣體中,將使蝕刻進行 之SF6的供給暫時性的短時間斷續性地停止,並在此期間 中之蝕刻之進行停止了的狀態下來在表面上形成氮化膜, 而經由此來並不產生底切(under cut )地將矽蝕刻的方法 (例如,參考專利文獻1 )。 [先前技術文獻] [專利文獻] [專利文獻1]日本特公平4-73287號公報 201145384 【發明內容】 [發明所欲解決之課題] 在半導體裝置中,其電路圖案之細微化,係如同從 56nm起而成爲43nm乃至32nm等一般地而日益進行。因此 ,經由電漿蝕刻所形成之圖案,係成爲細微,並且高度爲 高或者是深度爲深的圖案亦變多,故而,係進行有關於能 夠將此種圖案以良好精確度來均一地且以高選擇比而形成 的技術之開發。然而,由於係存在有例如在選擇比和圖案 形狀之間係成爲取捨(trade off)關係等的問題,因此, 要以高選擇比來形成細微且深的孔或者是細微且高的線與 空間等之圖案一事,係爲困難。 本發明,係爲有鑑於上述先前技術之問題而進行對應 者’其目的’係在於提供一種:能夠以良好精確度而均一 地且以高選擇比來形成細微之圖案的半導體裝置之製造方 法以及電漿蝕刻裝置。 [用以解決課題之手段] 本發明之半導體裝置之製造方法,係爲具備有將基板 收容在處理腔內並對於形成在前述基板上之被蝕刻膜進行 蝕刻的電漿蝕刻工程之半導體裝置之製造方法,其特徵爲 :在前述電發飽刻工程中’係對於前述處理腔內供給由特 定之複數種的氣體之混合氣體所成的處理氣體,並且,將 由將前述複數種之氣體中的至少〗種之氣體的流量在第〗時 -6 - 201145384 間中而設爲第1流量之第1工程和在第2時間中而設爲與前 述第1流量相異的流量的第2流量之第2工程所成之一個循 環之工程,在途中並不使電漿消失地來連續性反覆進行至 少3次以上’前述第1時間以及前述第2時間,係爲1秒以上 15秒以下’在前述第1工程中之前述處理氣體的總流量、 和在前述第2工程中之前述處理氣體的總流量,係爲相同 ,或者是,當兩者爲相異之情況時,總流量之差係爲較多 一方之總流量的1 〇 %以下,在前述第1工程和前述第2工程 的兩者之中,均係在前述處理氣體中包含有使前述被蝕刻 膜之蝕刻進行的氣體。 [發明之效果] 若依據本發明,則能夠提供一種:能夠以良好精確度 而均一地且以高選擇比來形成細微之圖案的半導體裝置之 製造方法以及電漿蝕刻裝置。 【實施方式】 以下,參考圖面,針對本發明之實施形態作詳細說明 〇 圖1,係爲對於本發明之其中一種實施形態的電漿蝕 刻裝置200之構成作模式性展示者。電漿蝕刻裝置200,係 被構成爲氣密,並具備有被電性地設爲了接地電位之處理 腔1。此處理腔1,係被設爲圓筒狀,並例如由表面被作了 陽極氧化處理之鋁等所構成。 201145384 在處理腔1內,係被設置有將身爲被處理基板之半導 體晶圓W水平地作支持的載置台2。載置台2,例如係由將 表面作了陽極氧化處理之鋁等所構成,並具備有作爲下部 電極之功能。此載置台2 ’係隔著絕緣板3而被支持在導體 之支持台4上。又’在載置台2之上方的外周,係被設置有 例如藉由單結晶矽所形成的聚焦環5。進而,係以將載置 台2以及支持台4之周圍作包圍的方式,而被設置有例如由 石英等所成之圓筒狀的內壁構件3a。 在載置台2處’係透過第1整合器π a而被連接有第1高 頻電源10a,又,係透過第2整合器lib而被連接有第2高頻 電源l〇b。第1高頻電源l〇a’係爲用以產生電漿者,從此 第1高頻電源l〇a’係成爲對於載置台2而供給有特定頻率 (27MHz以上’例如40MHz)之高頻電力。又,第2高頻 電源l〇b’係爲離子拉入用(偏壓用)者,從此第2高頻電 源10b,係成爲對於載置台2而供給有較第1高頻電源i〇a更 低的特定頻率(13.56MHz以下,例如2MHz)之高頻電力 。另一方面’在載置台2之上方,係以與載置台2平行地相 對向的方式,而被設置有具備作爲上部電極之功能的噴淋 頭16’噴淋頭16和載置台2,係成爲作爲一對之電極(上 部電極和下部電極)而起作用。 在載置台2之上面,係被設置有將半導體晶圆w作靜 電吸附之靜電吸盤6。此靜電吸盤6,係爲在絕緣體6b之間 中介存在有電極6a地而被構成,在電極6a處,係被連接有 直流電源1 2。而後,係構成爲藉由從直流電源1 2來對於電 201145384 極6a施加直流電壓,而經由庫倫力等來將半導體晶圓w作 吸附。 在支持台4之內部,係被形成有冷媒流路4a,於冷媒 流路4a處,係被連接有冷媒入口配管4b、冷媒出口配管4c 。而後,經由在冷媒流路4a中而使適當之冷媒(例如冷卻 水等)作循環,係成爲能夠將支持台4以及載置台2控制爲 特定之溫度。又,係以將載置台2等貫通的方式,而設置 有用以對於半導體晶圓W之背面側來供給氦氣等之冷熱傳 導用氣體(背面氣體)的背面氣體供給配管30,此背面氣 體供給配管3 0,係被與未圖示之背面氣體供給源作連接。 經由此些之構成,而成爲能夠將在載置台2之上面經由靜 電吸盤6而作了吸附保持的半導體晶圓W控制在特定之溫 度。 上述之噴淋頭1 6,係被設置在處理腔1之頂板部分處 。噴淋頭16,係具備有本體部16 a和成爲電極板之上部頂 板16b,並隔著絕緣性構件45而被支持於處理腔1之上部。 本體部16a,係由導電性材料、例如係由表面被作了陽極 氧化處理之鋁等所成,並被構成爲能夠在其之下部處而將 上部頂板16b可自由裝卸地作支持。 在本體部16a之內部,係被設置有氣體擴散室16c,在 本體部1 6a之底部處,係以位置在此氣體擴散室1 6c之下部 的方式,而被形成有多數之氣體通流孔16d。又,在上部 頂板16b處,係以與前述之氣體通流孔I6d相重合的方式, 而被設置有將該上部頂板16b於厚度方向上作貫通之氣體 201145384 導入孔16e。藉由此種構成,被供給至氣體擴散室16c處之 處理氣體,係成爲透過氣體通流孔16d以及氣體導入孔16e 而被以噴淋狀來分散供給至處理腔1內。另外,在本體部 16a等處,係被設置有用以使冷媒循環之未圖示的配管, 並成爲能夠在電漿蝕刻處理中而將噴淋頭16冷卻爲所期望 之溫度。 在上述之本體部16a處,係被形成有用以將處理氣體 導入至氣體擴散室16c中的氣體導入口168。在此氣體導入 口 16g處,係被連接有氣體供給配管15a,在此氣體供給配 管15a之另外一端處,係被連接有供給電漿蝕刻用之處理 氣體的處理氣體供給源15。 在氣體供給配管1 5a處,係從上流側起而依序被設置 有質量流控制器(MFC ) 15b以及開閉閥VI。而後,從處 理氣體供給源1 5,作爲用以進行電漿蝕刻之處理氣體,而 例如將 Ar、02、C4F8、HBr、NF3、C4F6、CF4等之氣體, 透過氣體供給配管15a來供給至氣體擴散室16c中,並從此 氣體擴散室16c來透過氣體通流孔16d以及氣體導入孔16e 而以噴淋狀來分散供給至處理腔1內。 在上述之作爲上部電極的噴淋頭16處,係透過低通濾 波器(LPF ) 51而被與可變直流電源52作電性連接。此可 變直流電源52,係藉由ON、OFF開關53而使得供電之ON 、OFF成爲可能。可變直流電源52之電流、電壓以及ON、 OFF開關53之ON、OFF,係成爲經由後述之控制部60而被 作控制。另外,如同後述一般,在從第1高頻電源1 0a、第 -10- 201145384 2高頻電源l〇b而將高頻對於載置台2作施加並在處理空間 中使電漿產生時,係因應於必要而藉由控制部60來將ON 、OFF開關53設爲ON,並對於作爲上部電極之噴淋頭16施 加特定之直流電壓。 從處理腔1之側壁起,以延伸至較噴淋頭1 6之高度位 置而更上方的方式,而被設置有圓筒狀之接地導體la。此 圓筒狀之接地導體U,係於其之上部具備有頂板。 在處理腔1之底部,係被形成有排氣口 71,於此排氣 口 71處,係透過排氣管72而被連接有排氣裝置73。排氣裝 置73,係具備有真空幫浦,並成爲能夠藉由使此真空幫浦 動作來將處理腔1內減壓至特定之真空度。另一方面,在 處理腔1之側壁,係被設置有晶圓W之搬入搬出口 74,在 此搬入搬出口 74處,係被設置有將該搬入搬出口 74作開閉 之閘閥75。 圖中之76、77,係爲被設爲可自由裝卸之堆積物遮罩 。堆積物遮罩76,係被沿著處理腔1之內壁面作設置,堆 積遮罩77,係以包圍支持台4以及載置台2之周圍的方式而 被作設置。此些之堆積物遮罩76、77,係具備有防止蝕刻 副生成物(堆積物)附著在處理腔1之內壁等處的作用。 上述構成之電漿蝕刻裝置’係經由控制部60而對於其 之動作作統籌性控制。在此控制部60處’係被設置有:.具 備有CPU並且對於電漿蝕刻裝置之各部作控制的製程控制 器6 1、和使用者介面62、以及記憶部63。 使用者介面62,係由工程管理者爲了對電漿蝕刻裝置 -11 - 201145384 作管理而進行指令之輸入操作等的鍵盤、或是將電漿蝕刻 裝置之動作狀態可視化而顯示的顯示器等,而構成之。 在記憶部63處,係儲存有配方,該配方,係記錄有用 以將由電漿蝕刻裝置所實行之各種處理在製程控制器6 1之 控制下而實現之控制程式(軟體)或者是處理條件資料等 。而後,因應於需要,藉由以從使用者介面62而來之指示 等而將任意之配方從記憶部63呼叫出,並在製程控制器61 中實行,而能在製程控制器6 1之控制下,進行在電漿蝕刻 裝置中之所期望的處理。又,控制程式或者是處理條件資 料等之配方,係可利用身爲被儲存於可藉由電腦來讀取的 電腦記憶媒體(例如硬碟、CD、軟碟、半導體記億體等 )中之狀態者,或者是,亦可從其他之裝置例如經由專用 之線路而隨時進行傳輸並作線上利用。 針對藉由如此這般所構成之電漿蝕刻裝置來對於被形 成在半導體晶圓W上之絕緣膜等進行電漿蝕刻的處理程序 作說明。首先,閘閥75係被開啓,半導體晶圓W係藉由未 圖示之搬送機器人等而透過未圖示之裝載鎖定室來從搬入 搬出口 74而被搬入至處理腔1內,並被載置在載置台2上。 之後,使搬送機器人退避至處理腔1外,並將閘閥75關閉 。之後,藉由排氣裝置73之真空幫浦來透過排氣口 71而將 處理腔1內排氣。 在處理腔1內成爲了特定之真空度後,在處理腔1內, 係從處理氣體供給源1 5而被導入有特定之處理氣體(蝕刻 氣體),處理腔1內係被保持於特定之壓力、例如保持於 -12- 201145384 4.7Pa ( 3 5mT〇rr),在此狀態下,從第1高頻電源l〇a而對 於載置台2施加頻率例如爲40MHz之高頻電力。又,從第2 高頻電源10b,係爲了將離子拉入,而對於載置台2供給有 頻率例如爲2.0MHz之高頻電力(偏壓用)。此時,係從 直流電源12而對於靜電吸盤6之電極6a施加特定之直流電 壓,半導體晶圓W係藉由庫倫力而被作吸附。 於此情況,藉由如同上述一般地而對於身爲下部電極 之載置台2施加高頻電力,在身爲上部電極之噴淋頭16和 身爲下部電極之載置台2之間係形成有電場。在半導體晶 圓W所存在之處理空間中,係產生放電,並藉由此而形成 處理氣體之電漿,經由此,被形成在半導體晶圓W上之絕 緣膜等係被作蝕刻處理。此時,因應於需要,ON、OFF開 關5 3係被設爲ON,並從可變直流電源5 2來對於作爲上部 電極之噴淋頭1 6施加特定之直流電壓。又,在此蝕刻處理 時,係使由將處理氣體中之至少一種的氣體之流量設爲第 1流量之第1工程和設爲與第1流量相異的第2流量之第2工 程所成之1個循環的工程,在途中而並不使電漿消失地來 連續性反覆進行至少3次以上。關於此些之第1工程以及第 述 再 後 於 係 容 內 細 詳 的 程 Η 2 之 力 電 頻 高 止 停 則 束 結 m: 理 處 刻 蝕 之 述 上 是 若 後 而 上 與 由 藉 並 給 供 之aH 氣 mi 1 理 處 及 以 給程 供的 之反 0 相 電序 流程 直理 、 處 給之 供述 序 腔 311 理 處 從W 圓 晶 澧 fiB 導 半 將 來 行 實而 ο ο 2 置 裝 刻 蝕 漿 &31 之 成 構 述 上 用 使 對 針 。 著 出接 搬 內 -13- 201145384 之半導體裝置之製造方法的實施形態作說明。圖2’係爲 對於在本實施形態中而進行以電漿蝕刻所致之圖案化的半 導體晶圓W之構造的例子作模式性展示者。 如圖2 (a)中所示一般,在矽基板101之表面,係從 下側起而依序被形成有氮化矽膜〗02 (厚度爲例如20nm ) 、氧化矽膜103 (厚度爲例如5 00nm )、碳膜1〇4 (厚度爲 例如670nm)、氧化砂膜105(厚度爲例如40nm)、反射 防止膜106。而,在反射防止膜106之上,係被形成有被圖 案化爲特定形狀(在本實施形態中,係爲被以特定之間隔 而形成具有特定之內徑的孔之形狀)之2層的光阻膜107、 光阻膜108。 在本實施形態中,係從圖2 ( a )所示之狀態起,而首 先對於反射防止膜106和氧化矽膜105進行電漿蝕刻,並設 爲圖2 ( b )所示之狀態,接著,對於碳膜1 04進行電漿蝕 刻,而設爲圖2 ( c )所示之狀態。 之後,對於氧化矽膜1 03進行電漿鈾刻,並設爲圖2 ( d )所示之狀態。接著,藉由灰化來將氧化矽膜1 03上所殘 留之碳膜104除去,並設爲圖2(e)所示之狀態,最後, 對於氮化矽膜1 02進行蝕刻,而設爲圖2 ( f )所示之狀態 。在此狀態下,係成爲將貫通厚度約5 00nm之氧化矽膜 103和厚度爲20nm之氮化矽膜102的孔狀之圖案,以特定 之間隔而作複數形成。 在本實施形態中,係針對將上述之氧化矽膜1 03作電 漿蝕刻的情況作說明。此時,作爲處理氣體,例如,係使 -14- 201145384 用氟素化合物氣體和02氣體以及Ar氣體之混合氣體等。作 爲氟素化合物氣體,例如係可合適地使用c4f6氣體。而, 在本實施形態中,係使由將讓蝕刻進行之c4f6氣體之流量 設爲第1流量之第1工程和設爲與第1流量相異的第2流量之 第2工程所成之1個循環的工程,在途中而並不使電漿消失 地來連續性反覆進行至少3次以上。 此時,實施第1工程之第1時間、和實施第2工程之第2 時間’係設爲1秒以上1 5秒以下,更理想,係設爲2.5秒以 上1 0秒以下。針對其理由,係於後再述。 又’在第1工程中之處理氣體的總流量、和在第2工程 中之處理氣體的總流量,較理想,係設爲略相等,在相異 之情況時’其總流量之差,係以設爲較多一方之總流量的 1 〇 %以下的範圍爲理想。亦即是,例如,當在第1工程中 之處理氣體的總流量係較在第2工程中之處理氣體的總流 量更多,而爲lOOOsccm的情況時,在第2工程中之處理氣 體的總流量,係設爲900sccm以上未滿lOOOsccm之範圍。 藉由此’而將在第1工程中之處理腔內的壓力和在第2工程 中之處理腔內的壓力設爲略相同,並設爲不會使被設置在 處理腔內之APC (自動壓力控制裝置)的控制閥動作之程 度的壓力變動範圍,而能夠對於電漿蝕刻處理之狀態大幅 度變動的情形作抑制。 進而,在第1工程和第2工程之兩者中,均係設爲在處 理氣體中包含有使被蝕刻膜(在本實施形態的情況時,係 爲氧化矽膜)之蝕刻進行的氣體。故而,在本實施形態中 -15- 201145384 ,係在第1工程和第2工程之雙方,均設爲於處理氣體中包 含有氟素化合物氣體。藉由此,係能夠對於蝕刻速率降低 的情形作抑制。 作爲實施例1,使用圖1中所示之電漿蝕刻裝置,並藉 由下述之條件而實施了氧化矽膜1 03之電漿蝕刻。 壓力:4.7Pa ( 35mTorr )
高頻電力(H/L) : 2000/ 4000W
直流電壓:1 50V 處理氣體(第1工程):C4F6/ 02/ Ar = 60 / 65 / 900sccm 處理氣體(第2工程):C4F6/ 02/ Ar = 80 / 6 5 / 9 0 0 s c c m 處理時間:(第1工程10秒+第2工程10秒)x4 (總共 8 0秒)過蝕刻41%
溫度(上部/側壁/載置台):1 50/ 1 50/ 60°C 晶圓背面側氦氣壓力(中央部/週邊部):2000/ 5 3 00Pa ( 1 5/ 40Torr ) 在上述之實施例1中,係可形成所期望之形狀的孔之 圖案(孔徑45nm ),而能夠將其與基底層之氮化矽膜102 間的選擇比設爲約40。於圖3 ( a )中,展示此時之圖案的 電子顯微鏡照片。 作爲比較例1,並不如同上述一般地而對於C4F6之氣 體流量週期性地作變更,而設爲一定之6〇SCcm,並將處理 時間設爲90秒(過蝕刻50% ),其他條件,係設爲與實施 -16- 201145384 例.1相同,而進行了相同之電漿蝕刻。又’作爲比較例2 ’ 並不如同上述一般地而對於C4F6之氣體流量週期性地作變 更,而設爲一定之80sccm’並將處理時間設爲90秒(過蝕 刻62% ),其他條件,係設爲與實施例1相同,而進行了 相同之電漿蝕刻。於圖3 ( b )中’展示此比較例1之圖案 的電子顯微鏡照片’於圖3 ( c )中,展示比較例2之圖案 的電子顯微鏡照片。在比較例1中’雖然圖案形狀係爲良 好,但是,其與基底層之氮化矽膜1 02間的選擇比係爲 1 9.1而爲低。又,在比較例2中,雖然其與基底層之氮化 矽膜102間的選擇比係爲34· 9而爲高,但是,貫穿性係爲 差,而存在有多數之被作了蝕刻阻擋的圖案。 如同上述一般,在實施例1中,相較於將C4F6之氣體 流量設爲一定而進行了電漿蝕刻的情況,係能夠以高選擇 比而形成良好形狀之圖案。 接著,針對將對於形成在覆面(Blanket )晶圓上之 熱氧化膜所進行的電漿蝕刻’藉由與上述實施例1相同條 件(但是,處理時間爲80秒)來進行的情況(實施例i_2 )、藉由與比較例1相同條件(但是,處理時間爲8 0秒) 來進行的情況(比較例1 -2 )、藉由與比較例2相同條件( 但是,處理時間爲8 0秒)來進行的情況(比較例2 - 2 )下 ,其蝕刻速率之面內均一性作了調查。進而,針對並不對 於C4F6之氣體流量週期性地作變更,而設爲一定之70sccm (處理時間爲80秒)的情況(比較例3-2 ),亦進行了調 查。 -17- 201145384 將此些之結東,展示在圖4〜7中之圖表中’又,縱軸 係爲鈾刻速率,橫軸係爲在晶圓面內之位置。另外’在此 些之圖表中,黑色的圓,係爲沿著X方向所測定之値,留 白的圓,係爲沿著與X方向相正交之γ方向所測定的値。 如圖4中所示一般,在實施例1-2中’平均之蝕刻速率係爲 430.1nm/min,均一性(uniformity)係成爲 8.1%。 相對於此,在圖5所示之比較例1 - 2中’平均之蝕刻速 率係爲413.5nm / min,均一性係成爲17.5%’晶圓之中央 部的蝕刻速率降低而在週邊部處變高的傾向,係爲顯著。 又,在圖6所示之比較例2-2中,平均之蝕刻速率雖係成爲 141.nm/min左右,但是,在晶圓之週邊部和中央部之間 的中間部處,係存在有堆積物爲多而難以進行膜厚測定的 部分(圖中之並未描繪點的部分)。又,在圖7所示之比 較例3-2中,平均之蝕刻速率係爲463.3nm/min而變高, 但是,均一性係成爲1 1.6%而變低,晶圓之中央部的蝕刻 速率降低而在週邊部處變高的傾向,係成爲顯著。 如同上述一般,可以確認到:在將C4F6之氣體流量週 期性地作了變更之實施例1-2中,相較於將C4F62氣體流 量設爲一定的各比較例,其蝕刻速率係爲高,且均一性亦 爲良好。 在上述之實施例1、實施例1 -2中,雖係將第1工程以 及第2工程之時間設爲10秒,並進行4循環之週期性變更, 但是’此第1工程以及第2工程之時間,係以設爲1秒以上 1 5秒以下爲理想,又以設爲2 · 5秒以上1 〇秒以下爲更理想 -18- 201145384 。以下,針對其理由作說明。 圖8,係爲對於在上述之實施例1-2中而將第1工程以 及第2工程之時間設爲40秒並進行了 1個循環的情況時之蝕 刻速率以及其之面內均一性作了調查的結果作展示,圖9 ,係爲對於將第1工程以及第2工程之時間設爲20秒並進行 了 2個循環的情況時之蝕刻速率以及其之面內均一性作了 調查的結果作展示,圖1 〇,係爲對於將第1工程以及第2工 程之時間設爲5秒並進行了 8個循環的情況時之蝕刻速率以 及其之面內均一性作了調查的結果作展示,圖11,係爲對 於將第1工程以及第2工程之時間設爲2.5秒並進行了 16個 循環的情況時之蝕刻速率以及其之面內均一性作了調査的 結果作展示,圖1 2,係爲對於將第1工程以及第2工程之時 間設爲1秒並進行了 40個循環的情況時之蝕刻速率以及其 之面內均一性作了調查的結果作展示’圖係爲對於將 第1工程以及第2工程之時間設爲〇.5秒並進行了 80個循環 的情況時之蝕刻速率以及其之面內均一性作了調查的結果 作展示。 如圖1 3中所示一般,在將第1工程以及第2工程之時間 設爲了 0.5秒的情況時,係成爲與連續性地將C4F6以一定 之氣體流量70sccm來作了流動的情況(比較例3-2 (展示 於圖7中))略相同之結果,而幾乎不會產生效果。另外 ,此情況下之平均的蝕刻速率係爲4 6 1 . 7 n m / m in,均—性 係爲10.6%。 又,如圖12中所示一般,在將第1工程以及第2工程之 -19- 201145384 時間設爲了 1秒的情況時’相較於連續性地將C4 F 6以一疋 之氣體流量70seem來作了流動的情況(比較例3-2 (展示 於圖7中)),係出現有蝕刻速率之均一化的效果。另外 ,此情況下之平均的蝕刻速率係爲454·5ηηι/ min ’均—性 係爲9. 1 %。 而,當在圖11中所示一般之將第1工程以及第2工程之 時間設爲了 2.5秒的情況時(平均之蝕刻速率爲446.8nm/ min,均一性爲8.6%)、以及在圖1〇中所示一般之將第1工 程以及第2工程之時間設爲了 5秒的情況時(平均之蝕刻速 率爲447.3nm/min,均一性爲7.2%),蝕刻速率之均一化 的效果係逐漸變大。 然而,若是相較於將第1工程以及第2工程之時間設爲 了 10秒的前述實施例1-2而更加使第1工程以及第2工程之 時間增長,而設爲2 0秒,則如圖9中所示一般,相較於連 續性地將C4F6以一定之氣體流量70 seem來作了流動的情況 (比較例3 -2 (展示於圖7中)),蝕刻速率係降低,且均 一性亦變差。此情況下之平均的蝕刻速率係爲364.7nm/ min,均一性係爲27.2%左右。另外,在圖9中,一部份之 並未描繪點的部位,係爲堆積物多而難以進行膜厚測定的 部分。 而,在如圖8中所示一般地將第1工程以及第2工程之 時間設爲了 40秒的情況時,相較於連續性地將C4F6以一定 之氣體流量70sccm來作了流動的情況(比較例3-2 (展示 於圖7中)),蝕刻速率亦係降低,且均一性亦變差" -20- 201145384 由上述之結果,可以得知,第1工程以及第2工程之時 間’係以設爲1秒以上1 5秒以下爲理想,又以設爲2.5秒以 上1 0秒以下爲更理想。將第1工程以及第2工程之時間如此 這般地作設定而能夠得到良好結果的理由,可以想見係由 於在電漿蝕刻中而產生有電漿之狀態作微妙的改變之過渡 狀態之故。 圖14,係將縱軸設爲發光強度,並將橫軸設爲經過時 間,而對於在使氣體流量作了改變的情況時之電漿狀態的 時間性變化的模樣作了調查的結果作展示。另外,此時之 電漿產生條件,係爲: 壓力:4.0Pa ( 30mTorr)
高頻電力(H/L) : 500/ 1 5 0W 處理氣體(第 1工程):HBr/Cl2/NF3 = 160/20/ 2 0 s c c m 處理氣體(第 2工程):HBr/Cl2/NF3 = 140/20/ 40sccm 在同圖中,各曲線,係從上側起而依序代表波長 226nm : CO,SiCl、波長 337nm: N2,NH、波長 440nm: SiF,Cl2+,SiN之發光強度。如同該圖中所示一般,若是 使位在處理腔之外部的閥動作並對於第1工程和第2工程作 切換(使NF3氣體流量增大並使HBr減少),則如同該圖 (A )中所示一般,在3秒左右之後,電漿之狀態係開始 改變,並在1 〇秒左右而成爲安定之狀態。亦即是,於此情 況,係產生有7秒左右之過渡狀態。另外,在從第2工程而 -21 - 201145384 切換爲第1工程(使NF3氣體流量減少並使HBr增大)的情 況時,如同該圖(B)中所示一般’在3秒左右之後,電獎 之狀態係開始改變,並在7秒左右而成爲安定之狀態。亦 即是,係較該圖(A )所示之情況而在更短時間內成爲安 定之狀態。另外,處理腔之容量,係爲約6 8公升。 當如此這般地對於第1工程和第2工程作切換時而過渡 狀態發生了 7秒左右的情況時,若是將第1工程以及第2工 程之時間設爲5秒以下的短時間,則在處理時間中之絕大 部分的時間係會成爲過渡狀態,但是,電漿之狀態的改變 會有無法到達定常狀態的可能性。於此,假設若是將第1 工程以及第2工程之時間設定爲8秒左右,則在處理時間中 之絕大部分的時間係成爲過渡狀態,並且,係能夠使電漿 之狀態一直變化至成爲略定常狀態。由於此種理由,因此 ,可以想見,經由將第1工程以及第2工程之時間以8秒爲 中心地來設爲1秒〜1 5秒左右,係能夠得到前述之效果。 圖1 5,係同樣地對於將電漿之產生條件設爲: 壓力:4.7Pa ( 35mTorr )
高頻電力(H/L) : 2000/ 4000W 處理氣體(第1工程(1〇秒)):C4F6/〇2/Ar=60 / 65 / 200sccm 處理氣體(第2工程(10秒)):c4F6/02/Ar= 80 / 65 / 200sccm 的情況時之電漿的發光強度作了測定的結果作展示。 另外,於此情況,係對於波長250〜270 : CF之發光強度 -22- 201145384 作展示。又,圖16’係對於在藉由與上述相同之條件而將 第1工程以及第2工程之時間設爲了 5秒的情況時之發光強 度作展示,進而,圖1 7,係對於將Ar氣體之流量增大爲 900 seem的情況時之發光強度作展示,進而,圖18,係對 於將壓力設爲了 9.4Pa ( 70mT〇rr )的情況時之發光強度作 展示。另外,處理腔之容量,係爲約68公升。 如同此些之圖15〜圖18中所示一般’若是使Ar氣體之 流量增大,則發光強度之變動幅度係減少’若是使壓力增 大,則發光強度之變動幅度係增大,但是,對於過渡狀態 之時間性長度,係並不會賦予大的影響。 接著,針對實施例2作說明。在實施例2中,係對於厚 度約600nm的碳膜進行電漿蝕刻並形成線與空間之圖案。 在實施例2中所使用之半導體晶圓,係如圖1 9中所示一般 ,在厚度約1微米之熱氧化膜120之上,形成厚度約600nm 之碳膜121,並於其上形成厚度約60nm之氧化矽膜(Si02 膜)122、厚度約30nm之反射防止膜123。而後,在反射 防止膜123之上,形成被圖案化爲特定之圖案的厚度約 100nm之光阻膜124。在實施例2中,係將此光阻膜124作 爲遮罩,來對於反射防止膜123以及氧化矽膜122進行蝕刻 ,之後,將此氧化矽膜122作爲遮罩,來對於碳膜121進行 了電漿蝕刻。 碳膜1 2 1之電漿蝕刻的條件,係如同下述一般: 壓力:0.6 7 P a ( 5 m Tor r )
高頻電力(H/L) : 500/ 500W -23- 201145384 處理氣體(第1工程):HBr/ 02 = 40/ 40sccm 處理氣體(第2工程):HBr/02=〇/80sccm 處理時間:(第1工程1 1秒+第2工程1 1秒)x4 (總共 88秒) 溫度(上部/側壁/載置台):1 00/ 80/ 40°c 晶圓背面側氦氣壓力(中央部/週邊部):1 3 3 0 / 1 3 3 0Pa ( 10/ lOTorr ) 在實施例2中,係能夠一面獲取其與身爲遮罩層之氧 化矽膜122間的選擇比,一面對於厚度約600nm之碳膜121 進行電漿蝕刻而形成線與空間之特定形狀的圖案。於圖20 (a)中,展示此實施例2之圖案的電子顯微鏡照片。 作爲比較例4,除了將處理氣體設爲: 處理氣體:HBr/〇2 = 40/ 40sccm 而爲一定之外,藉由與實施例2相同之條件,而進行 了同一樣本之電漿蝕刻。其結果,係在蝕刻途中而發生蝕 刻阻擋,而無法一直蝕刻至最後。於圖20 ( b )中,展示 此比較例4之圖案的電子顯微鏡照片。 作爲比較例5,除了將處理氣體設爲: 處理氣體:〇2=80sccm 而爲一定之外,藉由與實施例2相同之條件,而進行 了同一樣本之電漿蝕刻。其結果’其與身爲遮罩層之氧化 矽膜1 22間的選擇比係並不充分,而無法維持遮罩之狀態 ,又,碳膜121之CD係變細。於圖20 ( c )中,展示此比 較例5之圖案的電子顯微鏡照片。 -24- 201145384 接著,針對實施例3作說明。在實施例3中,係於位置 在厚度約300nm的碳膜之下側處的厚度約4〇〇nm之非晶質 矽膜上而形成了孔的圖案。在實施例3中所使用之半導體 晶圓,係如圖2 1中所示一般,在厚度約4 0 0微米之非晶質 矽膜131之上,形成厚度約300nm之碳膜132,並於其上形 成厚度約60nm之氧化矽膜(Si02膜)133、反射防止膜134 。而後,在反射防止膜134之上’形成被圖案化爲特定之 圖案的厚度約l〇〇nm之光阻膜135。在實施例3中,係將光 阻膜135作爲遮罩,來對於反射防止膜134以及氧化矽膜 133進行蝕刻,之後,將此氧化矽膜133作爲遮罩,來對於 碳膜1 3 2進行蝕刻,再進而對於非晶質矽膜1 3 1進行了電漿 蝕刻。 非晶質矽膜1 3 1之電漿蝕刻的條件,係如同下述一般 壓力:1 6 . OP a ( 1 2〇mTorr )
高頻電力(H/L) : 2500/ 1 3 00W 處理氣體(第 1工程)··NF3/HBr/ 02 =0/300/ 20sccm 處理氣體(第2工程):NF3/HBr/02=5/300/ 2 0 s c c m 處理時間:(第1工程10秒+第2工程10秒)X3 (總共 60秒) 溫度(上部/側壁/載置台):i〇〇/8〇/8〇°c 晶圓背面側氦氣壓力(中央部/週邊部):1 3 3 0 / -25- 201145384 1 3 3 0Pa ( 1 0/ 1 OTorr ) 在實施例3中,係能夠對於厚度約400nm的非晶質矽 膜131進行電漿蝕刻並形成特定形狀之孔的圖案。於圖22 中,展示此實施例3之圖案的電子顯微鏡照片。 以上’雖係針對實施形態以及實施例而對於本發明作 了說明’但是,當然的,本發明係並不被限定於該些之實 施形態以及實施例,而可作各種之變形。 【圖式簡單說明】 [圖1 ]對於本發明之其中一種實施形態的電漿蝕刻裝 置之構成作模式性展示的圖。 [圖2]用以對於本發明之其中一種實施形態的半導體 裝置之製造方法作說明之圖。 [圖3]實施例丨、比較例丨、比較例2之圖案的電子顯微 鏡照片。 [圖4]展示對於蝕刻速率之面內均一性作了調査後的 結果之圖表。 [圖5]展示對於蝕刻速率之面內均一性作了調査後的 結果之圖表。 [圖6]展示對於蝕刻速率之面內均一性作了調査後的 結果之圖.表。 [圖7]展示對於蝕刻速率之面內均一性作了調查後的 結果之圖表。 [圖8]展示對於蝕刻速率之面內均一性作了調查後的 -26- 201145384 結果之圖表。 [圖9]展示對於蝕刻速率之面內均一性作了調查後的 結果之圖表。 [圖10]展示對於蝕刻速率之面內均一性作了調査後的 結果之圖表。 [圖11]展示對於蝕刻速率之面內均一性作了調查後的 結果之圖表。 [圖12]展示對於蝕刻速率之面內均一性作了調查後的 結果之圖表。 [圖13]展示對於蝕刻速率之面內均一性作了調查後的 結果之圖表。 [圖14]對於將工程作了切換時之電漿的發光強度的時 間性變化作展示之圖表。 [圖15]對於將工程作了切換時之電漿的發光強度的時 間性變化作展示之圖表。 [圖16]對於將工程作了切換時之電漿的發光強度的時 間性變化作展示之圖表。 [圖17]對於將工程作了切換時之電漿的發光強度的時 間性變化作展示之圖表。 [圖18]對於將工程作了切換時之電漿的發光強度的時 間性變化作展示之圖表。 [圖1 9]對於實施例2的半導體晶圓之構造作展示之圖 〇 [圖20]實施例2、比較例3、比較例4之圖案的電子顯 -27 · 201145384 微鏡照片。 [圖21]對於實施例3的半導體晶圓之構造作展示之圖 [圖22]實施例3之圖案的電子顯微鏡照片。 【主要元件符號說明】 1 :處理腔 2 :載置台 1 5 :處理氣體供給源 1 6 :噴淋頭 l〇a:第1闻頻電源 l〇b :第2高頻電源 6 0 :控制部 200 :電漿蝕刻裝置 W :半導體晶圆 -28-

Claims (1)

  1. 201145384 七、申請專利範圍: 1. 一種半導體裝置之製造方法,係爲具備有將基板收 容在處理腔內並對於形成在前述基板上之被蝕刻膜進行蝕 刻的電漿蝕刻工程之半導體裝置之製造方法,其特徵爲: 在前述電漿蝕刻工程中,係對於前述處理腔內供給由 特定之複數種的氣體之混合氣體所成的處理氣體, 並且,使由將前述複數種之氣體中的至少1種之氣體 的流量在第1時間中而設爲第1流量之第1工程和在第2時間 中而設爲與前述第1流量相異的流量的第2流量之第2工程 所成之一個循環之工程,在途中並不使電漿消失地來連續 性反覆進行至少3次以上, 前述第1時間以及前述第2時間,係爲1秒以上1 5秒以 下, 在前述第1工程中之前述處理氣體的總流量、和在前 述第2工程中之前述處理氣 '體的總流量,係爲相同,或者 是’當兩者爲相異之情況時,總流量之差係爲較多一方之 總流量的1 0 %以下, 在前述第1工程和前述第2工程的兩者之中,均係在前 述處理氣體中包含有使前述被鈾刻膜之蝕刻進行的氣體。 2. 如申請專利範圍第1項所記載之半導體裝置之製造 方法,其中’前述第1時間以及前述第2時間,係爲2.5秒 以上10秒以下。 3 ·如申請專利範圍第1項或第2項所記載之半導體裝置 之製造方法’其中,前述第1時間以及前述第2時間,係爲 -29- 201145384 相同。 4. 如申請專利範圍第1〜3項中之任一項所記載之半導 體裝置之製造方法,其中, 前述被蝕刻膜,係爲矽氧化膜, 前述處理氣體,係至少包含有氟素化合物, 在前述第1工程和前述第2工程中,係將氟素化合物氣 體之流量變更爲前述第1流量和前述第2流量。 5. 如申請專利範圍第4項所記載之半導體裝置之製造 方法’其中,前述氟素化合物氣體,係爲C4f6氣體。 6. 如申請專利範圍第4項或第5項所記載之半導體裝置 之製造方法,其中,前述處理氣體,係包含有〇2氣體和Ar 氣體。 7. 如申請專利範圍第1〜3項中之任一項所記載之半導 體裝置之製造方法,其中, 前述被蝕刻膜,係爲非晶質矽膜, 則述處理氣體’係至少包含有N F 3氣體和Η B r氣體以及 〇2氣體’在前述第丨工程和前述第2工程中,係將NF3氣體 之流量變更爲前述第丨流量和前述第2流量。 8 _如申請專利範圍第1〜3項中之任一項所記載之半導 體裝置之製造方法,其中, 前述被蝕刻膜,係爲碳膜, 目1J述處理氣體,係至少包含有HBr氣體和02氣體,在 削述第1工程和前述第2工程中,係將HBr氣體和02氣體之 流量變更爲前述第1流量和前述第2流量。 -30- 201145384 9. 一種電漿蝕刻裝置,係具備有:將基板收容於內部 並對於被形成在前述基板上之被蝕刻膜進行蝕刻之處理腔 、和對於前述處理腔內供給由特定之複數種的氣體之混合 氣體所成的處理氣體之處理氣體供給機構、和將前述處理 氣體電漿化之電漿產生機構’ 該電漿蝕刻裝置,其特徵爲: 在前述處理腔內’係具備有控制手段’該控制手段’ 係以實行如申請專利範圍第1項乃至第8項中之任一項所記 載的半導體裝置之製造方法中之電漿蝕刻工程的方式’來 進行控制。 -31 -
TW100103440A 2010-02-05 2011-01-28 Manufacturing method of semiconductor device TWI508164B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2010024552A JP5608384B2 (ja) 2010-02-05 2010-02-05 半導体装置の製造方法及びプラズマエッチング装置

Publications (2)

Publication Number Publication Date
TW201145384A true TW201145384A (en) 2011-12-16
TWI508164B TWI508164B (zh) 2015-11-11

Family

ID=44354057

Family Applications (1)

Application Number Title Priority Date Filing Date
TW100103440A TWI508164B (zh) 2010-02-05 2011-01-28 Manufacturing method of semiconductor device

Country Status (5)

Country Link
US (2) US8491805B2 (zh)
JP (1) JP5608384B2 (zh)
KR (1) KR101742324B1 (zh)
CN (1) CN102169823B (zh)
TW (1) TWI508164B (zh)

Families Citing this family (179)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20090022557A (ko) * 2007-08-31 2009-03-04 삼성전자주식회사 고밀도 플라즈마 화학 기상 증착 장치 및 그를 이용한절연막 형성 방법
US8519379B2 (en) 2009-12-08 2013-08-27 Zena Technologies, Inc. Nanowire structured photodiode with a surrounding epitaxially grown P or N layer
US8507840B2 (en) 2010-12-21 2013-08-13 Zena Technologies, Inc. Vertically structured passive pixel arrays and methods for fabricating the same
US8274039B2 (en) 2008-11-13 2012-09-25 Zena Technologies, Inc. Vertical waveguides with various functionality on integrated circuits
US9515218B2 (en) 2008-09-04 2016-12-06 Zena Technologies, Inc. Vertical pillar structured photovoltaic devices with mirrors and optical claddings
US8791470B2 (en) 2009-10-05 2014-07-29 Zena Technologies, Inc. Nano structured LEDs
US8835831B2 (en) 2010-06-22 2014-09-16 Zena Technologies, Inc. Polarized light detecting device and fabrication methods of the same
US8748799B2 (en) 2010-12-14 2014-06-10 Zena Technologies, Inc. Full color single pixel including doublet or quadruplet si nanowires for image sensors
US9082673B2 (en) 2009-10-05 2015-07-14 Zena Technologies, Inc. Passivated upstanding nanostructures and methods of making the same
US9299866B2 (en) 2010-12-30 2016-03-29 Zena Technologies, Inc. Nanowire array based solar energy harvesting device
US9343490B2 (en) 2013-08-09 2016-05-17 Zena Technologies, Inc. Nanowire structured color filter arrays and fabrication method of the same
US8889455B2 (en) 2009-12-08 2014-11-18 Zena Technologies, Inc. Manufacturing nanowire photo-detector grown on a back-side illuminated image sensor
US9000353B2 (en) 2010-06-22 2015-04-07 President And Fellows Of Harvard College Light absorption and filtering properties of vertically oriented semiconductor nano wires
US8269985B2 (en) 2009-05-26 2012-09-18 Zena Technologies, Inc. Determination of optimal diameters for nanowires
US8866065B2 (en) 2010-12-13 2014-10-21 Zena Technologies, Inc. Nanowire arrays comprising fluorescent nanowires
US8299472B2 (en) 2009-12-08 2012-10-30 Young-June Yu Active pixel sensor with nanowire structured photodetectors
US9406709B2 (en) 2010-06-22 2016-08-02 President And Fellows Of Harvard College Methods for fabricating and using nanowires
US8890271B2 (en) * 2010-06-30 2014-11-18 Zena Technologies, Inc. Silicon nitride light pipes for image sensors
US8735797B2 (en) 2009-12-08 2014-05-27 Zena Technologies, Inc. Nanowire photo-detector grown on a back-side illuminated image sensor
US8229255B2 (en) 2008-09-04 2012-07-24 Zena Technologies, Inc. Optical waveguides in image sensors
US9478685B2 (en) 2014-06-23 2016-10-25 Zena Technologies, Inc. Vertical pillar structured infrared detector and fabrication method for the same
US8546742B2 (en) 2009-06-04 2013-10-01 Zena Technologies, Inc. Array of nanowires in a single cavity with anti-reflective coating on substrate
JP5608384B2 (ja) * 2010-02-05 2014-10-15 東京エレクトロン株式会社 半導体装置の製造方法及びプラズマエッチング装置
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
KR20130011569A (ko) * 2011-07-22 2013-01-30 삼성전자주식회사 콘택홀 형성 방법 및 이를 형성하기에 적합한 식각 장치
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
JP2014082228A (ja) * 2012-10-12 2014-05-08 Tokyo Electron Ltd プラズマエッチング方法
US8969212B2 (en) * 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
JP6140575B2 (ja) 2013-08-26 2017-05-31 東京エレクトロン株式会社 半導体装置の製造方法
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
JP6158111B2 (ja) * 2014-02-12 2017-07-05 東京エレクトロン株式会社 ガス供給方法及び半導体製造装置
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
KR20160012302A (ko) 2014-07-23 2016-02-03 삼성전자주식회사 기판 제조 방법 및 그에 사용되는 기판 제조 장치
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
JP6544902B2 (ja) * 2014-09-18 2019-07-17 東京エレクトロン株式会社 プラズマ処理装置
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9865471B2 (en) * 2015-04-30 2018-01-09 Tokyo Electron Limited Etching method and etching apparatus
KR20170002764A (ko) 2015-06-29 2017-01-09 삼성전자주식회사 반도체 소자의 제조 방법
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
JP6586328B2 (ja) * 2015-09-04 2019-10-02 東京エレクトロン株式会社 被処理体を処理する方法
JP6498152B2 (ja) * 2015-12-18 2019-04-10 東京エレクトロン株式会社 エッチング方法
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
TWI749099B (zh) * 2016-11-09 2021-12-11 日商東京威力科創股份有限公司 碳基膜之自限性循環蝕刻方法
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
TWI793218B (zh) * 2017-12-16 2023-02-21 美商應用材料股份有限公司 使用低頻偏壓作介電膜的幾何選擇性沉積的處理腔室及方法
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
CN108346572B (zh) * 2018-02-09 2020-06-30 信利(惠州)智能显示有限公司 氧化硅膜和氮化硅膜的表面处理方法
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0473287A (ja) 1990-07-11 1992-03-09 Mitsubishi Electric Corp 抄紙機の制御装置
JPH04125924A (ja) 1990-09-17 1992-04-27 Mitsubishi Electric Corp プラズマエッチング方法
JPH0883694A (ja) * 1994-09-09 1996-03-26 Oki Electric Ind Co Ltd プラズマ制御方法およびプラズマ発生装置
JP3778299B2 (ja) * 1995-02-07 2006-05-24 東京エレクトロン株式会社 プラズマエッチング方法
JPH11195641A (ja) * 1998-01-05 1999-07-21 Matsushita Electric Ind Co Ltd プラズマ処理方法
JP4073287B2 (ja) 2002-10-22 2008-04-09 株式会社ケンウッド 可倒式操作パネルの装着構造
US20050241671A1 (en) * 2004-04-29 2005-11-03 Dong Chun C Method for removing a substance from a substrate using electron attachment
US7416676B2 (en) * 2005-02-16 2008-08-26 Tokyo Electron Limited Plasma etching method and apparatus, control program for performing the etching method, and storage medium storing the control program
US20080194107A1 (en) * 2007-02-08 2008-08-14 Nec Electronics Corporation Method of manufacturing semiconductor device
JP5277628B2 (ja) * 2007-12-21 2013-08-28 富士通セミコンダクター株式会社 半導体装置の製造方法
JP2008166844A (ja) * 2008-03-17 2008-07-17 Hitachi High-Technologies Corp プラズマ処理装置
JP5608384B2 (ja) * 2010-02-05 2014-10-15 東京エレクトロン株式会社 半導体装置の製造方法及びプラズマエッチング装置

Also Published As

Publication number Publication date
TWI508164B (zh) 2015-11-11
KR20110091462A (ko) 2011-08-11
KR101742324B1 (ko) 2017-05-31
JP2011165769A (ja) 2011-08-25
CN102169823B (zh) 2015-11-25
US8491805B2 (en) 2013-07-23
JP5608384B2 (ja) 2014-10-15
CN102169823A (zh) 2011-08-31
US20130302993A1 (en) 2013-11-14
US20110195577A1 (en) 2011-08-11
US8772172B2 (en) 2014-07-08

Similar Documents

Publication Publication Date Title
TW201145384A (en) Semiconductor device manufacturing method and plasma etching apparatus
JP6035117B2 (ja) プラズマエッチング方法及びプラズマエッチング装置
CN100514570C (zh) 等离子体蚀刻方法
JP4912907B2 (ja) プラズマエッチング方法及びプラズマエッチング装置
TW201826386A (zh) 用於高深寬比結構之移除方法
JP2010205967A (ja) プラズマエッチング方法、プラズマエッチング装置及びコンピュータ記憶媒体
US8609547B2 (en) Plasma etching method and computer-readable storage medium
JP4663368B2 (ja) プラズマエッチング方法、プラズマエッチング装置、制御プログラム及びコンピュータ記憶媒体
TW200952064A (en) Plasma etching method and computer-readable storage medium
TW200947548A (en) Plasma etching method, plasma etching apparatus and computer-readable storage medium
US8642482B2 (en) Plasma etching method, control program and computer storage medium
JP6017928B2 (ja) プラズマエッチング方法及びプラズマエッチング装置
JP2011192718A (ja) プラズマエッチング方法、プラズマエッチング装置及びコンピュータ記憶媒体
JP6096438B2 (ja) プラズマエッチング方法及びプラズマエッチング装置
JP6243722B2 (ja) エッチング処理方法
JP2008172184A (ja) プラズマエッチング方法、プラズマエッチング装置、制御プログラム及びコンピュータ記憶媒体
US8975190B2 (en) Plasma processing method
JP2014082475A (ja) プラズマ処理方法
JP5058478B2 (ja) 半導体装置の製造方法、プラズマ処理方法、半導体装置の製造装置、制御プログラム及びコンピュータ記憶媒体
JP2006278517A (ja) プラズマエッチング方法、プラズマエッチング装置、制御プログラム及びコンピュータ記憶媒体