TW201104004A - High pressure RF-DC sputtering and methods to improve film uniformity and step-coverage of this process - Google Patents

High pressure RF-DC sputtering and methods to improve film uniformity and step-coverage of this process Download PDF

Info

Publication number
TW201104004A
TW201104004A TW099110606A TW99110606A TW201104004A TW 201104004 A TW201104004 A TW 201104004A TW 099110606 A TW099110606 A TW 099110606A TW 99110606 A TW99110606 A TW 99110606A TW 201104004 A TW201104004 A TW 201104004A
Authority
TW
Taiwan
Prior art keywords
target
substrate
disposed
plasma
magnetic pole
Prior art date
Application number
TW099110606A
Other languages
Chinese (zh)
Other versions
TWI499682B (en
Inventor
Adolph Miller Allen
Lara Hawrylchak
zhi-gang Xie
Muhammad M Rasheed
rong-jun Wang
xian-min Tang
Zhendong Liu
Tza-Jing Gung
Srinivas Gandikota
Mei Chang
Michael S Cox
Donny Young
Kirankumar Savandaiah
zhen-bin Ge
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW201104004A publication Critical patent/TW201104004A/en
Application granted granted Critical
Publication of TWI499682B publication Critical patent/TWI499682B/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • H01J37/3411Constructional aspects of the reactor
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/3435Applying energy to the substrate during sputtering
    • C23C14/345Applying energy to the substrate during sputtering using substrate bias
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/3492Variation of parameters during sputtering
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/35Sputtering by application of a magnetic field, e.g. magnetron sputtering
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/54Controlling or regulating the coating process
    • C23C14/548Controlling the composition
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • C23C14/564Means for minimising impurities in the coating chamber such as dust, moisture, residual gases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32642Focus rings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • H01J37/3402Gas-filled discharge tubes operating with cathodic sputtering using supplementary magnetic fields
    • H01J37/3405Magnetron sputtering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • H01J37/3402Gas-filled discharge tubes operating with cathodic sputtering using supplementary magnetic fields
    • H01J37/3405Magnetron sputtering
    • H01J37/3408Planar magnetron sputtering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • H01J37/3411Constructional aspects of the reactor
    • H01J37/3441Dark space shields
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • H01J37/3411Constructional aspects of the reactor
    • H01J37/345Magnet arrangements in particular for cathodic sputtering apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • H01J37/3411Constructional aspects of the reactor
    • H01J37/345Magnet arrangements in particular for cathodic sputtering apparatus
    • H01J37/3452Magnet distribution
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • H01J37/3411Constructional aspects of the reactor
    • H01J37/345Magnet arrangements in particular for cathodic sputtering apparatus
    • H01J37/3455Movable magnets

Abstract

Embodiments of the invention generally provide a processing chamber used to perform a physical vapor deposition (PVD) process and methods of depositing multi-compositional films. The processing chamber may include: an improved RF feed configuration to reduce any standing wave effects; an improved magnetron design to enhance RF plasma uniformity, deposited film composition and thickness uniformity; an improved substrate biasing configuration to improve process control; and an improved process kit design to improve RF field uniformity near the critical surfaces of the substrate. The method includes forming a plasma in a processing region of a chamber using an RF supply coupled to a multi-compositional target, translating a magnetron relative to the multi-compositional target, wherein the magnetron is positioned in a first position relative to a center point of the multi-compositional target while the magnetron is translating and the plasma is formed, and depositing a multi-compositional film on a substrate in the chamber.

Description

201104004 六、發明說明: 【發明所屬之技術領域】 本發明之實施例大體上是關於形成金屬和介電層的方 法和設備。更特別地,本發明之實施例是關於形成金屬 閘極和相關介電層的方法和設備。 【先前技術】 積體電路包括一百萬個以上的微電子元件,例如電晶 體、電容器和電阻器。積體電路之一類型為場效電晶體 (如互補式金氧半導體(CMOS)場效電晶體),其形成在基 材(如半導體基材)上並協作執行各種電路功能。CM〇s 電aa體包含置於基材之源極區與汲極區間的閘極結構。 閘極結構一般包含閘極電極和閘極介電層。閘極電極位 於閘極介電層上方,以控制帶電載子於閘極介電層底下 之沒極區與源極區間的通道區流動。為加快電晶體速 度,閘極介電層由介電常數大於4·〇的材料組成。此介 電材料在此稱為高介電常數(k)材料。 閘極介電層由介電材料組成,例如二氧化矽(Si〇2)、或· 介電常數大於4.0的高W電材料’如氮氧化伟刪)、 氮化矽(SiN)、氧化铪(Hf〇2)、矽酸铪(HfSi〇2)、氮氧化 矽铪(HfSi0N)、氧化鉛(Zr〇2)、矽酸錯(ZrSi〇2)、鈦酸緦 鋇(BaSrTi03 或 BST)、鈦锆酸鉛(Pb(ZrTi)〇3 或 ρζτ)等。 然應注意膜堆疊結構可包含其他材料形成的膜層。 201104004 閘極堆疊結構還可包含金屬層形成在高k介電質上取 代傳統多晶矽。金屬層包括氮化鈦(TiN)、鈦鋁(TiA丨)、 氮化嫣(WN)、碳化給(HfC)、氮化給(HfN)、完全石夕化(FUSI) 之石夕化物或完全;ε夕化之金屬閘極。 另外’高遷移率介面層置於基材與高k介電層間的閘 極結構。許多方法可用來形成CMOS高k/金屬閘極堆疊 結構’例如取代間極方式、先閘極方式(gate fim appr〇ach) 和後閘極方式(gate last approach)。 以高k閘極介電質/後閘極方式製造場效電晶體的閘極 結構包含一連串的處理步驟(如沉積多層)。在閘極堆疊 結構形成製程中,不僅需要共形膜,各層間還需有品質 良好的介面層。 在傳統CMOS製造方式中,基材需穿越具有各種反應 器與之耦接的工具間。基材穿越工具間的過程需將基材 移出一工具的真空環境,而在大氣壓力下傳送到第二工 具的真空環境。在大氣環境中,基材接觸到機械和化學 污染物,例如微粒、溼氣等,其會破壞待製造閘極結構, 且傳送時可能於各層間形成不當介面層,例如原生氧化 物。隨著閘極結構變得越來越小及/或越來越薄以提高元 件速度,形成介面層或污染物的不利影響亦變得更大。 此外,在叢集工具間傳送基材耗費時間會降低製造場效 電晶體的生產力。 此外,閘極堆疊結構的製造製程包括化學氣相沉積 (CVD)製程來形成金屬層。然形成閉極堆疊結構的金屬 201104004 部分時,來自有機金屬前驅物的殘餘微粒會污染底下介 電層,以致不利影響閘極介電層的介電性質。另外,當 電晶體尺寸縮小成45奈米(nm)以下且具更高深寬比 時’達成足夠的膜均勻性和階梯覆蓋率將益發困難。 因此,此技藝需要方法和設備來形成性質改善的閘極 堆疊結構。 【發明内容】 在本發明之一實施例中,揭露高壓射頻(RF)直流(dc) 物理氣相沉積(PVD)腔室,具有包含不對稱磁環、低輪廓 蓋環和沉積環的雙環電磁管、以及基座電容調整器。 在本發明之另-實施例中,揭露用以沉積金屬膜的方 法。方法包括使高壓氣體流入腔室、利用電氣連接濺射 靶材的射頻(RF)與直流(DC)功率源,從氣體點燃電漿、 利用電磁管,形成密集電漿、調整基座以匹配rf功率 源、以及在腔室中沉積金屬膜至基材上。 【實施方式】 本發明之實施例大體上提出處理腔室,用以進行物理 氣相沉積(P V D)製程。在一實施例中,處理腔室設計適於 利用射頻(RF)物理氣相沉積(PVD)製程來沉積預定材 料。所述處理腔室尤其有益於沉積多重成分膜。處理腔 室的設計特徵包括:改良式RF進料構造’用以減少任何 7 201104004 at 駐波效應,改良式電磁管設計,用以加強RF電漿均勻 性、沉積膜組成和厚度均勻性;改良式基材偏壓構造, 用以改善製程控制;以及改良式製程套組設計,用以改 善基材臨界表面附近的尺1?場均勻性,進而提高製程均勻 " 性和再現性* 第1A圖繪示範例半導體處理腔室丨〇〇,具有上處理組 ,件108、製程套組15〇和基座組件12〇,其全配置以處理 置於處理區110的基材1〇5。製程套組15〇包括單件接 地屏蔽160、下製程套組165和隔離環組件18〇。如所述, 處理腔室100包含濺射腔室,其亦稱為物理氣相沉積或 PVD腔室’並且能從靶材132沉積單—或多重成分材料 至基材105上。處理腔室1〇〇還可用來沉積鋁、銅、鎳、 鉑、姶、銀、鉻、金、鉬、矽、釕、鈕、氮化钽、碳化 ,钽、氮化鈦、鎢、氮化鎢、鑭、氧化鋁、氧化鑭、鎳鉑 合金、鈦及/或其組合物。處理腔室可取自美國加州聖克 拉拉之應用材料公司(Applied Materials,inc·)。應理解其 他包括其他製造商提供的處理腔室亦受惠於本發明所述 之一或多個實施例。 處理腔室1GG包括具側壁1G4、底壁1()6和上處理組 件108的腔至主體丨〇丨,其封閉處理區^或電漿區。 腔至主體101 —般由焊接不鏽鋼板或單一鋁塊製造。在 實施例中,側壁包含鋁,底壁包含不鏽鋼板。側壁丄 一般含有狹縫閥(未繪示)供基材1〇5進出處理腔室1〇〇。 處理腔室100之上處理組件108的部件偕同接地屏蔽 8 201104004 160、基座組件120和蓋環17〇把在處理區n〇中形成之 電漿界定在基材1〇5上方的區域。 基座組件120從腔室1〇〇的底壁1〇6支撐。在處理期 間,基座組件120支撐沉積環5〇2和基材1〇5。基座組 件120利用升降機構122耦接腔室1〇〇的底壁1〇6,升 降機構122經配置以在上處理位置與下傳送位置間移動 基座組件1 20。此外,位於下傳送位置時,舉升銷123 移動穿過基座組件1 2 0,而將基材相距基座組件i 2 〇 一 ’又距離疋位,以協助基材和設於處理腔室1 〇〇外的基材 傳送機構(例如單刀機器人(未繪示)的交換。風箱 124通常設於基座組件12〇與腔室底壁ι〇6之間,以隔 開處理區11 〇和基座組件12〇的内部空間與腔室外部。 基座組件120 —般包括密接平臺外殼128的支撐件 126平$外喊128 —般由如不鏽鋼或鋁之金屬材料製 造。冷卻板(未繪示)通常設於平臺外殼128内以熱調 節支樓件126。適用所述實施例的基座組件」描述於 西凡1996年4月16日授予Davenport等人之美國專利 證書號5,5G7,499,其-併附上供作參考。 支樓件126包含紹或陶究。支樓件126具有基材接收 面127 ’以於處理期間接收及支撐基材105,基材接收面 實質平行乾材132的減射表面133。支撑件126還且 有周圍邊緣心其止於基材1G5之懸伸邊緣舰還前^ 支撐件126可為靜電夾頭、陶瓷主體、加熱器或其組合 物在實施例中,支揮件126為靜電夾頭,其包括具 201104004 埋置其中的導電層或電極126 A之介電主體。介電主體一 般由高導熱性介電材料製造,例如熱解氮化硼、氮化銘、 氮化矽、氧化鋁或均等材料。基座組件1 2〇和支擇件i 26 的其他態樣將進一步說明於後。在—實施例中,導電層 126A經配置使靜電失頭電源供應器143施加直流(dc) 電壓至導電層126A時,得以靜電夾持基材接收面127 上的基材105,進而改善基材105與支撐件126間的熱 傳。在另一實施例中,阻抗控制器141亦耦接導電層 126A,使得電壓可保持在基材上,造成電漿與基材ι〇5 的表面互相作用。 腔室100受控於系統控制器190,其通常設計來協助 控制及自動化處理腔室⑽,且—般包括中央處理單元 (u)(未績不)、g憶體(未繪示)和支援電路(或1/〇)(未 2不)。CPU可為任一型式的電腦處理器,其用於工業設 定來控料種系統功能、基材移動、腔室製程和支援硬 二:如感應器、機械人、馬達等),及監視製程(如基材支 :牛溫度、電源供應器變數、腔室製程時間、而訊號 體。^t體連接CPU’且可為一或多種容易取得的記憶 列如隨機存取記憶體(RAM)、唯讀記憶體(職)、軟 卜輿Γ祖或任何其他近端或遠端的數位儲存器。軟體 加以編碼及存入記憶體,用以指示㈣。 支援電路亦連接CPU, 援電路包括古m 肖由傳統方式支援處理器。支 幹入二衝儲存器、電源供應器、時鐘電路、 輪,路、次系統等。系統控制器19。可讀取電的路程 10 201104004 式(或電腦指令)決定施行於基材的任務。較佳地,程式 為系㈣制H 190可讀取的軟體,其包括進行監視相關 任務的編碼、移動執行與控制、和處理腔t 100中進行 的各種處理製作方法任務與方法步驟。例如,控制器刚 包含程式編碼,其包括基材定位指令集,㈣操作基座 組件120 ;氣流控制指令集’用以操作氣流控制間,以 設定減射氣體向腔室100的流動;氣壓控制指令集,用 以操作節流閥或閘閥,以維持腔t 1〇〇内 控制指令集,用以控制設於基座組件12〇或 溫度控制系統(未緣示),以分別設定基材或側壁ι〇4的 溫度;以及製程監視指令集,用以監視腔室1〇〇中的製 程。 腔室100還含有製程套組15〇’其包含可輕易自腔室 100移除的各種部件,以(例如)清㈣❹面的㈣ 沉積物、更換或修理受侵㈣件、或改建腔室i⑽用於 其他製程。在一實施射,製程套組150包含隔離環組 件刚、接地屏们60和環組件168供放置在支樓件126 的周圍邊緣129,其止於基材1G5之懸伸邊緣前。 第1B圖為處理腔室1〇〇的等角視圖,其麵接叢集工具 103的處理位置。叢集工具1〇3尚可包含其他處理腔室 (未緣不),其適於在處理腔室1〇〇中進行沉積製程之前 或之後’在基材上進行一或多個處理步驟。範例叢集工 具103包括取自美國加州聖克拉拉之應用材料公司的 C一'—Μ系統。叢集工具⑻包括一或多 201104004 個負載鎖定腔室(未繪示)、一或多個處理腔室、和冷卻 腔至(未繪不),其皆附接中央移送室1 03 A。在一實例中, 叢集工具103具有處理腔室,其經配置以進行許多基材 處理操作,例如循環層沉積化學氣相沉積、物 理氣相沉積(PVD)、原子層沉積(ALD)、蝕刻、預洗、除 氣、退火、定向和其他基材處理。設於移送室103A的傳 送工具(如機器人(未繪示))用來傳送基材進出附接叢集 工具103的一或多個腔室。 參照第1A及2圖,在一實施例中,處理腔室丨〇()包括 隔離環組件180,其包括鄰接靶材132、接地屏蔽160之 邊緣216與靶材隔離器136設置的隔離環250和支撐環 267。隔離環250在靶材132之濺射表面133的外緣附近 延伸及圍繞。隔離環組件18〇的隔離環25〇包含頂壁 252、底壁254和支撐邊256,其從隔離環250的頂壁252 裣向往外延伸。範例隔離環設計的一實例更描述於共同 讓渡之美國專利中請案序號12/433,315,其-併附上供 作參考。 頂壁252包含内圍258、鄰接乾材132設置的頂表面 132和鄰接靶材隔離器136設置的外圍262 ^支撐邊256 包3底部接觸® 264和上表面266。支樓邊256的底部 接觸面264由彈簧構件267A(如壓縮金屬彈簧元件)支 撐其耦接支撐環267,以將隔離環偏壓朝向且抵著靶 材隔離器136的表面。使用彈簧配件267A有助於減少隔 離% 25G和其支樓部件與濺射表® 133間的容ϋ堆叠, 12 201104004 故隔離環25 0之頂表面260與濺射表面133間可確實保 持預定間隙。頂表面260與濺射表面133間的間隙對避 免形成於處理區110的電衆延伸入間隙,並致使發生密 封及/或微粒問题來說很重要。底壁254包含内圍268、 外圍270和底表面272。底壁254的内圍268和頂壁252 的内圍2 5 8構成单一表面。 垂直溝槽276形成於底壁254之外圍270與支樓邊256 之底部接觸面264間的轉折點278處。屏蔽160的階梯 221結合垂直溝槽276可提供曲折間隙,以防止導電材 料於隔離環組件180與屏蔽16〇間產生表面橋接,進而 維持電性的不連續性’同時仍保護腔室壁面1 〇4、1 〇6。 在一實施例中,隔離環組件180提供在靶材132與製程 套組150之接地部件間的一間隙,同時仍提供腔室壁面 屏蔽。隔離環組件1 80的階梯設計容許屏蔽丨6〇相對接 頭220置中’其亦為用於嗡合屏蔽的裝設點和用於靶材 132的對準特徵結構,階梯設計也可消除從靶材Η]至 支撐環267的直線(line_of_site)沉積、排除此區域的電弧 作用影響。 在一實施例中,隔離環組件180具有喷砂表面紋理或 電弧喷塗銘膜沉積其上,藉以達到至少18〇±2〇微吋 (0.0041-0.〇〇5丨毫米(mm))的表面粗糙度(Ra值)而增加膜 附著性。支撐邊256容許隔離環組件18〇相對接頭22〇 置中’同時消除絲材!32至接地錢16G的直線沉積, 進而排除雜散電漿影響。在一實施例中,支撐環267包 201104004 s系列的對準銷(未繪示),其定位/對準屏蔽160中一 系列的狹縫(未緣示)。 屏蔽160的内面214大致環繞濺射靶材132的濺射表 面133,其面對支撐件126和支撐件126的周圍邊緣 126。屏蔽160覆蓋及遮蔽腔室1〇〇的側壁ι〇4,以減少 源自賤射乾材132之賤射表面133的賤射沉積物沉積於 屏蔽1 60後面的部件和表面。例如屏蔽】6〇保護支撐 件126的表面、基材1〇5的懸伸邊緣、腔室的側壁 104和底壁1〇6。 蓋組件區域 上處理組件108還包含射頻(RF)電源供應器18卜直流 (DC)源182、接頭1〇2、馬達193.和蓋組件13〇。蓋組件 130般包含靶材132、電磁管系統189和蓋包殼191。 如第1Α及1Β圖所示,處於關閉位置時,上處理組件1〇8 由侧壁104支#。陶絲材隔離器136言史於隔離環組件 180、蓋組件1 3〇之靶材i 32與接頭丨〇2間以免其間真 工漏洩。接頭102密接側壁丨〇4,且經配置以協助移除 上處理組件108和隔離環組件1 8〇。 處於處理位置時,靶材132鄰接接頭1〇2設置,並暴 露於處理腔至1〇〇的處理區11〇β乾材Η〗含有在 或濺射期間沉積至基材105上的材料。隔離環組件18〇 設於靶材132與屏蔽160和腔室主體1〇1間,以電氣隔 離乾材132與屏蔽160和腔室主體1〇1。 在處理期間,藉由設於RF電源供應器丨8丨及/或直流 14 201104004 (DC)源1 82的功率源相對處理腔室的接地區(如腔室主體 101和接頭102)偏壓靶材132。配合濺射多重成分臈時 (例如濺射鈦和鋁、或鈦和鎢等),咸信在高壓PVD製 程期間輸送RF能量和DC功率至靶材132,較傳統低壓 DC電漿處理技術有顯著的製程優勢。此外,在一實施例 中,相較於僅有RF源,結合RF和DC功率源容許處理 期間使用較小的整體RF功率,此有助於減少電漿對基材 的破壞及提高元件產率。在一實施例中,RF電源供應器 181包含RF功率源181八和RF匹配181B,其經配置以 有效輸送RF能量至靶材13 2。在一實例中,RF功率源 181A能以約13.56兆赫(MHz)至約128MHz之頻率、約〇 至約5仟瓦之功率產生RF電流。在一實例中,〇〇源182 的DC電源供應器182A能輸送約〇至約仟瓦的dc 功率。在另一實例中,RF功率源181A能於靶材產生約 0至約33仟瓦/平方公尺的RF功率密度,DC源182能 輸送約0至約66仟瓦/平方公尺的功率密度。 在處理期間,氣源142透過導管144供應氣體(如氬氣) 至處理區U0。氣源142包含不反應氣體(例如氬氣、 氪氣、氦氣或氙氣)’其能大力衝擊及從靶材132濺射出 材料。氣源142還包括反應氣體,例如一或多種含氧氣 體或含氮氣體,其能與濺射材料反應形成層於基材上。 用過的製程氣體和副產物經由排氣口 146排出腔室 100’其接收用過的製程氣體並將用過的製程氣體導向具 可調整位置之閘閥147的排氣導管148,以控制腔室1〇〇 15 201104004 之處理區m中的屢力。排_⑷連接一或多個排 氣泵例如低溫泵。處理期間腔冑1〇〇内的濺射氣 體壓力& „又成次大氣壓等級例如真空環境(如壓力約 毫托耳至約400毫托耳)。在—實施例中處理壓力 為勺毫托耳至約1 00毫托耳。電漿從氣體形成在基 材〇5與乾# 132《間。電聚中的離子加速朝向乾材 "2’促使材料自靶材132移出。移出之靶材材料沉積在 基材上。 參照第3A圆,蓋包殼191 一般包含導電壁185、中央 進料器184和屏蔽186(第以及1B圖)。在此構造中, 導電J 1 85、中央進料器! 84、靶材j 32和部分馬達⑺3 圍住構成背側區134。背側$ 134為密封區,其位於靶 材132背側,且處理期間通常充滿流動液體來移除靶材 132於處理時產生的熱。在一實施例中,導電壁和 中央進料器184經配置以支撐馬達193和電磁管系統 189’如此處理時馬達193可轉動電磁管系統ι89β在一 實施例中’馬達193利用介電層193B(如Delrin、G10 或Ardel)電氣隔離電源供應器輸送的rf或DC功率。 屏蔽186包含一或多種介電材料,其經設置圍住及防 止輸送到靶材132的RF能量干擾及影響設置於叢集工具 1〇3中的其他處理腔室(第iB圖)。在一構造中,屏蔽I% 包含Delrin、G10、Ardel或其他類似材料、及/或接地薄 片金屬RF屏蔽。 功率輸送 201104004 在一貫施例中,如第1A圖所示,處理期間,電容搞合 靶材132於電漿處理期間利用RF或超高頻(vhf)能量提 供功率,以離子化及解離靶材132之濺射表面133附近 的處理氣體,使離子化氣體從偏壓靶材濺射出材料。然 隨著處理腔室尺寸擴大成處理3 00mm和更大基材,因有 限的反應器容積和電極上的邊界條件所致,產生之rF 場本質上會以RF和VHF之典型頻率在處理區11〇形成 駐波。右電極尺寸變得可匹配激發波長,則形成駐波引 起的電磁效應將造成電漿和基材上的沉積膜不均勻。駐 波和電漿不均勻一般會嚴重影響pvD反應器沉積的薄膜 厚度和性質、或電漿處理腔室的製程均勻性。不均勻膜 會導致中〜至邊緣、邊緣至邊緣不均勻,在一些情況下, 更造成無功能元件。201104004 VI. Description of the Invention: [Technical Field of the Invention] Embodiments of the present invention generally relate to methods and apparatus for forming metal and dielectric layers. More particularly, embodiments of the present invention are directed to methods and apparatus for forming metal gates and associated dielectric layers. [Prior Art] The integrated circuit includes more than one million microelectronic components such as an electric crystal, a capacitor, and a resistor. One type of integrated circuit is a field effect transistor (e.g., a complementary metal oxide semiconductor (CMOS) field effect transistor) formed on a substrate (e.g., a semiconductor substrate) and cooperatively performing various circuit functions. The CM〇s electrical aa body includes a gate structure disposed in the source region and the drain region of the substrate. The gate structure generally includes a gate electrode and a gate dielectric layer. The gate electrode is located above the gate dielectric layer to control the flow of the charged carrier in the channel region of the gate region and the source region under the gate dielectric layer. To accelerate the transistor speed, the gate dielectric layer is composed of a material having a dielectric constant greater than 4 Å. This dielectric material is referred to herein as a high dielectric constant (k) material. The gate dielectric layer is composed of a dielectric material such as germanium dioxide (Si〇2), or a high-W electrical material having a dielectric constant greater than 4.0, such as nitrogen oxides, germanium nitride (SiN), or antimony oxide. (Hf〇2), bismuth ruthenate (HfSi〇2), bismuth oxynitride (HfSi0N), lead oxide (Zr〇2), bismuth citrate (ZrSi〇2), barium titanate (BaSrTi03 or BST), Lead zirconate titanate (Pb(ZrTi)〇3 or ρζτ). It should be noted, however, that the film stack structure may comprise a film layer formed of other materials. 201104004 The gate stack structure may also include a metal layer formed on the high-k dielectric to replace the conventional polysilicon. The metal layer includes titanium nitride (TiN), titanium aluminum (TiA丨), tantalum nitride (WN), carbonization (HfC), nitriding (HfN), complete fluorite (FUSI), or complete ; 夕夕化的金属闸极. In addition, a high mobility interface layer is placed between the substrate and the high-k dielectric layer. A number of methods are available for forming CMOS high-k/metal gate stack structures, such as the replacement of the interpole mode, the gate fim appr〇ach, and the gate last approach. The gate structure of a field effect transistor fabricated in a high-k gate dielectric/back gate manner includes a series of processing steps (e.g., deposition of multiple layers). In the formation process of the gate stack structure, not only a conformal film but also a good quality interface layer is required between the layers. In conventional CMOS fabrication, the substrate is routed through a tool room with various reactors coupled to it. The process of passing the substrate through the tool requires the substrate to be removed from the vacuum environment of a tool and transferred to the vacuum environment of the second tool at atmospheric pressure. In the atmosphere, the substrate is exposed to mechanical and chemical contaminants such as particulates, moisture, etc., which can damage the gate structure to be fabricated and may form an improper interface layer, such as a native oxide, between the layers during transport. As the gate structure becomes smaller and/or thinner to increase the component speed, the adverse effects of forming the interface layer or contaminants become greater. In addition, the time it takes to transfer the substrate between cluster tools reduces the productivity of the manufacturing field effect transistor. In addition, the fabrication process of the gate stack structure includes a chemical vapor deposition (CVD) process to form a metal layer. However, when the metal of the closed-pole stack structure is formed in the portion of the 201104004 portion, the residual particles from the organometallic precursor contaminate the underlying dielectric layer, so as to adversely affect the dielectric properties of the gate dielectric layer. In addition, when the transistor size is reduced to less than 45 nanometers (nm) and has a higher aspect ratio, achieving sufficient film uniformity and step coverage will be difficult. Therefore, this art requires methods and apparatus to form a gate stack structure with improved properties. SUMMARY OF THE INVENTION In one embodiment of the present invention, a high voltage radio frequency (RF) direct current (dc) physical vapor deposition (PVD) chamber having a double loop electromagnetic comprising an asymmetric magnetic ring, a low profile cover ring, and a deposition ring is disclosed Tube, and pedestal capacitance regulator. In another embodiment of the invention, a method for depositing a metal film is disclosed. The method includes flowing a high pressure gas into a chamber, electrically connecting a radio frequency (RF) and a direct current (DC) power source of the sputtering target, igniting the plasma from the gas, using the electromagnetic tube, forming a dense plasma, adjusting the pedestal to match the rf A power source, and a metal film is deposited on the substrate in the chamber. [Embodiment] Embodiments of the present invention generally propose a processing chamber for performing a physical vapor deposition (P V D) process. In one embodiment, the processing chamber is designed to deposit a predetermined material using a radio frequency (RF) physical vapor deposition (PVD) process. The processing chamber is particularly beneficial for depositing multiple component films. The design features of the processing chamber include: improved RF feed configuration 'to reduce any 7 201104004 at standing wave effect, improved electromagnetic tube design to enhance RF plasma uniformity, deposited film composition and thickness uniformity; Substrate biasing structure for improved process control; and improved process kit design to improve the uniformity of the gauge 1 near the critical surface of the substrate, thereby improving process uniformity and reproducibility* 1A The illustrated semiconductor processing chamber has an upper processing set, a member 108, a process kit 15 and a base assembly 12 that are fully configured to process the substrate 1〇5 disposed in the processing zone 110. The process kit 15A includes a single piece ground shield 160, a lower process set 165, and an isolation ring assembly 18A. As noted, the processing chamber 100 includes a sputtering chamber, also referred to as a physical vapor deposition or PVD chamber', and is capable of depositing a single- or multiple component material from the target 132 onto the substrate 105. The processing chamber 1 can also be used to deposit aluminum, copper, nickel, platinum, rhodium, silver, chromium, gold, molybdenum, niobium, tantalum, knob, tantalum nitride, carbonization, tantalum, titanium nitride, tungsten, and nitride. Tungsten, tantalum, aluminum oxide, cerium oxide, nickel platinum alloy, titanium, and/or combinations thereof. The processing chamber is available from Applied Materials, Inc. of Santa Clara, California. It should be understood that other processing chambers provided by other manufacturers also benefit from one or more embodiments of the present invention. The processing chamber 1GG includes a chamber to body hull having a side wall 1G4, a bottom wall 1 () 6 and an upper processing assembly 108 that encloses a processing zone or a plasma zone. The cavity to body 101 is typically fabricated from a welded stainless steel sheet or a single piece of aluminum. In an embodiment, the side wall comprises aluminum and the bottom wall comprises a stainless steel plate. The side wall 丄 generally includes a slit valve (not shown) for the substrate 1〇5 to enter and exit the processing chamber 1〇〇. The components of the processing assembly 108 above the processing chamber 100 are identical to the ground shield 8 201104004 160. The base assembly 120 and the cover ring 17 define the plasma formed in the processing zone n〇 in the region above the substrate 1〇5. The base assembly 120 is supported from the bottom wall 1〇6 of the chamber 1〇〇. The susceptor assembly 120 supports the deposition ring 5〇2 and the substrate 1〇5 during processing. The base assembly 120 is coupled to the bottom wall 1〇6 of the chamber 1〇〇 by a lift mechanism 122 that is configured to move the base assembly 120 between the upper processing position and the lower transfer position. In addition, when in the lower transfer position, the lift pin 123 moves through the base assembly 120 and the substrate is spaced from the base assembly i 2 to assist the substrate and the processing chamber. 1 Exchanging the substrate transfer mechanism (for example, a single-knife robot (not shown). The bellows 124 is usually disposed between the base assembly 12 and the chamber bottom wall ι6 to separate the processing area 11 〇 And the internal space of the base assembly 12 and the outside of the chamber. The base assembly 120 generally includes a support member 126 that is closely attached to the platform housing 128. The outer member is made of a metal material such as stainless steel or aluminum. Illustrated) is generally disposed within the platform housing 128 to thermally condition the branch member 126. The base assembly to which the embodiment is described is described in U.S. Patent No. 5,5G7, issued to Davenport et al. , 499, which is hereby incorporated by reference. The slab 126 includes a substrate receiving surface 126 ′ having a substrate receiving surface 127 ′ for receiving and supporting the substrate 105 during processing, the substrate receiving surface being substantially parallel The relief surface 133 of the dry material 132. The support member 126 also has a peripheral edge The overhanging support member 126 of the substrate 1G5 may be an electrostatic chuck, a ceramic body, a heater or a combination thereof. In an embodiment, the support member 126 is an electrostatic chuck, which includes the embedded body of 201104004. The conductive layer or the dielectric body of the electrode 126 A. The dielectric body is typically made of a highly thermally conductive dielectric material such as pyrolytic boron nitride, nitride, tantalum nitride, aluminum oxide or an equal material. Other aspects of the 1 2 〇 and the support member i 26 will be further described. In the embodiment, the conductive layer 126A is configured to apply a direct current (dc) voltage to the conductive layer 126A by the static head power supply 143. The substrate 105 on the substrate receiving surface 127 is electrostatically clamped, thereby improving heat transfer between the substrate 105 and the support member 126. In another embodiment, the impedance controller 141 is also coupled to the conductive layer 126A so that the voltage can be Maintaining on the substrate causes the plasma to interact with the surface of the substrate ι 5. The chamber 100 is controlled by a system controller 190, which is typically designed to assist in the control and automated processing of the chamber (10), and generally includes central processing Unit (u) (not expected), g memory (not Display) and support circuit (or 1/〇) (not 2). The CPU can be any type of computer processor used for industrial setting to control the system function, substrate movement, chamber process and support hard. 2: such as sensors, robots, motors, etc.), and monitoring processes (such as substrate support: cattle temperature, power supply variable, chamber process time, and signal body. ^t body connected to CPU' and can be one or A variety of easy-to-obtain memory such as random access memory (RAM), read-only memory (job), soft memory or any other near-end or remote digital memory. Software is encoded and stored in memory. To indicate (4). The support circuit is also connected to the CPU, and the auxiliary circuit includes the ancient m xiao by the conventional way to support the processor. Support into the secondary storage, power supply, clock circuit, wheel, road, secondary system, etc. System controller 19. The readable path 10 201104004 (or computer command) determines the task to be performed on the substrate. Preferably, the program is a H4 readable software of the system (IV), which includes encoding, movement execution and control for monitoring related tasks, and various processing method tasks and method steps performed in the processing chamber t100. For example, the controller just contains the program code, which includes the substrate positioning command set, (4) the operating base assembly 120; the airflow control command set 'used to operate the airflow control room to set the flow of the reducing gas to the chamber 100; the air pressure control An instruction set for operating a throttle or gate valve to maintain a control set of chambers 1 1 for controlling a base unit 12 or a temperature control system (not shown) to individually set a substrate or The temperature of the sidewall ι〇4; and the process monitoring command set for monitoring the process in the chamber 1〇〇. The chamber 100 also contains a process kit 15' that contains various components that can be easily removed from the chamber 100, for example, to clean (4) the surface of the (4) deposit, replace or repair the invaded (four) pieces, or to modify the chamber i (10) Used in other processes. In one implementation, the process kit 150 includes a spacer ring assembly, a ground shield 60, and a ring assembly 168 for placement at the peripheral edge 129 of the branch member 126 that terminates in front of the overhanging edge of the substrate 1G5. Figure 1B is an isometric view of the processing chamber 1 面 which is contiguous with the processing position of the cluster tool 103. The cluster tool 1〇3 may also include other processing chambers (which are suitable for one or more processing steps on the substrate before or after the deposition process in the processing chamber). The sample cluster tool 103 includes a C-'-system from Applied Materials, Inc., Santa Clara, California. The cluster tool (8) includes one or more 201104004 load lock chambers (not shown), one or more processing chambers, and a cooling chamber to (not shown), all attached to the central transfer chamber 103A. In one example, the cluster tool 103 has a processing chamber configured to perform a number of substrate processing operations, such as cyclic layer deposition chemical vapor deposition, physical vapor deposition (PVD), atomic layer deposition (ALD), etching, Prewash, degassing, annealing, orientation and other substrate processing. A transfer tool (e.g., a robot (not shown)) disposed in the transfer chamber 103A is used to transport the substrate into and out of one or more chambers of the attachment cluster tool 103. Referring to FIGS. 1A and 2, in one embodiment, the processing chamber 丨〇 includes an isolation ring assembly 180 including an isolation ring 250 disposed adjacent the target 132, the edge 216 of the ground shield 160, and the target isolator 136. And support ring 267. The spacer ring 250 extends and surrounds the outer edge of the sputtering surface 133 of the target 132. The spacer ring 25A of the spacer ring assembly 18A includes a top wall 252, a bottom wall 254 and a support edge 256 that extend outwardly from the top wall 252 of the spacer ring 250. An example of a sample isolation ring design is described in the co-pending U.S. Patent Serial No. 12/433,315, the disclosure of which is incorporated herein by reference. The top wall 252 includes an inner perimeter 258, a top surface 132 disposed adjacent the dry material 132, and a perimeter 262 disposed adjacent the target isolator 136. The support edge 256 includes a bottom contact® 264 and an upper surface 266. The bottom contact surface 264 of the fulcrum 256 is supported by a spring member 267A (e.g., a compression metal spring member) that couples the support ring 267 to bias the spacer ring toward and against the surface of the target isolator 136. The use of the spring fitting 267A helps to reduce the isolation stack between the % 25G and its branch parts and the Sputter Table® 133, 12 201104004 so that the top surface 260 of the spacer ring 25 0 and the sputtering surface 133 can indeed maintain a predetermined gap . The gap between the top surface 260 and the sputter surface 133 is important to avoid that the electricity formed in the processing region 110 extends into the gap and causes sealing and/or particulate problems to occur. The bottom wall 254 includes an inner perimeter 268, a perimeter 270, and a bottom surface 272. The inner circumference 268 of the bottom wall 254 and the inner circumference 258 of the top wall 252 constitute a single surface. A vertical groove 276 is formed at a turning point 278 between the periphery 270 of the bottom wall 254 and the bottom contact surface 264 of the branch side 256. The step 221 of the shield 160 in combination with the vertical trench 276 provides a tortuous gap to prevent surface material bridging between the spacer ring assembly 180 and the shield 16 to maintain electrical discontinuities while still protecting the chamber wall 1 〇 4, 1 〇 6. In one embodiment, the spacer ring assembly 180 provides a gap between the target 132 and the grounded component of the process kit 150 while still providing chamber wall shield. The stepped design of the spacer ring assembly 180 allows the shield 丨6〇 to be centered relative to the joint 220. It is also the mounting point for the splicing shield and the alignment features for the target 132. The step design also eliminates the target The line_of_site to the support ring 267 is deposited, eliminating the effects of arcing in this area. In one embodiment, the spacer ring assembly 180 has a blasted surface texture or an arc sprayed film deposited thereon to achieve at least 18 〇 ± 2 〇 micro 吋 (0.0041-0. 〇〇 5 丨 mm (mm)) Surface roughness (Ra value) increases film adhesion. The support edge 256 allows the spacer ring assembly 18 to be "with respect to the joint 22" while eliminating the wire! 32 to the grounding 16G straight line deposition, thereby eliminating the effects of stray plasma. In one embodiment, the support ring 267 includes an alignment pin (not shown) of the 201104004 s series that positions/aligns a series of slits (not shown) in the shield 160. The inner face 214 of the shield 160 generally surrounds the sputter surface 133 of the sputter target 132 that faces the support 126 and the peripheral edge 126 of the support 126. The shield 160 covers and shields the sidewalls 〇4 of the chamber 1 to reduce the deposition of deposits from the radiant surface 133 of the squirting dry material 132 onto the components and surfaces behind the shield 1600. For example, the shield] 6 〇 protects the surface of the support member 126, the overhanging edge of the substrate 1〇5, the side wall 104 of the chamber, and the bottom wall 1〇6. Cover Assembly Area The upper processing assembly 108 also includes a radio frequency (RF) power supply 18, a direct current (DC) source 182, a connector 1, a motor 193., and a cover assembly 13A. The cover assembly 130 generally includes a target 132, a solenoid system 189, and a cover wrap 191. As shown in Figures 1 and 1 , the upper processing component 1〇8 is supported by the side wall 104 when in the closed position. The ceramic wire separator 136 is written between the spacer ring assembly 180 and the cover member 1 3 〇 target i 32 and the joint 丨〇 2 to prevent leakage therebetween. The joint 102 is in close contact with the side wall 丨〇4 and is configured to assist in removing the upper processing assembly 108 and the spacer ring assembly 18. In the processing position, the target 132 is disposed adjacent the joint 1〇2 and exposed to the processing chamber to the treatment zone 11〇 dry material containing material deposited onto the substrate 105 during or sputtering. A spacer ring assembly 18 is disposed between the target 132 and the shield 160 and the chamber body 1〇 to electrically isolate the dry material 132 from the shield 160 and the chamber body 1〇1. During processing, the target is biased against the processing region of the processing chamber (e.g., chamber body 101 and connector 102) by a power source disposed at RF power supply 丨8丨 and/or DC 14 201104004 (DC) source 182 Material 132. In combination with sputtering of multiple components (such as sputtering of titanium and aluminum, or titanium and tungsten, etc.), Xianxin delivers RF energy and DC power to the target 132 during the high-voltage PVD process, which is significantly more than the traditional low-voltage DC plasma processing technology. Process advantages. Moreover, in one embodiment, combining RF and DC power sources allows for a smaller overall RF power during processing than for an RF source only, which helps to reduce plasma damage to the substrate and improve component yield. . In an embodiment, RF power supply 181 includes an RF power source 181 and an RF match 181B that is configured to efficiently deliver RF energy to target 13 2 . In one example, RF power source 181A can generate RF current at a frequency of from about 13.56 megahertz (MHz) to about 128 MHz at a power of about 仟 to about 5 watts. In one example, DC power supply 182A of source 182 can deliver dc power of about 仟 to about 仟 watts. In another example, RF power source 181A can generate an RF power density of from about 0 to about 33 watts per square meter at the target, and DC source 182 can deliver a power density of from about 0 to about 66 watts per square meter. . During processing, gas source 142 supplies a gas (e.g., argon) through conduit 144 to processing zone U0. Gas source 142 contains a non-reactive gas (e.g., argon, helium, neon, or xenon) which is capable of vigorously impacting and sputtering material from target 132. Gas source 142 also includes a reactive gas, such as one or more oxygen-containing or nitrogen-containing gases, which are capable of reacting with the sputter material to form a layer on the substrate. The used process gases and by-products exit the chamber 100' via the exhaust port 146. They receive the used process gas and direct the used process gas to the exhaust conduit 148 of the gate valve 147 having an adjustable position to control the chamber. 1〇〇15 201104004 The repeated force in the processing area m. Row _(4) is connected to one or more exhaust pumps such as cryopumps. The pressure of the sputtering gas in the chamber 1 处理 during processing is again sub-atmospheric level such as a vacuum environment (e.g., a pressure of about mA to about 400 mTorr). In the embodiment, the pressure is scoop. The ear is up to about 100 mTorr. The plasma is formed from the gas on the substrate 〇5 and dry #132. The ion acceleration in the electropolymer accelerates toward the dry material "2' causes the material to move out of the target 132. The material is deposited on the substrate. Referring to circle 3A, the cover cladding 191 generally includes a conductive wall 185, a central feeder 184, and a shield 186 (Fig. 1B). In this configuration, the conductive J 1 85, the central feed The hopper! 84, the target j 32 and a portion of the motor (7) 3 enclose the back side region 134. The back side $ 134 is a sealed area that is located on the back side of the target 132 and is typically filled with flowing liquid to remove the target 132 during processing. The heat generated during processing. In one embodiment, the conductive wall and central feeder 184 are configured to support the motor 193 and the electromagnetic tube system 189' such that the motor 193 can rotate the electromagnetic tube system ι89β in one embodiment. Motor 193 utilizes dielectric layer 193B (eg, Delrin, G10, or Ardel) electrical Isolating the rf or DC power delivered by the power supply. Shield 186 includes one or more dielectric materials that are arranged to enclose and prevent RF energy delivered to target 132 from interfering with and affecting other processing disposed in cluster tool 1〇3. Chamber (Fig. iB). In one configuration, the shield I% contains Delrin, G10, Ardel, or other similar material, and/or ground foil metal RF shield. Power Delivery 201104004 In a consistent example, as shown in Figure 1A During processing, during operation, the capacitive bonding target 132 provides power using RF or ultra high frequency (Vhf) energy during plasma processing to ionize and dissociate the processing gas near the sputtering surface 133 of the target 132 to ionize The gas is sputtered from the biased target material. However, as the processing chamber expands to a substrate of 300 mm and larger, the resulting rF field will essentially be due to the limited reactor volume and boundary conditions on the electrode. The standing wave is formed in the processing region 11 at a typical frequency of RF and VHF. The right electrode size becomes matched to the excitation wavelength, and the electromagnetic effect caused by the standing wave will cause unevenness of the deposited film on the plasma and the substrate. with Slurry unevenness generally affects the thickness and properties of the film deposited in the pvD reactor, or the process uniformity of the plasma processing chamber. Uneven films can cause mid-to-edge, edge-to-edge unevenness, and in some cases, more Causes no functional components.

在些情況下,藉由塑形電極(如pvd靶材)、降低RF 頻率及調整處理參數(如腔室壓力及/或其組合物),可改 善駐波效應和相關電漿不均勻性。然當處理腔室尺寸增 大以反映大基材需求時,單單擴大上述對駐波效應和電 漿不均勻性的對策可能不夠足分及/或導致不理想的電 漿處理條件。 咸》&向處理壓力及不對稱輸送RF功率至電極將進 步誘發及惡化不均勻性。不對稱輸RF功率會造成輸In some cases, standing wave effects and associated plasma non-uniformities can be improved by shaping the electrodes (e.g., pvd targets), lowering the RF frequency, and adjusting processing parameters (e.g., chamber pressure and/or combinations thereof). However, when the processing chamber size is increased to reflect the large substrate requirements, simply amplifying the above countermeasures against standing wave effects and plasma non-uniformity may be insufficient and/or result in undesirable plasma processing conditions. Salt & delivering RF power to the treatment pressure and asymmetry to the electrode will further induce and worsen the inhomogeneity. Asymmetric transmission of RF power will cause loss

輸送的RF功率橫越靶材表面的流動 散佈,以致電漿不均勻。第 其繪示不對稱設置功率輸送 材表面的流動。如圖所示, 17 201104004 RF功率輸送點”F”偏離靶材132的中心”m,,一段距 離”〇”。在此構造中,從功率輸送點,,F”發出的電流是不 均勻的,因其傳越靶材表面將流過不同距離,如相反方 向c12、Cll之電流所示,其抵達靶材132的邊緣有不同 路徑長度。咸信不均一流動將於處理$ 11〇引起不對稱 駐波’以致電漿和沉積不均句。 在-實施例中,如第3A & 3C圖所示,rf功率輸送 到中央進料器184,其設於靶材132的中心,,m”或中心 轴。在此構造中,於處理期間,RF電源供應$ i8i之 RF功率源、181A輸送的RF能量經配置以流過中央進料 器m和導電壁185而抵乾材132。在一實施例中,如 第3A及3C圖所示,中央進料器184在乾材132的中 心,實四周軸向對稱。在-實施例中,配置中央進料器 m的深寬比使在中央進料胃184之上表面184八邊緣(如 第3A圖所示)輸送的RF能量將允許灯能量均句輸送至 導電壁185及/或中央進料器184之下表面_的 132。RF電流通常沿著第3A圖箭頭,’c”所指之路秤流 動。在此情況下,從中央進料器184發出的耵電流二 第3C圖元件符號C21)是均句的,是以電裝是均句的 可減少及/或移除RF駐波效應。 ’ 在-些實施例中,中央進料器184的長度,,A” (如直徑,’D2”)或直徑深寬比為至少約1: ; . Μ 15直徑深 寬比至少約Μ或以上可讓中央進料器184以果 更均勻。在一實施例中,中央進料器184的内徑^ 18 201104004 徑”D2”宜盡量小,例如直徑…至約6时、或約… 提供小内㈣助於維持預定直徑深寬比,又不會大幅增 加令央進料器〗84的長度。在一些構造令中央進料器曰 ⑻的長度” A”例如為約】切54_)至約12兮 (304.8mm)、或約 4 吋(1〇1 6mm)。 犯或VHF電流穿透導電物件的量為電流頻率和材料 物性的函數。組成中央進料器184之材料及/或置於中央 進料器184表面之塗層的導電率會影響其分配輸送之^ 或VHF電流的能力。在一實例中,中央進料器⑻及/ 或導電壁185由!呂(如祕1T6峨奥氏體不錄鋼材料組 成。故在-些實施例中,期定義表面積深寬比,以設計 具預定RF功率輸送均句性的t央進料器心表面積深 寬比定義為中央進料器184之長度”A”與經配置使灯功 率沿著其傳播之表面積的比例。在一實例中,採用第3A 及3C圖所示之構造,深寬比為長度”A”相對直徑〇ι與 D2構成表面積(如π〇1Α+πΙ)2Α)6η匕率,rf電流沿其流 動在實例中,置中之中央進料器i 84的深寬比為約 〇.〇〇1/醜至約0.025/mm,例如約〇 〇i6/mm。在另—實 例中,置中之中央進料器184由6〇61丁6銘組成,表面積 比為約0.006/咖,其中長度” A”為約1〇1 6匪,直徑,,〇ι ” 為約25.4mm’直徑,’D2”為約33mm。 應注意雖然帛3C圖緣示令央進料器具環狀截面,但本 發明之範圍不限於此構造。在—些實施例中,中央進料 器184在上表面H84A與下表面184B間延伸的截面可為 19 201104004The delivered RF power spread across the surface of the target to cause the slurry to be uneven. The first shows the flow of the asymmetrically placed power conveying surface. As shown, 17 201104004 RF power delivery point "F" deviates from the center "m" of the target 132, a distance "〇". In this configuration, the current from the power delivery point, F" is uneven. Because the surface of the target will flow through different distances, as indicated by the currents in the opposite directions c12, C11, the edges of the target 132 have different path lengths. The uneven flow of the letter will be processed by $11〇 causing the asymmetric standing wave' to call the pulp and deposit the uneven sentence. In an embodiment, as shown in Figures 3A & 3C, the rf power is delivered to a central feeder 184 that is disposed at the center of the target 132, m" or the central axis. In this configuration, during processing The RF power source of the RF power supply $i8i, the RF energy delivered by the 181A is configured to flow through the central feeder m and the conductive wall 185 to the dry material 132. In an embodiment, as shown in Figures 3A and 3C The central feeder 184 is axially symmetrical at the center of the dry material 132. In an embodiment, the aspect ratio of the central feeder m is configured such that the surface 184 of the upper surface of the stomach 184 is fed centrally (e.g. The RF energy delivered as shown in Figure 3A will allow the lamp energy to be delivered to the conductive wall 185 and/or the lower surface of the central feeder 184 to 132. The RF current is typically along the arrow 3A, 'c' Refers to the flow of the road scale. In this case, the 耵 current 2 from the central feeder 184, the symbol C21) is a uniform sentence, so that the electrical installation is a uniform sentence to reduce and/or remove the RF standing wave effect. In some embodiments, the length of the central feeder 184, A" (e.g., diameter, 'D2") or diameter aspect ratio is at least about 1: . 15 diameter aspect ratio of at least about Μ or more The central feeder 184 can be made more uniform. In an embodiment, the inner diameter of the central feeder 184 ^ 18 201104004 diameter "D2" should be as small as possible, such as diameter ... to about 6 o'clock, or about ... provide a small inner (four) to help maintain the predetermined diameter aspect ratio, and It will not increase the length of the central feeder 84. In some configurations, the length "A" of the central feeder 曰 (8) is, for example, about 54 ) 54_) to about 12 兮 (304.8 mm), or about 4 吋 (1 〇 16 mm). The amount of violent or VHF current that penetrates the conductive object is a function of current frequency and material properties. The conductivity of the material comprising the central feeder 184 and/or the coating placed on the surface of the central feeder 184 affects its ability to distribute the delivered or VHF current. In one example, the central feeder (8) and/or the conductive wall 185 are! Lv (such as the secret 1T6 峨 austenitic non-recorded steel material composition. Therefore, in some embodiments, the definition of surface area aspect ratio to design a predetermined RF power delivery uniformity of the t-feeder core surface area wide The ratio is defined as the ratio of the length "A" of the central feeder 184 to the surface area that is configured to propagate the lamp power along it. In one example, the configuration shown in Figures 3A and 3C, the aspect ratio is the length" A" relative diameter 〇ι and D2 constitute a surface area (such as π 〇 1 Α + π Ι) 2 Α) 6 匕 rate, rf current flows along it in the example, the central feeder i 84 centered has an aspect ratio of about 〇. 〇〇 1 / ugly to about 0.025 / mm, for example about 〇〇 i6 / mm. In another example, the centered central feeder 184 is comprised of 6 〇 61 6 6 mings having a surface area ratio of about 0.006 per coffee, wherein the length "A" is about 1 〇 16 匪, diameter, 〇 ι ” It is about 25.4 mm' diameter and 'D2' is about 33 mm. It should be noted that although the 帛3C diagram shows the annular section of the central feeding device, the scope of the present invention is not limited to this configuration. In some embodiments, the cross section of the central feeder 184 extending between the upper surface H84A and the lower surface 184B may be 19 201104004

月色實質均一分配RF 應注意上表面184A 方形、六角形或其他形狀載面,其 功率至導電壁185及/或靶材132。 和下表面184B不需互相平行,故長度”A”可定義為上表 面1 84A與下表面1 84B間的最小距離。 電磁管組件 為有效濺射,電磁管系統189設置於上處理組件1〇8 中的靶材132背面,以於靶材132之濺射表面133旁的 處理區110產生磁場◊磁場產生是為捕集電子和離子’ 以提高電漿密度及加快濺射速率。根據本發明一實施 例,電磁管系統189包括源電磁管組件42〇,其包含旋 轉板413、外磁極421和内磁極422。旋轉板413通常容 許源電磁管組件420中之磁場產生部件的定位可相對腔 室100的中心軸194移動。 第4A、4B及4D圖繪示源電磁管組件42〇,從靶材132 之濺射表面133側觀之,其相對中心軸194設在第一徑 向位置。第4C圖繪示相對中心軸194設在第二徑向位置 的源電磁管組件420,其不同於第一徑向位置且是如下 述般藉由調整轉向和速度而得。旋轉板4丨3 一般適於朝 垂直方向支樓及磁性耦接具第一磁極性的外磁極421和 具第二磁極性的内磁極422,且第二磁極性與第一磁極 性相反。間隙426隔開内磁極422和外磁極421,各磁 極通常包含一或多個磁鐵和極片429。在二磁極421、422 間延伸的磁場在鄰近靶材132之濺射表面第一部分處形 成電漿區”P”(第3A、4D圖)。電漿區”P”構成高密度電漿 20 201104004 區’其通常依循間隙426的形狀。 在貫知例中’如第4A-4D圖所示’電磁管系統189 . 為非閉合迴路料(如開迴路設計),以降低„『,p”形 • 成的電I強度,進而補償因RF能量從RF電源供應器181 冑送㈣材U2所產生的較高離子化電i注意rf驅動 電漿比DC驅動電毁更能有效增加電聚中的原子(如氣體 原子和賤射原子)離子化,此乃因施加能量更有效地麵合 電漿中的電子,且其他電子-電毁相互作用現象會提高電 子能量及增進電漿中的離子化程度。 一般來說,”閉合迴路,,電磁管構造是經形成使電磁管 的卜磁極圍繞電磁管的内磁極而於磁極間構成間隙,其 為連續迴路。在閉合迴路構造中,射出及重返穿過靶材 表面的磁場形成閉合迴路”圖案,以將電子限制在靶材 表面附近呈閉合迴路圖案,其常稱為,,軌道,,型圖案。相 較於開迴路’閉合迴路電磁管構造能限制電子及在靶材 132的濺射表面133附近產生高密度電漿,以提高濺射 率。 在開坦路電磁官構造中,内磁極與外磁極間捕集的電 子將遷移、外漏及逸出電磁管開放端產生的B場,因電 •子限制減少’故在濺射期間只持有電子一小段時間。然 出乎意料地發現,所述開迴路電磁管構造配合所述RF 和DC賤射多重成分靶材使用可明顯提高階梯覆蓋率並 改善基材表面各處的材料組成均勻性。 在電磁官系、统1 89之—實施例中,由馬達J 93驅動之 21 201104004 旋轉軸桿193A沿著中心軸194延伸並支撐徑向移位機構 41 0,其包含旋轉板4 1 3、平衡錘4 1 5和源電磁管組件 420。當馬達193以不同方向R,、R2轉動時(第4B、4C 圖)’徑向移位機構4 1 0朝互補徑向移動源電磁管組件 420,例如徑向朝向或遠離中心軸194(即第4A圖元件符 號” S”)。 在處理期間’賤射將有效加熱把材1 3 2。因此,背側 區134密封於靶材132後面且充滿冷卻水液體,其由未 繪示之冷卻器和再循環冷卻水之水管冷卻。旋轉軸桿 193A經由旋轉密封件(未繪示)穿透背後腔室ι〇〇。包括 徑向移位機構4 1 0的電磁管系統丨89浸沒於背側區134 的液體中。 第4A圖為電磁管系統1 89之一實施例的等角視圖,其 一般包括橫臂414,钳夾414A將其中心固定於旋轉軸桿 193A °橫臂一端支撐平衡錘415。橫臂414(其自平衡錘 415橫越轉軸194)的另一端支撐樞軸4 12或旋轉軸承, 用以旋轉支撐源電磁管組件42〇而繞著偏移垂直樞軸 419旋轉。在一構造中,樞軸419實質平行轉轴194。在 此構造中,橫臂414上的電磁管42〇容許其相對旋轉中 心軸194朝不同和互補徑向擺動。互補移動乃因源電磁 管、’且件420的質心相距樞軸4 i 9 一段距離所致。因此, 藉由馬達193轉動橫臂414和源電磁管組件42〇時,作 用於源電磁管組件420的向心加速度促使其繞著樞軸 419朝方向或其他方向(視馬達193調整方向而定)轉 22 201104004 動。源電磁管組件420的皙、、+ 質〜可疋義為源電磁管組件420 的重心’就第4A-4D圖構造而丄甘π 再^而5,其可位於内磁極422 内側或靠近轉軸194 » :轉旋轉軸桿139八繞著轉軸194的轉向及整個The Moonlight Substantially Uniform Distribution RF should take care of the upper surface 184A square, hexagonal or other shaped carrier surface, with power to the conductive walls 185 and/or target 132. The lower surface 184B does not need to be parallel to each other, so the length "A" can be defined as the minimum distance between the upper surface 1 84A and the lower surface 1 84B. The electromagnetic tube assembly is effectively sputtered, and the electromagnetic tube system 189 is disposed on the back surface of the target 132 in the upper processing unit 1 8 to generate a magnetic field in the processing area 110 beside the sputtering surface 133 of the target 132. Collect electrons and ions' to increase plasma density and speed up sputtering. In accordance with an embodiment of the present invention, the solenoid system 189 includes a source solenoid assembly 42A that includes a rotating plate 413, an outer magnetic pole 421, and an inner magnetic pole 422. The rotating plate 413 generally allows the positioning of the magnetic field generating components in the source solenoid assembly 420 to move relative to the central axis 194 of the chamber 100. 4A, 4B, and 4D illustrate the source electromagnetic tube assembly 42A, viewed from the side of the sputtering surface 133 of the target 132, with its central axis 194 disposed at a first radial position. Figure 4C illustrates the source electromagnetic tube assembly 420 disposed at a second radial position relative to the central shaft 194, which is different from the first radial position and is obtained by adjusting the steering and speed as described below. The rotating plate 4丨3 is generally adapted to pivot and vertically couple the outer magnetic pole 421 having a first magnetic polarity and the inner magnetic pole 422 having a second magnetic polarity toward the vertical direction, and the second magnetic polarity is opposite to the first magnetic polarity. The gap 426 separates the inner magnetic pole 422 from the outer magnetic pole 421, and each magnetic pole typically includes one or more magnets and pole pieces 429. A magnetic field extending between the two magnetic poles 421, 422 forms a plasma region "P" (Fig. 3A, 4D) at a first portion of the sputtering surface adjacent to the target 132. The plasma zone "P" constitutes a high density plasma 20 201104004 zone 'which typically follows the shape of the gap 426. In the case of the known example, 'the electromagnetic tube system 189 is shown in Figure 4A-4D. It is a non-closed loop material (such as an open circuit design) to reduce the electrical intensity of the „『,p” shape, and then compensate for the RF energy from the RF power supply 181 to send (four) material U2 to produce higher ionization power i Note that rf drive plasma is more effective than DC drive power destruction to increase the atoms in the electropolymer (such as gas atoms and sputum atoms) Ionization, because the application of energy more effectively grounds the electrons in the plasma, and other electron-electrical interactions increase the electron energy and increase the degree of ionization in the plasma. In general, "closed loop, electromagnetic tube structure is formed such that the magnetic pole of the electromagnetic tube surrounds the inner magnetic pole of the electromagnetic tube and forms a gap between the magnetic poles, which is a continuous loop. In the closed loop configuration, the injection and re-entry The magnetic field across the surface of the target forms a closed loop "pattern" to confine the electrons to a closed loop pattern near the surface of the target, which is often referred to as a track, pattern. The closed loop electromagnetic tube configuration can limit electrons and produce high density plasma near the sputtering surface 133 of the target 132 to increase the sputtering rate. In the Kaitan Road electromagnetic officer structure, the electrons trapped between the inner and outer magnetic poles will migrate, leak and escape the B field generated at the open end of the electromagnetic tube, which is reduced by the electric and sub-limits. There is electronics for a short time. Surprisingly, it has been found that the use of the open loop electromagnetic tube configuration in conjunction with the RF and DC sputtering multiple component targets can significantly improve step coverage and improve material composition uniformity across the surface of the substrate. In the embodiment of the electromagnetic system, the 21 201104004 rotating shaft 193A driven by the motor J 93 extends along the central axis 194 and supports a radial displacement mechanism 41 0 , which includes a rotating plate 4 1 3 , The counterweight 4 1 5 and the source solenoid assembly 420. When the motor 193 is rotated in different directions R, R2 (Fig. 4B, 4C), the radial displacement mechanism 410 moves the source electromagnetic tube assembly 420 toward the complementary radial direction, such as radially toward or away from the central axis 194 (ie, Figure 4A is a component symbol "S"). The shot will effectively heat the material 1 3 2 during processing. Therefore, the back side region 134 is sealed behind the target 132 and filled with the cooling water liquid, which is cooled by a water pipe (not shown) and a water pipe for recirculating cooling water. The rotating shaft 193A penetrates the back chamber ι via a rotary seal (not shown). A solenoid system 丨89 including a radial shifting mechanism 410 is immersed in the liquid in the backside region 134. Figure 4A is an isometric view of one embodiment of a solenoid system 189 generally including a cross arm 414 that secures its center to a rotating shaft 193A. The end of the cross arm supports the counterweight 415. The other end of the cross arm 414 (which traverses the shaft 194 from the counterweight 415) supports a pivot 4 12 or a rotary bearing for rotatably supporting the source solenoid assembly 42 to rotate about the offset vertical pivot 419. In one configuration, the pivot 419 is substantially parallel to the axis of rotation 194. In this configuration, the electromagnetic tube 42〇 on the cross arm 414 allows its relative rotational center axis 194 to oscillate toward different and complementary radial directions. The complementary movement is due to the source electromagnetic tube, and the centroid of the member 420 is separated by a distance from the pivot 4 i 9 . Therefore, when the motor 193 rotates the cross arm 414 and the source solenoid assembly 42〇, the centripetal acceleration acting on the source solenoid assembly 420 causes it to be oriented in the direction or other direction about the pivot 419 (depending on the direction in which the motor 193 is adjusted) ) Turn 22 201104004. The center of the source electromagnetic tube assembly 420, + 〜 疋 为 为 为 源 源 源 源 源 源 源 源 源 源 源 源 源 源 源 源 源 源 源 源 源 源 源 源 源 源 源 源 源 源 源 源 源 源 源 源 源 源 源 源 源 源 源 源194 » : Steering of the rotating shaft 139 eight around the rotating shaft 194 and the whole

系統189繞著轉轴⑼的轉向1交換二位置。如第4D 圖頂部平面圖所示,旋轉軸 疋轉軸杯139A按逆時針方向R丨繞 著轉軸1 94轉動描臂4 1 4 、 時,慣性和阻力將促使源電磁 管組件420按逆時斜方& M > 寻十方向繞者樞軸419旋轉,直到固定 於源電磁管組件420的保險桿416喷合橫臂414 一側。 在此處理構造或電磁f處理位置中,源電磁管組件· =於其靠近乾材132邊緣的徑向朝外位置,如此源電磁 管組件420可支稽·雷难视.也& 電漿供濺射沉積或濺射蝕刻基材 1〇5。此位置指稱電磁管”向外”位置或第一位置。 或者’如第4C圖頂部平面圖所示,旋轉轴桿舰按 逆時針方向〜繞著轉轴194轉動橫臂414時,慣性和阻 力將促使源電磁管組件42 知順時針方向繞著樞軸419 旋轉,直到固定於源電磁管組件的保險桿417喃合 橫# 414另-側。在此構造中,源電磁管組件侧置於 其朝内位置遠離糾132邊緣且靠近轉轴194,如此源 電磁管組件420可在靠近乾材中心處支樓電[以清潔 此區域。此位置指稱電磁管,,向内,,位置或第二位置。 在一些實施例中’源電磁管組件為不平衡電磁 管。在-實施例中,相對不平衡很小且近乎。一 般來說’不平衡定義為遍及外磁極412之總磁場強度或 23 201104004 磁通量除以遍及内磁極422之總磁場強度或磁通量的 比。發現將外場與内場強度不平衡保持成約0.5至約j 5 之間,可改善多重成分膜的RF沉積製程。在一實施例 中’外場與内場強度不平衡比為約18: 17至約20: 16。 磁場不平衡造成外磁極42 1射出___ _ ^ ^ ^ 105並將離子化濺射粒子導向基材ι〇5。由於源電磁管組 件420擴及寬廣的靶材區域,故可擴大電漿區” p”及降低 RF和DC功率輸送到靶材132所產生的總體電漿強度。 然相較於靶材132未直接鄰接源電磁管組件42〇的部 分,源電磁管組件420會在電漿區”p”產生較高密度電 聚。是以乾材132主要在源電磁管組件42 減射,形成之電漿則起相當分率的濺射粒子離子化域 離子化粒子至少部分由不平衡磁場導向基材 在實施例中,如上述第4A及4D圖所示,源電磁管 組件420依非閉合询玫< 4 坦路S又计,以降低電漿區” P”形成的電 漿強度。在此構造中,非閉人 F闭σ坦路设計.呈弧形,其半徑 D(第4Β及4D圆)從抓游士 七中心延伸到間隙426的中心。 S其設在處於第一位置 ^ ^ L 电磁e時’弧形可調整大小及 叹置使弧形半徑D的中,0、你絲土 在眚㈣由 的中〜與轉轴194的中心共同延伸。 在一實施例中,形成弧形 〇 ^ 、 + 仏為、·勺 7.3 吋(185mm)至 8.3 对(21〇mm),靶材 在眘竑*丨* 杈為約17.8吋(454mm)。 在一實施例中,弧形為圓 度4D KM , 對向約7〇至約180度之角 厪441(第4D圖),例如約13 轴194到樞軸419 …-實施例中’從轉 離為約弧形半徑D。 24 201104004 在一實施例中,外磁極42 1和内磁極422各包含複數 個磁鐵423,其設置成陣列圖案於間隙426的各側且被 極片429蓋住(第4A圖)。在一構造中,外磁極42丨之磁 鐵423的北極(N)設置遠離旋轉板413,内磁極422之磁 鐵423的南極(S)設置遠離旋轉板413。在一些構造中, 磁軛(未繪示)設在内磁極和外磁極之磁鐵與旋轉板413 間。在一實例中,源電磁管組件420包含内含1 8個磁鐵 的外磁極42 1和内含1 7個磁鐵的内磁極422,其中磁鐵 423由紹鎳鈷合金、稀土材料或其他類似材料組成。在 一實施例中,磁鐵423分別配置以產生磁場,其在尖端 或附近的強度為約1.1仟高斯至約2 3仟高斯。在一實施 例中’間隙426和外磁極421及/或内磁極422越過形成 弧形的寬度是一致的。在一實施例中,弧形的寬度為約 1 至約 1.5 叫*(38.lmm)。 發現若源電磁管組件42〇設在靶材132的徑向朝外部 分,可改善濺射沉積均勻性。但若主要濺射發生在靶材 Π2的外環帶,則一些濺射靶材原子可能再沉積於靶材 132内部。咸信遠離源電磁管組件42〇的相對濺射速率 很小,故再沉積材料將朝著轉軸194堆積❶若再沉積膜 明顯增厚’則其可能剝落及形成顯著微粒,以致惡化沉 積至基材105上的膜品質和自靶材132中間落下之微粒 附近的任何裝置的品質。故在一構造中,如第π圖所 ,、、轴93A的轉向由控制器j9。送出的指令改變,使源 電磁管,且件420繞著樞抽412旋轉到增強賤射把材 25 201104004 令〜附近之材料的位置。在一構造中,置中之源電磁管 組件420容許產生電漿在附近及/或越過靶材132中心延 伸,以移除沉積其上的再沉積材料。更如下述,相對靶 材表面133形成電磁管”轨道”或侵蝕溝槽916(第1〇Β圖) 外之區域上的另一元素,將優先再沉積某一濺射元素, 以致托材表面1 3 3露出區域的材料組成將隨時間不同, 故無材表面的再沉積材料會影響基材上之濺射沉積層的 組成。,’轨道”外的區域一般包括侵蝕溝槽916外的區域, 例如中心區91 8和靶材外緣區920。相較於單純DC產生 電聚’錢射電磁管產生侵蝕溝槽91 6外的區域將對RF 產生電漿造成更多問題,因輸送RF能量至靶材更易均勻 產生遍及靶材表面的電漿。 第4E圖繪示電磁管系統〖89的替代實施例,其中外磁 極424和内磁極425構成閉合迴路環電磁管,其圍繞靶 材132的中心”M”集中。在一實施例中,採用徑向對稱 形狀之電磁管設計’其為不平衡與非磁性對稱閉合迴路 電磁管没計’其可利用RF與DC電漿來沉積膜。 在一實施例中’設於外磁極424和内磁極425的磁鐵 423對稱分布在第一轴491四周且不對稱分布在第二軸 492四周。在一實施例中’在外磁極424與内磁極425 間沿著第一軸491之處,外磁極424和内磁極425的外 %與内;%強度不平衡為約0 5至約1 5。在不平衡閉合迴 路設計的另一實施例中’在外磁極424與内磁極425間 …著第一軸491之處,外磁極424和内磁極425間的外 26 201104004 場與内場強度不平衡比為約18 : 17至約2〇: 16。注意 内磁極與外磁極間的磁場不平衡不同於磁鐵423相對第 二軸492的不對稱性,因不平衡是與磁極間產生的場有 關,不對稱性是與靶材表面各處之不同區域存在或平均 磁場強度變化有關。在此構造中,不平衡閉合迴路電磁 管用來產生環狀電漿區” PR,,,其位於間隙427的中心附 近。 第二軸492上方之電磁管系統189區域(第4£圖)或具 最大磁鐵431密度之區域旁的處理區電漿密度通常比第 二軸492底下之電磁管系統189區域或具最小磁鐵密度 或無磁鐵之區域的電設密度高。雖然分別輕接外磁極424 和内磁極化的極w 425A呈圓形且具導磁性, 但磁極間沿著第-# 491在第二軸奶底下區域產生的 磁場將明顯比磁極間沿著第—軸491在第二軸492上方 區域產生的磁場小。 在-實例中,外磁極424與内磁極仍間沿著第一轴 91在第一轴192底下之處的磁場強度&外磁極與 内磁極425間沿著第一軸491在第二軸192上方之處的 磁場強度小好幾個數量級、或甚至幾乎為零。在此構造 中’咸信較少磁化區旁(如第4E圖第二軸视底下的半 節區段)的電子更易逸出内磁極與外磁極間形成的閉合 迴路而徑向朝乾材中心”M,,移動。逸出電子有助於增加 靶材中心區附近的氣體離子化,以提高靶材利用率。在 一實施例中’電磁管的内徑為65„f,外徑為Μ时。電 27 201104004 磁管在無材和腔室上方的大致中心轴上自旋;在一實施 例中,於處理期間,其經配置以利用馬達193繞著其中 心” M”旋轉。 基材沉積製程控制 在處理腔至1 00之一實施例中,阻抗控制器14 1 (第1A 圖)耦接電極且RF接地,以於處理期間調整基材上的偏 塵電壓’進而控制基材表面的爲擊程度。在一實施例中, 電極鄰接支撐件126的基材接收面m設置,且包含電 極126A。在pvd反應器中,藉由控制電極的接地阻抗 來調整基材表面的轟擊將影響階梯覆蓋率、懸伸幾何形 狀和沉積膜性質(例如晶粒尺寸、膜應力、結晶取向、 膜密度、粗糖度和膜組成)。因此,阻抗控制器i4i可用 來改變沉積速率 '姓刻速率、甚至是基材表面的多重成 分膜組成。在一實施例巾,阻抗控制器i4i適當調整電 極’基材的接地阻抗,以致動或防止沉積或触刻。 第6圖繪示阻抗控制器141之一實施例,其包括具反 饋電路之可變電容器調整電路,用以控制基材上的沉積 金屬或非金屬層性質。如下所述,在pvD沉積製作方法 步驟的-或多個時期,可變電容器調整電路可就一給定 〇又疋點來自動化。實際阻抗設定點可依據測量電流或電 壓調整、或由一些使用者定義設定點,例如可變電容器 的全標度電容百分比。設定點取決於欲達成的基材處理 結果。 參照第6圖’阻抗控制器141包括可變電容器61〇、 28 201104004 輸入616、選擇性輸出電路618、選擇性電感器62〇、選 擇性電阻器62卜介面622、處理器624、馬達控制器626 和馬達628。馬達628較佳為步進馬達,其以能改變可 變電容器610之電容的方式附接可變電容器61〇。電感 器620可選擇性增設,且大致上可有效減緩或補償因不 同腔室配備之阻抗控制器i 4丨和電極i 26 A有不同電纜長 度所引起的電感差異。增設電感器62〇有利於不需對叢 集工具1〇3㈣一不同腔室位置和構造重新計算阻抗控 制設定點。 又,輸出電路618是選擇性的, 定處理期間的基材偏壓電壓。感應 且可包括感應器來測 器可為電壓感應器或 電流感應器 可變電容器 。感應器用來提供反饋,以控制馬達及控制 6 10的操作设定點。若有設置輸出電路6 ^ 8 可提供反饋訊號至介面622 β介面622提供反饋訊號至 處理器624和控制器19〇。處理器624可為專用n $ 路、亦或為微處理器或微控制器基底電路。 可變電容器610設定是用來調整接地阻抗,藉以調整 處理期間《和離子與基材間的相互作用。可變電容器 610連接輸入616 ’其耦接電極126A。在一實施例中, 輸入616經由一 耦接電極126A。 件也可設於第6 或多個附加部件(如選擇性電感器62〇) 根據本發明之多種態樣,應理解其他部 圖電路。在—實例中’可變電容器610 的電合為’力50微微法拉第(pF)至約i〇〇〇pF,選擇性電感 器620的電感為約0.26微亨利_。 29 201104004 介面622還可接收來自馬達控制器626的訊號。處理 器624控制馬連控制器626,其依據訊號和自感應器輸 出接收的資訊來控制馬達628。馬達控制器626促使馬 達628(較佳為步進馬達)步進通過其位置,以依模型控制 訊號和感應器輸出函數來改變可變電容器61〇的電容。 根據本發明之一態樣,阻抗控制器141設在裝設於處 理腔室1〇〇中的外殼605内。把阻抗控制器141裝設在 處理腔至100可更容易及有效地控制基材上的偏壓電 壓。 處理器624亦可為特殊用途介面電路。介面電路或處 理器624的主要用途為依據接收自感應器(例如,附接 至前述之阻抗控制器141之部分電路的電壓感應器662 或電流感應器663 )的輸入來控制馬達控制器626。若處 理器624具體指定了預定偏壓電壓設定點,則馬達控制 器626控制產生電谷以達此設定點。例如,若處理器624 依據阻抗控制器141測量之電壓來控制基材偏壓電壓, 則馬達控制器626依據電壓感應器662之輸出來控制馬 達628,以保持電路呈恆定電壓。在另一實例十,若處 理器624依據阻抗控制器⑷中測量之電流控制基材偏 麗電麼’則馬達控制器626控制馬達似,以維持值定 電流通過電路。根據本發明之多種態樣可採用任何已知 類型的電塵感應器’並可連接在可變電容胃61〇的處理 腔室側與接地間。同樣地’根據本發明之多種態樣可採 用任何已知類型的電流感應器(未繪示)。電麼感應器和 30 201104004 電流感應器皆為此技藝所熟知。 下製程套組和基材支撐組件 參照第1A及5A圖,下製程套組165包含沉積環5〇2 和蓋環170。沉積環502通常呈環狀或環帶圍繞支樓件 126。蓋環170至少部分覆蓋部分沉積環5〇2。在處理期 間’沉積環502和蓋環170協同以減少濺射沉積物形成 在支撐件126的周圍邊緣129和基材1〇5的懸伸邊緣 105A。 蓋環170環繞及至少部分覆蓋沉積環5〇2,以接收並 因而遮蔽沉積環502免於濺射沉積塊。蓋環17〇由抗濺 射電漿侵蝕的材料製造,例如金屬材料(如不鏽鋼、鈦或 鋁)或陶瓷材料(如氧化鋁)。在—實施例中,蓋環1由 不鏽鋼材料組成。在一實施例中,蓋環17〇的表面經雙 絲鋁電弧喷塗(如CLEANC0AtTm)處理’以減少微粒從蓋 環170的表面脫落。在一實施例,,沉積環5〇2由抗濺 射電梁侵姓的介電材料製造,例如陶究材料、如氧化銘。 蓋環170包含環形圈51〇,其包含頂表面573徑向往 内傾斜且環繞支撐# 126。環形圈51〇的頂表面⑺具 有内圍571和外圍516。内圍571包含突沿π,其位於 含沉積環502之内部明渠徑向往内的傾m沿572 可減少濺射沉積物沉積在沉積環502之表面5〇3與突沿 572間的内部明準卜 $ 木上犬沿572經調整大小、塑形及設 置以協同並與弧形間隙彻互補而於蓋環⑺ ⑽間構成迴旋、狹M的檟環 斯崎仅具抑制處理沉積物 31 201104004 流動到支標件1 2 6和平臺外殼1 2 8。 頂表面573可從水平傾斜約1〇度至約2〇度。蓋環μ 之頂表面573的角度乃設計使最靠近基材1G5之懸伸邊 緣105A㈣射沉積物堆積減至最少,其將對基材1〇5 各處所得的微粒性能造成負面影響。蓋環可包含任何與 製程化學品相容的材料’例如鈦或 例 中,蓋環W。的外徑為約15.5 一分㈣: 对(40.—。在一實施例中,蓋環17〇的高度為約i对 (2.5cm)至約 1.5 吋(3.8cm)。 屏蔽160之環支樓部561與蓋環17〇間的間隔或間隙 554形成迴旋的S形途徑或曲折路徑供電漿行進。此途 技形狀疋有益的’(例如)因其可阻礙及阻撐電榮物種進 入此區域、減少濺射材料非所欲的沉積。 在實施例中,如第5A圖所示,在處理期間,蓋環 170乃經設計及相對接地屏& 16〇設置,如此其將不接 觸接地屏蔽160、而是電氣”浮置,、另外,在一實施例中, 期將蓋環⑺和沉積環5G2放置遠離基材ig5—段距離 <於支撐件126之基材接收面127下方,使得處理期 間藉由RF及/或DC功率輸送到挺材132所產生的電 場”E”更均勾地遍及基材表面。咸信在輸送RF功率半週 期的不同階段’電氣浮置表面(如蓋環170的表面)將遭 電子轟擊’以致影響基# 1〇5之邊緣_附近區域的 RF電場均勾性。咸信當功率源181八於頂表面573之rf Μ㈣表面573之平均DC電位高(positive)的情況時 32 201104004 將發生爲擊。故在—實施例中,期確保形成於蓋環i7〇 之上表面的沉積膜層沒有電子接地路徑,並且遠離基材 1〇5之邊緣105A 一段距離。在一實例中,蓋環的内 圍571相距基材1〇5之邊緣1〇5A至少〇 5吋(12 設 置。在另一實例中,蓋環170的内圍571相距基材1〇5 之邊緣105A約0.5吋(12.7mm)至約3吋(76 2mm)設 置,例如相距基材105之邊緣1〇5八約i吋(25 4mm)。 尚發現將電氣浮置表面(如蓋環丨7〇的表面)放在基材 105的露出表面或基材接收面127上方會非所欲的影響 基材105各處的沉積膜均勻性。第5B圖繪示傳統製程套 組構造’其中傳統蓋環17〇A的内圍57ia和頂表面SWA 置於基材接收面127和基材1〇5之表面1〇5B上方。發現 在这些情況下,基材1〇5邊緣附近的沉積層會變薄。咸 仏基材邊緣1〇5Α附近沉積減少是因電漿更常與基材表 面105B上方的製程套組表面互相作用,導致更多離子化 沉積膜原子沉積至蓋環170的頂表面573。故在一實施 例中,蓋環170和沉積環5〇2設在基材接收面127下方, 此如第5A圖延長線”τ”以下所示。在一實施例巾,蓋環 和沉積環502置於基材接收面127下方(如延長線”丁”) 約〇·2吋(5_广應注意雖然所述第1A_6圖皆描述基材 接收面127位於挺材132下方,蓋€ 170和沉積環5〇2 位於基材接收φ 127下方,但此垂直定向構造並不限定 本發明之範圍,而僅做為參考框架定義各部件的相對順 序及/或距離。在一些實施例中,基材接收自127可相對 33 201104004 把材132設成其他方位(如向上、水平對準),蓋環 和沉積環502相距靶材132的距離仍比基材接收面127 相距把材1 3 2的距離遠。 在另一實施例中,期確保形成於沉積環5〇2之上表面 5〇4的沉積膜層(其由介電材料組成)沒有電子接地路 徑,以免基材邊緣1 05 A附近區域的電場隨時間變化(如 製程套組使用壽命)。為避免上表面504的沉積膜層電氣 接觸屏蔽160和蓋環170,蓋環17〇的突沿572經調整 大小、塑形及設置以防止沉積環5〇2上的沉積物橋接蓋 環170上的沉積層、及避免形成連接屏蔽160的路徑。 下製程套組165的部件單獨及結合運作,以有效減少 微粒產生和雜散電漿。相較於現有之多件屏蔽,其提供 延伸的RF返回路徑促進RF諧波而於製程空腔外側產生 雜散電漿,上述單件屏蔽則縮短RF返回路徑,以將電漿 圍阻在内部處理區。單件屏蔽的平底板提供額外的縮短 返回路徑供RF穿過基座,以進一步減少諧波和雜散電 漿’並做為現有接地硬體的平臺。 參照第5A圖,在一實施例中,基座組件12〇更包含基 座接地組件530,其適於確保風箱1 24在處理期間為接 地。若風箱124達到不同於屏蔽丨6〇的RF電位,則會影 響電漿均勻性,並於處理腔室引起電弧作用,進而影響 ’儿積臈層的性質、產生微粒及/或影響製程均勻性。在一 實施例中,基座接地組件53〇包含平板53丨,其含有導 電彈簧532。當升降機構122將基座組件12〇朝方向”v” 34 201104004 移向處理位置時(如第5A圖所示),導電彈簧532和平板 531經配置以電氣接觸屏蔽16〇的表面。當升降機構122 將基座組件12G朝方向,,v”移向傳送位置時(如第iA@m 示)’導電彈簧53 2脫離屏蔽i 60。 鳥理細節 本發明之實施例提出形成積體電路元件(如cm〇s型 積體電路)的設備和方法。然本發明之實施例亦可用於形 成各種半導體元件、薄膜電晶體等。在-實施例中,設 備適於在形成冑k/金屬閘極型結構(尤其是採用,,後閘 極方式)B寺,進行金屬沉積。本發明之一般原則是應用 於沉積各種不同的金屬和化合物,例如鎢(W)、氮化鎢 (WN)、鈦(Ti)、氮化鈦(TiN)、鈦紹⑺合金碳化給 (陶、氮化給(HfN)、完切化(fusi)之⑦化物和銘 ()在實例中,使用所述實施例有益於沉積含至少 不同元素之層’例如具5〇5〇合金組成之缺铭(丁^) 層0 隨著元件結構變得越來越小,特別是形成於45nm節 •的元件積體電路結構内必須有良好的膜階梯覆蓋 率’才能在功能半導體元件中形成不同裝置部件,例如 金屬間極、觸點和内連線特徵結構。各種方法已用來改 善PVD階梯覆i率,例如長乾材·基材間隔、離子化金 屬電浆(歸)、利肖電磁管施加強磁場、再㈣等。本發 月之實施例尤其扭行高壓製程、結合RF與DC濺射和電 容相合。在此構造φ 甘 k中其不同於IMP,RF功率乃直接施 35 201104004 加至乾材、而非線圈。尚壓與RF功率可在乾材附近產生 高密度電漿。 以高壓和RF電漿進行濺射,更易離子化通過電漿的原 子或物種,因而有效提高離子/中性粒子比。此外,原子 或物種在高壓大氣下接近基材時會產生許多碰撞,此有 助於降低垂直方向(垂直基材表面)的物種能量並增強其 在平行基材之方向上移動。又不同於IMP,由於物種在 乾材附近離子化且未被如IMP製程之外在電磁場加速及 /或引導,相較於IMP型製程(如感應線圈),RF沉積製 程提供更佳的側壁覆蓋率。此外,電漿傾向遠離基材一 段距離形成,此有助於減少電漿破壞,故此方法適合觸 點、金屬閘極和其他前段應用。 本發明之實施例包括改善沉積製程之膜均勻性和階梯 覆蓋率的方法《此製程的其他優點包括無底部覆蓋不對 稱性和較小的底部覆蓋與結構尺寸相依性。雖然以下主 要描述金屬閘極形成製程,但本發明之範圍不限於此構 造。本發明之實施例能沉積具預定功函數之金屬而用於 咼k金屬閘極,例如形成前述m〇sfet元件,特別是用 於“取代閘極,,或”後閘極,,方法。具預定功函數之金屬, 其用於同k金屬閘極堆疊結構,可做為替代物來調整臨 界電壓半導體元件。包括金屬等不同材料的功函數相當 多樣且可依特殊半導體元件(如CM〇s半導體元件)需求 選擇。System 189 exchanges two positions about the turn 1 of the spindle (9). As shown in the top plan view of Fig. 4D, when the rotating shaft slewing cup 139A rotates the traverse arm 4 1 4 in the counterclockwise direction R about the rotating shaft 1 94, the inertia and resistance will cause the source electromagnetic tube assembly 420 to be tilted in the reverse direction. & M > The ten-way wraparound pivot 419 is rotated until the bumper 416 fixed to the source solenoid assembly 420 is sprayed on the side of the cross arm 414. In this processing configuration or electromagnetic f processing position, the source electromagnetic tube assembly is at a radially outward position near the edge of the dry material 132, such that the source electromagnetic tube assembly 420 can be ridiculously visibly visibly. Also & plasma The substrate 1〇5 is sputter deposited or sputter-etched. This position refers to the "outward" position of the solenoid or the first position. Alternatively, as shown in the top plan view of FIG. 4C, when the rotating axle ship rotates the cross arm 414 counterclockwise to the rotating shaft 194, the inertia and resistance will cause the source electromagnetic tube assembly 42 to know the clockwise direction around the pivot 419. Rotate until the bumper 417 fixed to the source solenoid assembly aligns with the other side. In this configuration, the source solenoid assembly side is placed in its inwardly facing position away from the edge of the trim 132 and adjacent the spindle 194 such that the source solenoid assembly 420 can be electrically connected to the center of the dry material [to clean this area. This position refers to the electromagnetic tube, inward, position or second position. In some embodiments the 'source solenoid assembly is an unbalanced solenoid. In the embodiment, the relative imbalance is small and close. Generally, the 'unbalance' is defined as the total magnetic field strength throughout the outer magnetic pole 412 or 23 201104004 magnetic flux divided by the ratio of the total magnetic field strength or magnetic flux throughout the inner magnetic pole 422. It has been found that maintaining the imbalance between the external and internal field strengths between about 0.5 and about j5 improves the RF deposition process of the multicomponent film. In one embodiment, the ratio of the outer field to the inner field strength imbalance is from about 18:17 to about 20:16. The magnetic field imbalance causes the outer magnetic pole 42 1 to emit ___ _ ^ ^ ^ 105 and direct the ionized sputter particles to the substrate ι 5 . Since the source solenoid assembly 420 extends over a wide target area, the plasma zone "p" can be enlarged and the overall plasma strength produced by the RF and DC power delivery to the target 132 can be reduced. However, the source solenoid assembly 420 produces a higher density of electrical energy in the plasma region "p" than the portion of the target 132 that is not directly adjacent to the source solenoid assembly 42A. The dry material 132 is mainly damped in the source electromagnetic tube assembly 42, and the formed plasma acts as a fraction of the sputtered particle ionization domain. The ionized particles are at least partially guided by the unbalanced magnetic field to the substrate in the embodiment, as described above. As shown in Figures 4A and 4D, the source electromagnetic tube assembly 420 is again in accordance with the non-closed query <4<4> In this configuration, the non-closed F-closed σtan road design is curved, and its radius D (4th and 4D circles) extends from the center of the grasper seven to the center of the gap 426. S is set in the first position ^ ^ L electromagnetic e 'curve adjustable size and sigh to make the arc radius D, 0, your silk soil in the middle of the 四 (four) ~ with the center of the shaft 194 extend. In one embodiment, the arcuate 〇 ^ , + 仏 is , 7.3 吋 (185 mm) to 8.3 pairs (21 〇 mm), and the target is about 17.8 吋 (454 mm). In one embodiment, the arc is a roundness of 4D KM, an angle of about 7 〇 to about 180 degrees 厪 441 (Fig. 4D), for example about 13 axes 194 to a pivot 419 ... - in the embodiment It is about the radius D of the arc. In an embodiment, the outer magnetic pole 42 1 and the inner magnetic pole 422 each include a plurality of magnets 423 arranged in an array pattern on each side of the gap 426 and covered by the pole piece 429 (Fig. 4A). In one configuration, the north pole (N) of the magnet 423 of the outer magnetic pole 42 is disposed away from the rotating plate 413, and the south pole (S) of the magnet 423 of the inner magnetic pole 422 is disposed away from the rotating plate 413. In some configurations, a yoke (not shown) is disposed between the magnet of the inner and outer magnetic poles and the rotating plate 413. In one example, the source electromagnetic tube assembly 420 includes an outer magnetic pole 42 1 having 18 magnets and an inner magnetic pole 422 having 17 magnets, wherein the magnet 423 is composed of a samarium alloy, a rare earth material, or the like. . In one embodiment, the magnets 423 are each configured to generate a magnetic field having an intensity at or near the tip of about 1.1 angstroms to about 23 angstroms. In one embodiment, the gap 426 and the outer magnetic pole 421 and/or the inner magnetic pole 422 are uniform across the width of the arc. In one embodiment, the width of the arc is from about 1 to about 1.5 and is *(38.lmm). It was found that sputter deposition uniformity can be improved if the source electromagnetic tube assembly 42 is disposed radially outward of the target 132. However, if the primary sputtering occurs in the outer annulus of the target Π2, some of the sputtering target atoms may be redeposited inside the target 132. The relative sputtering rate away from the source electromagnetic tube assembly 42 is small, so the redeposited material will accumulate toward the rotating shaft 194. If the redeposited film is significantly thickened, it may peel off and form significant particles, so that the deposition is deteriorated to the base. The quality of the film on the material 105 and the quality of any device in the vicinity of the particles falling from the middle of the target 132. Therefore, in a configuration, as shown in Fig. π, the steering of the shaft 93A is controlled by the controller j9. The command sent is changed to cause the source solenoid to rotate, and the member 420 is rotated about the pivot 412 to the position of the material adjacent to the enhanced shot material. In one configuration, the centered source electromagnetic tube assembly 420 allows plasma to be generated in the vicinity and/or beyond the center of the target 132 to remove the redeposited material deposited thereon. Further, as described below, another element on the region outside the target surface 133 that forms the electromagnetic tube "track" or erodes the groove 916 (Fig. 1) will preferentially redeposit a sputtering element so that the surface of the substrate The material composition of the exposed regions will vary with time, so the redeposited material on the uncoated surface will affect the composition of the sputter deposited layer on the substrate. The area outside the 'track' generally includes an area outside the erosion trench 916, such as the central area 91 8 and the target outer edge area 920. Compared to the simple DC generating electro-convergence, the carbon-emitting electromagnetic tube produces an erosion groove 91 6 The area will cause more problems for RF-generated plasma, because it is easier to uniformly generate plasma across the surface of the target due to the delivery of RF energy to the target. Figure 4E shows an alternative embodiment of the electromagnetic tube system [89], where the outer magnetic pole 424 The inner magnetic pole 425 constitutes a closed loop electromagnetic tube that is concentrated around the center "M" of the target 132. In one embodiment, a radially symmetrically shaped electromagnetic tube design is used, which is an unbalanced and non-magnetic symmetric closed loop electromagnetic The tube can be used to deposit a film using RF and DC plasma. In one embodiment, the magnet 423 disposed on the outer magnetic pole 424 and the inner magnetic pole 425 is symmetrically distributed around the first axis 491 and asymmetrically distributed on the second axis. 492. In one embodiment, 'where the outer magnetic pole 424 and the inner magnetic pole 425 are along the first axis 491, the outer magnetic pole 424 and the inner magnetic pole 425 are outside and inside; the % intensity imbalance is about 0 5 to about 1. 5. Another reality in the design of an unbalanced closed loop In the embodiment, where the first magnetic pole 424 and the inner magnetic pole 425 are between the first shaft 491 and the outer magnetic pole 424 and the inner magnetic pole 425, the field-to-field strength imbalance ratio is about 18:17 to about 2 2011. 16: Note that the magnetic field imbalance between the inner magnetic pole and the outer magnetic pole is different from the asymmetry of the magnet 423 with respect to the second axis 492, since the imbalance is related to the field generated between the magnetic poles, and the asymmetry is with the surface of the target. The different regions exist or vary in the average magnetic field strength. In this configuration, the unbalanced closed loop electromagnetic tube is used to create an annular plasma region "PR" that is located near the center of the gap 427. The area of the electromagnetic tube system 189 above the second axis 492 (Fig. 4) or the area of the processing zone having the maximum density of the magnet 431 has a plasma density that is generally greater than the area of the electromagnetic tube system 189 under the second axis 492 or has a minimum magnet density. Or the area where there is no magnet has a high electrical density. Although the outer magnetic pole 424 and the inner magnetic polarization pole w 425A are respectively circular and magnetically conductive, the magnetic field generated between the magnetic poles along the -# 491 in the second axial bottom region will be significantly larger than the magnetic pole. - The magnetic field generated by the shaft 491 in the region above the second axis 492 is small. In the example, the magnetic field strength between the outer magnetic pole 424 and the inner magnetic pole along the first axis 91 along the first axis 192 & the outer magnetic pole and the inner magnetic pole 425 along the first axis 491 on the second axis 192 The magnetic field strength at the top is several orders of magnitude, or even almost zero. In this configuration, the electrons near the less magnetized region (such as the half-section segment in the second axis of Figure 4E) are more likely to escape the closed loop formed between the inner and outer magnetic poles and radially toward the center of the dry material. "M,, move. Escape electrons help to increase gas ionization near the central region of the target to improve target utilization. In one embodiment, the 'electromagnetic tube has an inner diameter of 65 „f and an outer diameter of Μ Time. Electricity 27 201104004 The magnetic tube spins on the substantially central axis above the material and the chamber; in one embodiment, it is configured to rotate about the center "M" by the motor 193 during processing. The substrate deposition process is controlled in one embodiment of the processing chamber to 100. The impedance controller 14 1 (Fig. 1A) is coupled to the electrode and RF is grounded to adjust the bias voltage on the substrate during processing to control the base. The surface of the material is the degree of impact. In one embodiment, the electrode is disposed adjacent the substrate receiving surface m of the support member 126 and includes an electrode 126A. In a pvd reactor, the bombardment of the substrate surface by controlling the grounding impedance of the electrode will affect the step coverage, overhang geometry and deposited film properties (eg grain size, film stress, crystal orientation, film density, raw sugar). Degree and film composition). Therefore, the impedance controller i4i can be used to change the deposition rate 'single rate, even the multi-component film composition of the substrate surface. In one embodiment, the impedance controller i4i appropriately adjusts the ground impedance of the electrode' substrate to actuate or prevent deposition or etch. Figure 6 illustrates an embodiment of an impedance controller 141 that includes a variable capacitor conditioning circuit with a feedback circuit for controlling the properties of a deposited metal or non-metal layer on a substrate. As described below, the variable capacitor adjustment circuit can be automated for a given period of time during the - or multiple stages of the pvD deposition fabrication method step. The actual impedance set point can be adjusted based on the measured current or voltage, or by some user defined setpoints, such as the full scale capacitance percentage of the variable capacitor. The set point depends on the substrate processing result to be achieved. Referring to FIG. 6 'the impedance controller 141 includes a variable capacitor 61 〇, 28 201104004 input 616, a selective output circuit 618, a selective inductor 62 〇, a selective resistor 62 interface 622, a processor 624, and a motor controller. 626 and motor 628. Motor 628 is preferably a stepper motor that attaches variable capacitor 61A in a manner that changes the capacitance of variable capacitor 610. Inductor 620 can be selectively added and can substantially slow or compensate for inductance differences caused by different cable lengths of impedance controllers i 4 and electrodes i 26 A provided by different chambers. Adding an inductor 62〇 facilitates recalculating the impedance control set point without the need for cluster tools 1〇3(4) a different chamber position and configuration. Again, output circuit 618 is selective and determines the substrate bias voltage during processing. Inductive and can include an inductor to measure the voltage sensor or current sensor variable capacitor. The sensor is used to provide feedback to control the motor and control the operating set point of the 6 10 . If there is a set output circuit 6^8, a feedback signal can be provided to the interface 622. The beta interface 622 provides a feedback signal to the processor 624 and the controller 19A. Processor 624 can be a dedicated n$ way, or a microprocessor or microcontroller base circuit. The variable capacitor 610 is set to adjust the ground impedance to adjust the interaction between the ions and the substrate during processing. Variable capacitor 610 is coupled to input 616' which couples electrode 126A. In one embodiment, input 616 is coupled via a coupling electrode 126A. The components may also be provided in the sixth or more additional components (e.g., selective inductor 62A). Other aspects of the circuit are understood in accordance with various aspects of the present invention. In the example - the capacitance of the variable capacitor 610 is 'force 50 picofarad (pF) to about i 〇〇〇 pF, and the inductance of the selective inductor 620 is about 0.26 microhenry _. 29 201104004 Interface 622 can also receive signals from motor controller 626. The processor 624 controls the Marin controller 626, which controls the motor 628 based on the signals and information received from the sensor output. Motor controller 626 causes motor 628 (preferably a stepper motor) to step through its position to vary the capacitance of variable capacitor 61 依 according to the model control signal and sensor output function. According to one aspect of the invention, the impedance controller 141 is disposed within a housing 605 that is disposed in the processing chamber 1A. Mounting the impedance controller 141 in the processing chamber to 100 makes it easier and more efficient to control the bias voltage on the substrate. The processor 624 can also be a special purpose interface circuit. The primary purpose of interface circuit or processor 624 is to control motor controller 626 based on input received from a sensor (e.g., voltage sensor 662 or current sensor 663 attached to a portion of the impedance controller 141 described above). If the processor 624 specifies a predetermined bias voltage set point, the motor controller 626 controls the generation of the valley to reach the set point. For example, if processor 624 controls the substrate bias voltage based on the voltage measured by impedance controller 141, motor controller 626 controls motor 628 based on the output of voltage sensor 662 to maintain the circuit at a constant voltage. In another example ten, if the processor 624 controls the substrate bias based on the current measured in the impedance controller (4), the motor controller 626 controls the motor to maintain a constant current through the circuit. Any of the known types of electric dust sensors can be employed in accordance with various aspects of the present invention and can be coupled between the processing chamber side of the variable capacitance stomach 61〇 and the ground. Similarly, any known type of current sensor (not shown) can be utilized in accordance with various aspects of the present invention. The electric sensor and the 30 201104004 current sensor are well known for this technique. Lower Process Kit and Substrate Support Assembly Referring to Figures 1A and 5A, the lower process kit 165 includes a deposition ring 5〇2 and a cover ring 170. The deposition ring 502 generally surrounds the branch member 126 in a ring or loop. The cover ring 170 at least partially covers a portion of the deposition ring 5〇2. The deposition ring 502 and the cover ring 170 cooperate to reduce sputter deposits formed on the peripheral edge 129 of the support member 126 and the overhanging edge 105A of the substrate 1〇5 during processing. A cover ring 170 surrounds and at least partially covers the deposition ring 5〇2 to receive and thereby shield the deposition ring 502 from sputter deposits. The cover ring 17 is made of a material that is resistant to splash plasma, such as a metallic material (such as stainless steel, titanium or aluminum) or a ceramic material (such as alumina). In the embodiment, the cover ring 1 is composed of a stainless steel material. In one embodiment, the surface of the cover ring 17 is treated by a twin wire aluminum arc spray (e.g., CLEANC0AtTm) to reduce particulate detachment from the surface of the cover ring 170. In one embodiment, the deposition ring 5〇2 is fabricated from a dielectric material that is invaded by a splash-resistant electrical beam, such as a ceramic material, such as Oxide. The cover ring 170 includes an annular ring 51〇 that includes a top surface 573 that slopes radially inwardly and surrounds the support #126. The top surface (7) of the annular ring 51 has an inner periphery 571 and a peripheral 516. The inner circumference 571 includes a rim π which is located radially inward of the inner open channel containing the deposition ring 502 to reduce the deposition of sputter deposits on the surface 5〇3 and the edge 572 of the deposition ring 502.卜 $ The wooden dog is sized, shaped and arranged along the 572 to cooperate and complement the curved gap to form a convolut between the cover ring (7) (10), and the narrow M of the ring is only inhibiting the treatment of sediment 31 201104004 Flow To the standard member 1 2 6 and the platform housing 1 2 8 . The top surface 573 can be tilted from about 1 degree to about 2 degrees from horizontal. The angle of the top surface 573 of the cover ring μ is designed to minimize the accumulation of deposits on the overhanging edge 105A (4) of the substrate 1G5 which will adversely affect the particle properties obtained throughout the substrate 1〇5. The cover ring may comprise any material that is compatible with the process chemistry, such as titanium or, in the case, a cover ring W. The outer diameter is about 15.5 one minute (four): Yes (40. - In one embodiment, the height of the cover ring 17A is about i pairs (2.5 cm) to about 1.5 吋 (3.8 cm). The spacing or gap 554 between the floor 561 and the cover ring 17 turns into a convoluted S-shaped path or a tortuous path to feed the slurry. This way of shape is beneficial [for example, because it can hinder and hinder the entry of the Kyro species into this Area, reducing undesired deposition of sputter material. In an embodiment, as shown in Figure 5A, during processing, the cover ring 170 is designed and positioned relative to the ground plane & 16 , so that it will not touch ground The shield 160 is electrically "floating", and in addition, in one embodiment, the cover ring (7) and the deposition ring 5G2 are placed away from the substrate ig5 - the distance < under the substrate receiving surface 127 of the support member 126, The electric field "E" generated by the RF and/or DC power delivered to the tappet 132 during processing is more evenly spread over the surface of the substrate. The letter is at the different stages of the RF power half cycle 'electrical floating surface (eg The surface of the cover ring 170 will be bombarded by electrons' so that the edge of the base #1〇5 is nearby The RF electric field of the domain is uniform. When the power source 181 is on the top surface 573, the average DC potential of the surface 573 of the top surface 573 is high. 32 201104004 will occur as a hit. Therefore, in the embodiment, the period It is ensured that the deposited film layer formed on the upper surface of the cover ring i7〇 has no electronic grounding path and is away from the edge 105A of the substrate 1〇5. In an example, the inner circumference 571 of the cover ring is spaced apart from the substrate 1〇5. The edge 1〇5A is at least 吋5吋 (12 setting. In another example, the inner circumference 571 of the cover ring 170 is about 0.5 吋 (12.7 mm) to about 3 吋 (76 2 mm) from the edge 105A of the substrate 1〇5. For example, the edge of the substrate 105 is 1〇5 八约约 (25 4 mm). It has been found that an electrically floating surface (such as the surface of the cover ring 丨7〇) is placed on the exposed surface of the substrate 105 or the substrate receiving surface. The upper portion of 127 undesirably affects the uniformity of the deposited film throughout the substrate 105. Figure 5B illustrates the conventional process kit construction 'where the inner circumference 57ia and the top surface SWA of the conventional cover ring 17A are placed on the substrate. The surface 127 and the surface of the substrate 1〇5 are above 1〇5B. It is found that in these cases, the sink near the edge of the substrate 1〇5 The layer becomes thinner. The decrease in deposition near the edge of the salty substrate is 1〇5Α because the plasma more often interacts with the surface of the process set above the substrate surface 105B, resulting in more ion deposited film deposited on the cover ring 170. The top surface 573. In one embodiment, the cover ring 170 and the deposition ring 5〇2 are disposed below the substrate receiving surface 127, as shown in the extension line "τ" of Figure 5A. The ring and deposition ring 502 is placed under the substrate receiving surface 127 (e.g., the extension line "D"). _·2吋 (5_ 广应 Note that although the first A_6 diagrams all describe the substrate receiving surface 127 under the tall material 132 The cover € 170 and the deposition ring 5〇2 are located below the substrate receiving φ 127, but this vertical orientation configuration does not limit the scope of the invention, but merely defines the relative order and/or distance of the components as a reference frame. In some embodiments, the substrate is received from 127 to be positioned relative to 33 201104004 to other orientations (eg, upward, horizontal alignment), and the cover ring and deposition ring 502 are still at a distance from the target 132 that is still greater than the substrate receiving surface 127. The distance from the material 1 3 2 is far. In another embodiment, it is ensured that the deposited film layer (which is composed of a dielectric material) formed on the surface 5〇4 of the deposition ring 5〇2 has no electronic ground path to avoid an electric field in the vicinity of the substrate edge 051 A. Change over time (such as process kit life). To prevent the deposited film layer of the upper surface 504 from electrically contacting the shield 160 and the cover ring 170, the flange 572 of the cover ring 17 is sized, shaped, and disposed to prevent deposits on the deposition ring 5〇2 from bridging the cover ring 170. The deposited layer and the path to avoid forming the connection shield 160. The components of the lower process kit 165 operate separately and in combination to effectively reduce particulate generation and stray plasma. Compared to the existing multi-piece shield, it provides an extended RF return path to promote RF harmonics and generate stray plasma outside the process cavity. The above single shield reduces the RF return path to enclose the plasma inside. Processing area. The single-piece shielded flat bottom plate provides an additional shortened return path for RF to pass through the pedestal to further reduce harmonics and stray plasma' as a platform for existing grounded hardware. Referring to Figure 5A, in one embodiment, the base assembly 12 further includes a base grounding assembly 530 adapted to ensure that the bellows 1 24 is grounded during processing. If the bellows 124 reaches an RF potential different from that of the shield 丨6〇, it will affect the plasma uniformity and cause arcing in the processing chamber, thereby affecting the nature of the smear layer, generating particles and/or affecting the process uniformity. Sex. In one embodiment, the base grounding assembly 53A includes a flat plate 53A that includes a conductive spring 532. When the lift mechanism 122 moves the base assembly 12 toward the direction "v" 34 201104004 toward the processing position (as shown in Figure 5A), the conductive spring 532 and the plate 531 are configured to electrically contact the surface of the shield 16 turns. When the lifting mechanism 122 moves the base assembly 12G in the direction, v" to the transfer position (as shown in the iA@@m), the conductive spring 53 2 is disengaged from the shield i 60. The details of the present invention propose to form an integrated body. Apparatus and method for circuit components (e.g., cm〇s-type integrated circuits). Embodiments of the invention may also be used to form various semiconductor components, thin film transistors, etc. In an embodiment, the apparatus is adapted to form 胄k/ The metal gate type structure (especially, the rear gate method) B is used for metal deposition. The general principle of the present invention is applied to deposit various metals and compounds such as tungsten (W) and tungsten nitride (WN). , Titanium (Ti), Titanium Nitride (TiN), Titanium (7) alloy carbonization (Tao, Nitrided (HfN), Finished (fusi) 7 and Ming () in the example, using the The embodiment is beneficial for depositing a layer containing at least different elements, such as a composition of a 5 〇 5 〇 alloy. The layer 0 becomes smaller and smaller, especially the element product formed at 45 nm. There must be good film step coverage within the body circuit structure to be functional semi-conducting Different device components are formed in the body components, such as intermetallic poles, contacts, and interconnect features. Various methods have been used to improve PVD step coverage, such as long dry materials, substrate spacing, and ionized metal plasma. ), Lishaw electromagnetic tube applies a strong magnetic field, and then (4). The embodiment of this month especially twists the high-voltage process, combined with RF and DC sputtering and capacitance. In this configuration φ 甘 k is different from IMP, RF power It is directly applied to 35 201104004 to dry materials, not coils. Still pressure and RF power can produce high-density plasma near dry materials. Sputtering with high voltage and RF plasma, it is easier to ionize atoms or species passing through the plasma. Therefore, the ion/neutral particle ratio is effectively increased. In addition, many collisions occur when atoms or species approach the substrate under high pressure, which helps to reduce the energy of the species in the vertical direction (vertical substrate surface) and enhance it in parallel. Moving in the direction of the substrate. Unlike the IMP, since the species is ionized near the dry material and is not accelerated and/or guided by the electromagnetic field as in the IMP process, compared to the IMP type process (such as induction coil), the RF sink The integrated process provides better sidewall coverage. In addition, the plasma tends to form a distance away from the substrate, which helps to reduce plasma damage, so the method is suitable for contacts, metal gates, and other front-end applications. Includes methods to improve film uniformity and step coverage of the deposition process. Other advantages of this process include no bottom coverage asymmetry and a small bottom coverage and structural size dependence. Although the following mainly describes the metal gate formation process, The scope of the present invention is not limited to this configuration. Embodiments of the present invention are capable of depositing a metal having a predetermined work function for a 咼k metal gate, such as forming the aforementioned m〇sfet element, particularly for "replacement of a gate," or "Back gate, method. Metal with a predetermined work function, which is used in the same k-gate stack structure as an alternative to adjust the threshold voltage semiconductor components. The work functions of different materials, including metals, are quite diverse and can be selected according to the needs of special semiconductor components such as CM〇s semiconductor components.

此外,相較於傳統PVD製程,多個實施例能使用RF 36 201104004 月t*里進行濺射,以減少對基材的破壞。多個實施例還能 善用高電子圍阻優勢,以利用電磁管的磁鐵和DC功率 控制目標侵蝕及使用RF能量產生擴散電漿(全面侵蝕)。 再者,實施例能降低沉積速率,以控制薄膜(1〇埃(人)或 以下)及濺射介電材料(如La〇x、Α1〇χ等p其他像丁^八丨小 等具潛力的新穎功函數材料可加以控制達到預定化學計 量和功函數。 除了用於降低成本之製造方法的簡單形式外,本發明 之實施例還提出用於良# RF目阻之連續路徑屏蔽和一 致的返回路徑。所述下輪廓蓋環和沉積環設計容許 RF-DC PVD源用於需有良好階梯覆蓋率且膜不均勻性很 小的高壓應用。基材支撐件包括電容調整,錢善沉積 膜性質和膜均勻性。可變電容器允許調整RF接地路徑的 阻抗’進而調整多種製作方法類型/條件的製程均勻性。 功函數金屬沉積用於小於45nm節點之m〇sfet元件 (如CMOS金屬閘極)的取代開極應用時,沉積膜於具乃 奈米(―小至12nm、深寬比2 5 5之頂部開口的特徵社 構需有良好階梯覆蓋率(底部厚度/場區厚度)。灯七C PVD腔室做為形成,,先閘極,,應用—般是在低麼(約2毫托 耳)進行’以於基材的場區沉積高度均勻的膜、但非 徵結構中。低壓沉積膜的階梯覆蓋率不佳,其為15_2〇0/ 等二為達高階梯覆蓋率,例如,,取代間極,,方式一 75/〇或以上,可採用高壓製程。 第7A-7H圖繪示處理期間之_電晶體的截面, 37 201104004 例如CMOS電晶體700。CMOS電晶體700包含閘極介 電層、閘極金屬層和沿著閘極壁面的不同功函數金屬, 例如p金屬和η金屬。圖式繪示一其上設置閘極介電層 和閘極金屬層的基材。側壁間隔物顯示鄰接閘極介電層 和閘極金屬層的垂直側壁。本發明之實施例可用來形成 第7Α-7Η圖MOSFET電晶體的閘極堆疊結構。 第7Α-7Η圖為利用本發明實施例形成之m〇sfet的截 面圖’例如CMOS電晶體700。第7A_7H圖繪示形成 CMOS電晶體的後閘極方式。第7A圖顯示具基材7〇2 之CMOS電晶體700,内有依已知方法形成的淺溝槽隔 離(STI)704。高遷移率介面層7〇6形成在基材表面上並 覆蓋STI 704,接著形成高k介電層708於層7〇6上。如 第7B圖所示,多晶矽層710沉積在基材和層7〇6、7〇8 上。如第7C圖所示,多晶矽710經微影處理及蝕刻形成 待形成閘極結構7 11的區域。 在各種後續步驟中,間隔物717、矽化物716、應力氮 化層714和源極/汲極區712依此技藝已知方法形成在基 材上。前金屬介電層718形成在其餘層上且研磨成第7D 圖幾何形狀。如第7E圖所示,多晶矽閘極結構71丨接著 經蝕刻形成溝槽720。然後,如第7F圖所示,摻雜之金 屬閘極沉積於溝槽720中,例如p金屬閘極723和n金 屬閘極722。如第7G圖所示,閘極結構接著填充金屬 724。最後’研磨基材而於基材7〇2上形成金屬閘極η: 本發明之實施例尤其有益於形成高k金屬閘極,特別是 38 201104004 具金屬合金的金屬閘極。 第1A-6圖為根據本發明實施例之rf dc pvd腔室1 〇〇 的各種視圖。RF-DCPVD腔室100容許高壓濺射金屬薄 膜而形成閘極堆疊,例如利用第7A_7H圖所述之後閘極 方式。腔室包括具局部匹配網路之RF源,以利用RF能 量濺射靶材材料》電磁管有助於控制膜均勻性,附加Dc 連接乾材則可加強侵姓和均勻性控制。 靶材形狀也會影響電漿分布,以致影響膜均勻性。根 據本發明實施例可採用各種靶材幾何形狀,例如平坦、 截錐(frustum)或凹面形。戴錐形靶材有較厚邊緣且中半 徑處有較高凸塊。凹面形靶材傾向把電漿聚焦於靶材中 心,故有較厚的中心且中半徑處有較小凸塊。在一實施 例中,靶材減少微量金屬污染物,且使用6〇6丨鋁合金背 板。在一實施例中,多重成分靶材用於處理腔室1〇〇 , 其中多重成分靶材包含具有至少二不同元素的材料。在 一實施例中,多重成分靶材為TiA1合金靶材,在本發明 之不同實施例中’組成比為丨:1、3 : 1或丨:3。組成 比1 : 1之多重成分TiAl靶材能有效阻障A1填充,故可 避免在尚溫下形成T1AI3。若Ti和μ為個別沉積且可取 得過量A卜則將形成TiAl3 » 多重成分靶材對具有預定厚度均勻性、組成均勻性、 Rs均勻性、組成比、階梯覆蓋率、底部覆蓋率、懸伸等 的濺射膜帶來空前的挑戰。不同組成(如多线分靶材的 元素)基於電衆性質、元素質量、輕材中的元素鍵結與晶 39 201104004 體結構和其他變數有不同濺射結果。因靶材的各種成分 濺射率不同,故出自相鄰電漿的離子及/或中性粒子來轟 擊多重成分固體表面,可改變靶材表面的化學組成。第 8及9圖進一步說明這些課題。 第8圖繪示具不同質量m 1、m2之組成的彈性碰撞和 散射情形。圖式800繪示靜止的粒子m2遭另一具有質 量m 1的粒子碰撞作用,例如出自電漿的Ar+離子。圖式 802.繪示二移動粒子m卜m2碰撞,並因碰撞而散射的情 形❶從更大規模看來’濺射組成在腔室内的一般散射分 布或減射分布特徵可以餘弦分布、低餘弦(under c〇sine) 分布或過餘弦(over cosine)濺射分布描繪。第9圖繪示多 重成分靶材906的元素濺射分布900或通量分布。例如, 在本發明之一實施例中,若多重成分靶材9〇6為鈦鋁 (TiAl)靶材,則各組成材料的濺射分布將大不相同。相較 於鈇(質量=48)和氬(質量=4〇),鋁是較輕的原子(質量 =27),因此其從靶材表面的通量分布將不同於鈦。 發現從電毁加速在乾材的氬離子(Ar+)將碰撞銘原子 而產生低餘弦902之通量分布或激射分布。反之,當Ar+ 離子碰撞靶材906之鈦原子時,其濺射分布特徵更近似 過餘弦分布904。故相較於鈦原子,鋁原子比起垂直行 進、更傾向水平行進。㈣子散佈更分散,導致許多铭 原子喪失於屏蔽、而非基材。但因低餘 基材中心處的Μ略多。隨著壓力增加沉積速率布亦斤須致提 兩’因有更多將散射至屏蔽。 201104004 靶材元素的不相等濺射分布將造成濺射於腔室内之基 材上的膜有不均勻的組成性質。例如,未補償靶材906 之二組成成分的不相等分布比時,鋁的低餘弦濺射分布 會造成基材周圍區域有大量鋁,鈦的過餘弦濺射分布則 導致基材中心區有大量鈦。 增加腔室壓力還會影響濺射組成的散射分布。提高壓 力將造成更多铭散射’因其質量比鈦輕、加上其與電漿 中的能量離子和中性粒子相互作用。處理期間,再濺射 也會影響膜性質和靶材組成《出自沉積膜的原子從膜再 濺射到基材的另一位置、或甚至回到處理區和周圍部件 上,例如屏蔽或靶材。使用多重成分靶材的至少一挑戰 為沉積具均勻組成比之膜遍及基材表面、及達到預定的 整體階梯覆蓋率。 使用多重成分靶材的另一挑戰為隨時間改變靶材的組 成材料比。靶材表面的化學組成改變即形成已知為改變 分優先自表面移除,闵而曾π & & ....... 一 層的區域。一旦開始轟擊表面, 具最高濺射率的組成成In addition, multiple embodiments can be sputtered using RF 36 201104004 month t* to reduce damage to the substrate compared to conventional PVD processes. Various embodiments can also take advantage of the high electron containment to control the target erosion using the magnet and DC power of the electromagnetic tube and to generate diffusion plasma (total erosion) using RF energy. Furthermore, the examples can reduce the deposition rate to control the film (1 Å (human) or below) and sputter dielectric materials (such as La 〇 x, Α 1 〇χ, etc. The novel work function material can be controlled to achieve a predetermined stoichiometry and work function. In addition to the simple form of the manufacturing method for cost reduction, embodiments of the present invention also propose continuous path shielding and uniformity for good #RF mesh resistance. Return path. The lower profile cover ring and deposition ring design allows the RF-DC PVD source to be used in high voltage applications where good step coverage and film non-uniformity are required. Substrate support includes capacitance adjustment, Qianshan deposition film Properties and film uniformity. Variable capacitors allow adjustment of the impedance of the RF ground path' to adjust process uniformity for a variety of fabrication method types/conditions. Work function metal deposition for m〇sfet components less than 45nm node (eg CMOS metal gate) In the case of the replacement open-pole application, the deposited film is required to have a good step coverage (bottom thickness/field thickness) in a characteristic structure with a nanometer opening (the opening to the top of the aspect ratio of 25 nm and aspect ratio 255). The seven-C PVD chamber is formed as a first gate, and the application is generally performed at a low level (about 2 mTorr) to deposit a highly uniform film in the field of the substrate, but in a non-existing structure. The step coverage of the low-pressure deposited film is not good, and it is 15_2〇0/etc. to achieve high step coverage, for example, instead of inter-pole, and mode 75/〇 or above, high-pressure process can be used. 7A-7H The figure shows the cross section of the transistor during processing, 37 201104004, for example CMOS transistor 700. CMOS transistor 700 comprises a gate dielectric layer, a gate metal layer and different work function metals along the gate wall, such as p metal And η metal. The figure shows a substrate on which a gate dielectric layer and a gate metal layer are disposed. The sidewall spacers show vertical sidewalls adjacent to the gate dielectric layer and the gate metal layer. Embodiments of the invention A gate stack structure that can be used to form a 7th-7th MOSFET transistor. Figure 7-7 is a cross-sectional view of a m〇sfet formed using an embodiment of the present invention, such as a CMOS transistor 700. Figure 7A-7H shows the formation of a CMOS The rear gate mode of the transistor. Figure 7A shows the C with substrate 7〇2 The MOS transistor 700 has a shallow trench isolation (STI) 704 formed by a known method. The high mobility interface layer 7 is formed on the surface of the substrate and covers the STI 704, and then forms the high-k dielectric layer 708. On layer 7〇6, as shown in Fig. 7B, a polysilicon layer 710 is deposited on the substrate and layers 7〇6, 7〇8. As shown in Fig. 7C, the polysilicon 710 is subjected to lithography and etching to form a gate to be formed. The region of the pole structure 7 11. In various subsequent steps, the spacer 717, the telluride 716, the stress nitride layer 714, and the source/drain region 712 are formed on the substrate by methods known in the art. Layer 718 is formed on the remaining layers and ground into a 7D figure geometry. As shown in Fig. 7E, the polysilicon gate structure 71 is then etched to form trenches 720. Then, as shown in Fig. 7F, the doped metal gate is deposited in trench 720, such as p metal gate 723 and n metal gate 722. As shown in Figure 7G, the gate structure is then filled with metal 724. Finally, the substrate is ground to form a metal gate η on the substrate 7〇2: Embodiments of the present invention are particularly advantageous for forming high-k metal gates, particularly 38 201104004 metal gates with metal alloys. 1A-6 are various views of an rf dc pvd chamber 1 根据 in accordance with an embodiment of the present invention. The RF-DCPVD chamber 100 allows high voltage sputtering of a metal film to form a gate stack, for example, using the gate mode described in the 7A-7H diagram. The chamber includes an RF source with a locally matched network to utilize RF energy to sputter the target material. The electromagnetic tube helps control film uniformity, and the addition of Dc to the dry material enhances the aggression and uniformity control. The shape of the target also affects the plasma distribution, which affects film uniformity. Various target geometries, such as flat, frustum or concave, may be employed in accordance with embodiments of the present invention. The tapered target has a thicker edge and a higher bump at the mid-half. Concave shaped targets tend to focus the plasma at the center of the target, so there is a thicker center and smaller bumps at the mid-radius. In one embodiment, the target reduces trace metal contaminants and uses a 6〇6丨 aluminum alloy backing plate. In one embodiment, a multi-component target is used to process a chamber 1 , wherein the multi-component target comprises a material having at least two different elements. In one embodiment, the multicomponent target is a TiAl alloy target, and in a different embodiment of the invention the 'composition ratio is 丨: 1, 3: 1 or 丨: 3. The TiAl target with a composition ratio of 1:1 can effectively block the A1 filling, so that T1AI3 can be prevented from forming at room temperature. If Ti and μ are deposited separately and excess A can be obtained, TiAl3 » multi-component target pair has predetermined thickness uniformity, composition uniformity, Rs uniformity, composition ratio, step coverage, bottom coverage, overhang. Sputtered films such as the other bring unprecedented challenges. Different compositions (such as multi-line target elements) have different sputtering results based on the nature of the electrons, the quality of the elements, the bonding of the elements in the light material, and the crystal structure and other variables. Since the sputtering rate of various components of the target is different, ions and/or neutral particles from adjacent plasmas can bombard the multicomponent solid surface, and the chemical composition of the surface of the target can be changed. Figures 8 and 9 further illustrate these topics. Figure 8 shows the elastic collision and scattering of components of different masses m 1 and m 2 . Graph 800 depicts the stationary particle m2 being collided by another particle having mass m1, such as Ar+ ions from a plasma. Figure 802. depicts two moving particles m b m2 collision, and scattering due to collision ❶ from a larger scale 'sputtering composition of the general scattering distribution or degradation distribution characteristics in the chamber can be cosine distribution, low cosine (under c〇sine) distribution or over cosine sputtering distribution depiction. Figure 9 illustrates the elemental sputter distribution 900 or flux distribution of the multi-component target 906. For example, in one embodiment of the present invention, if the multiple component target 9〇6 is a titanium aluminum (TiAl) target, the sputtering distribution of each constituent material will be greatly different. Compared to yttrium (mass = 48) and argon (mass = 4 angstroms), aluminum is a lighter atom (mass = 27), so its flux distribution from the surface of the target will be different from titanium. It was found that the argon ion (Ar+) accelerated from the electrical destruction in the dry material would collide with the Ming atom to produce a flux distribution or lasing distribution of the low cosine 902. Conversely, when the Ar+ ions collide with the titanium atoms of the target 906, the sputtering distribution characteristics are more similar to the cosine distribution 904. Therefore, compared with the titanium atom, the aluminum atom tends to travel horizontally more than the vertical direction. (4) The sub-dispersion is more dispersed, resulting in many of the atoms lost in the shield, not the substrate. However, there are a lot of sputum at the center of the substrate. As the pressure increases, the deposition rate is also required to be lifted. Two more will scatter to the shield. 201104004 The unequal sputtering distribution of the target elements will result in non-uniform compositional properties of the film sputtered onto the substrate within the chamber. For example, when the unequal distribution ratio of the two components of the target 906 is not compensated, the low cosine sputtering distribution of aluminum causes a large amount of aluminum in the area around the substrate, and the cosine-sputtered distribution of titanium causes a large amount of the central region of the substrate. titanium. Increasing the chamber pressure also affects the scattering distribution of the sputtering composition. Increasing the pressure will result in more scattering—because its mass is lighter than titanium, plus its interaction with energy ions and neutral particles in the plasma. During processing, re-sputtering also affects film properties and target composition. "Atoms from the deposited film are re-sputtered from the film to another location on the substrate, or even back to the processing and surrounding components, such as shielding or targets. . At least one challenge of using a multi-component target is to deposit a film having a uniform composition ratio across the surface of the substrate and to achieve a predetermined overall step coverage. Another challenge in using multiple component targets is to change the compositional material ratio of the target over time. The change in the chemical composition of the surface of the target forms a region known as a change in priority from the surface, and has a layer of π && Once the surface is bombarded, the composition with the highest sputtering rate

驟來維持預定及/或均勻的靶材表 濺射多重成分靶材尚需特殊的處理步 均勻的乾材表面組成,以達預定踐射 41 201104004 膜組成。 附加DC功率至電漿亦會影響多重成分靶材的沉積膜 層性質。耦接靶材的DC功率產生靶材電壓和圍繞靶材 表面133的對應鞘。增加DC功率會加寬鞘,進而加速 Ar+離子並提供Ar+離子更多能量,此亦影響靶材表面之 濺射材料的方向性或通量分布(如餘弦分布)^提高施加 至靶材的DC電壓可改善形成於基材表面的膜組成比, 此乃因更多出自多重成分靶材的類似過餘弦濺射分布, 是以更多導向基材。電壓提高將引起中性沉積及增加離 子通量’其有助於j賤射物種的方向性。電壓越高,離子 越垂直把材面(即靶材之第一表面)進入靶材,而濺射物 種垂直靶材面離開靶材。 提高DC靶材電壓將銳化或使元素通量分布往過餘弦 分布位移,導致濺射物種較少散射。低靶材電壓(如3〇〇 伏特或以下)將引起更大散佈,提高DC和靶材電壓時(如 達約500伏特)’散佈縮減,並可改善組成比,此在某種 程度上是因散射量減少之故。就固定RF功率而言,Dc 功率增加,將使比率變小而近似1。乾材電位變高將造 成濺射角度更垂直表面,在二情況下,濺射分布更傾向 過度餘弦分布。又,增加DC功率,RF電漿中的離子與 中丨生粒子比會變小,因施加偏壓至基材,故增加DC功 率亦將減少基材表面再濺射。增加中性粒子通量通常不 會增加電漿中的濺射材料散射。 基材之特徵結構上的膜階梯覆蓋率將隨施予多重成分 42 201104004 乾材的DC功率增加而下降。增加Dc功率會引起更大的 中性粒子通量,此表示有效離子分率下降。因此,較少 濺射材料遭離子化,導致相較於場區的沉積量,抵達特 徵釔構底部的濺射材料量會減少。中性粒子通量分布在 能量和方向上可視為具實質等向性,往基材的離子通量 (即帶電粒子)加速通過基材偏壓電位,因而有更多引導 動能來改善階梯覆蓋率。 然即便大幅增加DC功率也可能只降低階梯覆蓋率 20/。。疋以仍需離子化適量金屬讓這些離子被基材吸引 並進入特徵結構。此外,因出自靶材的濺射材料的垂直 方向性增強,故基材上之沉積膜的鋁與鈦組成比亦隨著 DC功率增加而減少。 在一些情況下,因為施加偏壓至基材表面來再濺射 膜,藉由降低DC功率可改善底部覆蓋率。但基材表面 再濺射尚不利於組成比,導致其難以只透過DC功率控 制來調整。在本發明之一些實施例中,使用耦接多重成 分靶材132的DC源182來點燃電製。 輸送到DC驅動靶材的rf功率可降低總體靶材電壓及 提供對應鞘圍繞及支配DC功率誘導鞘。雖然RF_DC驅 動靶材有較厚的電漿鞘形成在靶材底下且靶材與電漿間 有較大的總體壓降,但電漿導電率將因電漿的離子濃度 增加而提高,如此靶材電壓以低至中等RF功率下降。厚 鞘更能加速氬離子(Ar+) ’因而提供更高濺射離子能量。 在一些情況下,附加RD功率造成的峰間電壓將進一步 43 201104004To maintain a predetermined and/or uniform target table Sputtering multiple component targets requires special processing steps. Uniform dry material surface composition to achieve a predetermined shot 41 201104004 Membrane composition. The addition of DC power to the plasma also affects the deposited film properties of the multi-component target. The DC power coupled to the target produces a target voltage and a corresponding sheath surrounding the target surface 133. Increasing the DC power widens the sheath, which in turn accelerates the Ar+ ions and provides more energy for the Ar+ ions, which also affects the directionality or flux distribution (such as the cosine distribution) of the sputtered material on the surface of the target^improving the DC applied to the target The voltage improves the film composition ratio formed on the surface of the substrate, which is due to more similar cosine-sputtering distribution from the multi-component target, which is more oriented to the substrate. An increase in voltage will cause neutral deposition and increase ion flux, which contributes to the directionality of the species. The higher the voltage, the more perpendicular the ions are to the target surface (i.e., the first surface of the target) into the target, and the sputter species perpendicular to the target surface leaving the target. Increasing the DC target voltage will sharpen or shift the element flux distribution over the cosine distribution, resulting in less scattering of the sputter species. Low target voltages (such as 3 volts or less) will cause greater dispersion, increasing the DC and target voltage (eg, up to about 500 volts) 'scattering reduction, and improving the composition ratio, which is to some extent Due to the reduced amount of scattering. In terms of fixed RF power, the increase in Dc power will make the ratio smaller and approximate one. A higher dry matter potential will result in a more vertical surface with a sputter angle, and in both cases, the sputter distribution tends to be excessively cosine. Further, by increasing the DC power, the ratio of ions in the RF plasma to the intermediate particles is reduced, and the bias is applied to the substrate, so that increasing the DC power also reduces the surface sputtering of the substrate. Increasing the flux of neutral particles generally does not increase the scattering of sputtered material in the plasma. The film step coverage on the features of the substrate will decrease with increasing DC power applied to the multiple component 42 201104004 dry material. Increasing the DC power causes a larger neutral particle flux, which indicates a decrease in the effective ion fraction. As a result, less sputtered material is ionized, resulting in a reduced amount of sputtered material reaching the bottom of the characteristic crucible compared to the amount deposited in the field. Neutral particle flux distribution can be considered as substantially isotropic in energy and direction, and the ion flux to the substrate (ie, charged particles) accelerates through the substrate bias potential, thus providing more kinetic energy to improve step coverage. rate. However, even a large increase in DC power may only reduce the step coverage rate of 20/. . The enthalpy still needs to ionize an appropriate amount of metal to allow these ions to be attracted to the substrate and into the features. In addition, since the vertical direction of the sputter material from the target is enhanced, the aluminum to titanium composition ratio of the deposited film on the substrate also decreases as the DC power increases. In some cases, the bottom coverage is improved by reducing the DC power by applying a bias to the surface of the substrate to resputter the film. However, re-sputtering of the surface of the substrate is not conducive to the composition ratio, making it difficult to adjust only by DC power control. In some embodiments of the invention, a DC source 182 coupled to a multi-component target 132 is used to ignite electrical. The rf power delivered to the DC drive target can reduce the overall target voltage and provide a corresponding sheath around and dominate the DC power induction sheath. Although the RF_DC drive target has a thick plasma sheath formed under the target and a large overall pressure drop between the target and the plasma, the plasma conductivity will increase due to the increase of the plasma ion concentration. The material voltage drops with low to medium RF power. The thick sheath is more capable of accelerating argon ions (Ar+)' thus providing higher sputter ion energy. In some cases, the peak-to-peak voltage caused by the additional RD power will be further 43 201104004

提高若干電激的離子能量。厚鞘會提高散射率,功率 增強電㈣子化,此有助於改善基材偏壓對沉積離子的 影響’進而改善臈的階梯覆蓋率。電漿離子化亦隨著RF 頻:提高而增強,以致提升電子移動,功率增加也會 提高氬離子能量,進而提高濺射率。 灯功率需維持最小功率,以提供改善賤射和膜性質的 程X尤,、疋改善膜的階梯覆蓋率。膜沉積期間的 RF功率設定為約i仟瓦(kw)至約3⑽,例如約咖 在另-實施例中,RF功率設定為約3 2kw。外加犯功 率至DC㈣頂部將改變乾材電M、散射和賤射率,因 而影響組成比。在-實施例中’靶材電壓為約300伏特 至約55G伏特’例如約52G伏特或約伏特。隨著輕 材電壓提高,A1 : Ti比隨之下降。高功率將產生高功率 密度,以致減少散射角度差,進而降低A1 : Ti比。又, 尚功率將提高邊緣效應,因此Rs均勻性變得更糟。 鑒於上述,本發明之實施例包括當RF電漿形成於處理 區no時,施加出自耦接多重成分靶材132之DC功率 源182的DC功率。在本發明之另一實施例中,DC功率 源設定為約450W至約2.5kW,RF功率源設定為約lkw 至約3.5kW。例如,在本發明之一實施例中,Dc功率源 和RF功率源均設定為約2kw。在本發明之又一實施例 中’ DC功率源設定為約2kw,RF功率源設定為約 3.2kW。更明確地說,在一實施例中,若乾材電壓為320 伏特,RF功率為約2kW且DC功率為約540W,此可提 5 44 201104004 供尚深寬比特徵結構良好的階梯覆蓋率。在另一實施例 中’若乾材電壓為500伏特且rf和DC功率為約2kW, 此可維持良好的膜組成比。 施加基材偏壓時,氬氣遭離子化使得濺射金屬變得更 離子化,如此RF驅動電漿可達某一點,藉以改善基材特 徵結構的底部覆蓋率。處理區的壓力下降時,底部覆蓋 率亦隨之降低,特別是壓力低於丨〇毫托耳的情況。低壓 將導致組成變得較像僅用Dc驅動電漿產生A1 : 丁丨比近 似1 : 1的情況,但降低階梯覆蓋率。除了高壓外,rf 功率亦有助於改善基材特徵結構的底部覆蓋率,尤其是 非常難達成適當底部覆蓋率的高深寬比特徵結構。 處理區的壓力一般視所用多重成分靶材類型、基材上 的形成特徵結構尺寸和預定膜性f而定。膜沉積期間的 腔至壓力可為約20毫托耳至約6〇毫托耳、或甚至達75 毫托耳,例如約22毫托耳、3G毫托耳或4()毫托耳,此 視腔室壓力引起的預定處理作用而定。在本發明之一實 施例中’ Αι·流率為約5〇 #準立方厘米每分鐘(咖⑴至 1〇〇SCCm,例如75sccm。腔室處理期間,閘閥147可— 全或部分打開。Increase the energy of several galvanic ions. Thick sheaths increase the scattering rate and power-enhanced electrical (four) sub-fabrication, which helps to improve the effect of substrate bias on the deposited ions' and thus improves the step coverage of the crucible. Plasma ionization also increases with increasing RF frequency, resulting in increased electron mobility, which increases argon ion energy and increases sputtering rate. The lamp power needs to be maintained at a minimum power to provide improved X-ray and film properties, and to improve the step coverage of the film. The RF power during film deposition is set to about i watts (kw) to about 3 (10), for example, in another embodiment, the RF power is set to about 32 kW. Adding the power to the top of the DC (four) will change the dry material M, scattering and radiance, thus affecting the composition ratio. In the embodiment the target voltage is from about 300 volts to about 55 G volts, such as about 52 G volts or about volts. As the light voltage increases, the A1 : Ti ratio decreases. High power will produce a high power density, which will reduce the scattering angle difference and thus the A1:Ti ratio. Also, the power will increase the edge effect, so the Rs uniformity gets worse. In view of the above, embodiments of the present invention include applying DC power from a DC power source 182 that is coupled to the multi-component target 132 when RF plasma is formed in the processing region no. In another embodiment of the invention, the DC power source is set from about 450 W to about 2.5 kW and the RF power source is set from about lkw to about 3.5 kW. For example, in one embodiment of the invention, both the Dc power source and the RF power source are set to about 2 kw. In yet another embodiment of the invention, the 'DC power source is set to about 2 kW, and the RF power source is set to about 3.2 kW. More specifically, in one embodiment, the plurality of material voltages are 320 volts, the RF power is about 2 kW, and the DC power is about 540 W. This can provide a good step coverage for the aspect ratio features. In another embodiment, the material voltage is 500 volts and the rf and DC power is about 2 kW, which maintains a good film composition ratio. When the substrate bias is applied, the argon gas is ionized so that the sputtered metal becomes more ionized, so that the RF drive plasma can reach a certain point, thereby improving the bottom coverage of the substrate characteristic structure. When the pressure in the treatment zone drops, the bottom coverage also decreases, especially if the pressure is below 丨〇mTorr. The low pressure will cause the composition to become more like a Dc-driven plasma to produce A1: a similar ratio of 1:1 to 1, but lowering the step coverage. In addition to high voltage, rf power also helps to improve the bottom coverage of the substrate features, especially high aspect ratio features that are very difficult to achieve proper bottom coverage. The pressure in the treatment zone generally depends on the type of multicomponent target used, the size of the formation features on the substrate, and the predetermined film properties f. The cavity to pressure during film deposition may range from about 20 mTorr to about 6 MTorr, or even up to 75 mTorr, such as about 22 mTorr, 3 G mTorr or 4 () mTorr, Depending on the intended treatment effect caused by the chamber pressure. In one embodiment of the invention, the flow rate is about 5 〇 #准立方厘米 per minute (coffee (1) to 1 〇〇SCCm, for example, 75 sccm. During chamber processing, the gate valve 147 may be fully or partially opened.

TiA;方理區的壓力太高會增加散射,尤其是在二元膜U 成分乾材面。如前所述,紹和欽以不同方式散射離開多 :乾材。藉由調整可能影響物種㈣ 革的參數,可㈣抵達基材的肖度 高處理壓*、爭a ^ 丨友兴 還會^成濺射物種與電漿之離子和電子間 45 201104004 更多的碰撞頻率或碰撞次數,導致不同元素的角度分布 差異更大。然因DC或RF源施加更高功率而對濺射原子 提供更多前進動量,可能造成較小的角度分布差異。 提尚處理區的壓力還可改善底部覆蓋率。然處理區的 壓力太高也會增加濺射物種散射離開靶材,因而降低方 向陡和底部覆蓋率。為對抗提高壓力引起的作用,可提 高靶材電壓,以減少任何具不同濺射率和分布的二元化 合物散射,例如鋁與鈦。增加DC功率尚會加快沉積速 率,此亦有助於對抗系統高壓引起的散射作用,但階梯 覆蓋率只降低一些,因場區厚度生成比基材特徵結構内 的任何區域快。 壓力可協助將濺射離開靶材的分布改變成較佳分布, 以助於改善沉積膜特性。壓力亦影響濺射物種於靶材、 基材和屏蔽上的重新分布。高壓特別促使較輕的化合物 (如鋁)重新分布於屏蔽和靶材,進而改變靶材的表面組 成比及減少抵達基材表面的鋁量。增加壓力造成更大的 散射角度差異’其將提高A1: Ti組成比。高壓還提供較 小的邊緣效應,故可改善Rs均勻性。比起DC、rf、功 率或電容調整器位置,壓力對改善Rs和厚度均勻性有更 大的影響,此將詳述於後。 壓力亦影響氬氣離子化和通過電漿朝向基材之濺射物 種。提高壓力和施予電漿的RFl力率也可產生所謂的潘寧 (penning)離子化。潘寧離子化為涉及中性原子及/或分子 間反應的過程。在料科化巾,氣相激發態原子^分 46 201104004 子與乾材分子互相作用而形成自由基分子陽離子與電子 和中性氣體分子。例如,氬原子因潘寧離子化所致而離 子化電漿中的苴他畜盾 發氬氣電漿。二:使…力率更直接地激 , 表%的鼠離子能量為約45電子伏特(eV) 至U〇eV,例如約5〇eV。乾材電虔亦隨著處理腔室星 力提间而降低,此乃因接地路徑變得更導電。勒厚度隨 ^ =增加而減小’其影響無材電塵和乾材上的原子重 新分布。 電磁e還會影響膜沉積和性質。電磁管的類型和位置 :產^不同㈣場(B場),此亦影響多重成分膜的組成 ^ - 了覆盍IM才及侵#㈣外,把電磁管 的特定位置亦有助於改善―比。將電ί 直’疋位置有助於避免損失太多紹至屏蔽,如同前 由\’八通常_的擴散所致。例如,把電磁管放在乾材 .„ 的'賤射刀布,但若物種從中心位置局部 散開’則完全改變:路&私t+ 賤射物種在腔室中的散佈。在-情況 域。 擴散’但不脫離屏蔽,而是遍及整個基材區 $素均勻濺射分布型的情況下,視腔室幾何形 =疋’例如基材與乾材的間隔㈣材尺寸,單— 在任何剎那的濺射分布、 營 竹儆j栺繪成早點源,以於電磁 ==覆蓋基材。然若使用具二不同分布的多 重成刀靶材,則難以均勻 春 射主要從巾心發",儘置於中心且賤 寻儘官濺射源位於中心上方且濺射 47 201104004 物種相當均勻地散佈基材,使得基材上的分布相當均勻 而改善組成比,仍將遭受Rs均勻性的問題。 閉合迴路電磁管將電漿限制在電磁管構成的B場邊界 之間,此取決於電磁管的磁鐵精確構造和磁鐵種類。靶 材上將形成特定形狀和位置的侵蝕軌跡,此視電磁管類 型和其使用方式而定。在DC電漿中,電磁管將電子限 制繞著電漿執跡運行並協助離子化電漿。實質上,電磁 e有助於局部限制電子,如此總可供應區域讓氬氣在靶 材表面附近離子化,並在同一區域形成靶材侵蝕軌跡。 故電磁S有助於控制靶材面形成侵蝕軌跡之處。TiA; too high pressure in the square area will increase scattering, especially in the dry surface of the binary film U component. As mentioned earlier, Shao and Qin scattered in different ways to leave more: dry materials. By adjusting the parameters that may affect the species (4) leather, (4) reaching the substrate with a high degree of processing pressure*, arguing a ^ 丨友兴 will also become a sputter species and plasma ions and electrons 45 201104004 more The frequency of collisions or the number of collisions leads to a larger difference in the angular distribution of different elements. However, due to the higher power applied by the DC or RF source, more forward momentum is provided to the sputtered atoms, which may result in a smaller angular distribution difference. Lifting the pressure in the treatment zone also improves bottom coverage. However, too high a pressure in the treatment zone will increase the scattering of sputtered species away from the target, thus reducing the steepness of the direction and the coverage of the bottom. To counteract the effects of increased pressure, the target voltage can be increased to reduce any binary compound scattering, such as aluminum and titanium, with different sputtering rates and distributions. Increasing the DC power will also accelerate the deposition rate, which also helps to counteract the scattering caused by high voltages in the system, but the step coverage is only reduced because the field thickness is generated faster than any region within the substrate's characteristic structure. The pressure can assist in changing the distribution of sputtered away from the target to a better distribution to help improve the properties of the deposited film. Pressure also affects the redistribution of sputtered species onto the target, substrate, and shield. High pressure particularly encourages the redistribution of lighter compounds (such as aluminum) to the shield and target, which in turn changes the surface composition ratio of the target and reduces the amount of aluminum that reaches the surface of the substrate. Increasing the pressure results in a larger difference in the scattering angle' which will increase the A1: Ti composition ratio. The high pressure also provides a small edge effect, which improves Rs uniformity. Pressure has a greater effect on improving Rs and thickness uniformity than DC, rf, power or capacitance regulator positions, as will be detailed later. The pressure also affects the argon ionization and the sputter species that pass through the plasma towards the substrate. Increasing the pressure and the RFl force rate of the plasma can also produce so-called penning ionization. Pennin ionization is a process involving neutral atoms and/or intermolecular reactions. In the materialized towel, the gas phase excited state atom is divided into 46 201104004 The sub-interaction with the dry material molecules forms free radical molecular cations with electrons and neutral gas molecules. For example, an argon atom is ionized by Pennin and the argon plasma in the plasma is argon gas. Two: The ... force rate is more directly excited, the meter% of the rat ion energy is about 45 electron volts (eV) to U 〇 eV, for example about 5 〇 eV. The dry material is also reduced as the processing chamber is lifted, as the ground path becomes more conductive. The thickness of the lemma decreases as ^ = increases, which affects the redistribution of atoms on the electrical dust and dry materials. Electromagnetic e also affects film deposition and properties. The type and location of the electromagnetic tube: the production of different (four) field (B field), which also affects the composition of the multi-component film ^ - the cover of the IM and the invasion # (four), the specific position of the electromagnetic tube also helps to improve - ratio. Putting the power on the right position helps to avoid too much damage to the shield, as caused by the spread of \'eight. For example, placing the solenoid in the dry material. „ 贱 刀 , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , Diffusion 'but not out of the shield, but throughout the entire substrate area $ uniform sputtering distribution type, the view chamber geometry = 疋 'such as the spacing of the substrate and dry material (four) material size, single - in any The sputtering distribution of the moment, the camp bamboo 儆 j栺 is painted into an early source, so that the electromagnetic == cover the substrate. However, if a multi-die target with two different distributions is used, it is difficult to evenly shoot the spring from The center of the sputtering source is located above the center and sputtered 47 201104004 The species spreads the substrate fairly evenly, so that the distribution on the substrate is fairly uniform and the composition ratio is improved, and the Rs uniformity will still be suffered. The closed loop electromagnetic tube limits the plasma between the B field boundaries formed by the electromagnetic tube, depending on the precise configuration of the magnet of the electromagnetic tube and the type of magnet. The target will form an erosion path of a specific shape and position. Tube type and its Depending on the method, in DC plasma, the electromagnetic tube runs electronically around the plasma trace and assists in ionizing the plasma. Essentially, the electromagnetic e helps to locally limit the electrons, so that the total supply area allows argon It ionizes near the surface of the target and forms a target erosion trajectory in the same area. Therefore, the electromagnetic S helps to control the surface of the target to form an erosion trajectory.

開迴路電磁管在開放端位置產生較弱的B場,故相較 於不含電磁管射處理腔室,g體看來,其產生更 :RF功率輸送到電漿。然就-般RF濺射製程而言,不 而又置電磁官來濺射。RFa率本身容許功率從靶材輸送 J電子以離子化氬原子,而不需電磁管的磁性限制。 把閉合迴路電磁管放置靠近乾材中心似乎不能利用RF 功率源來調整製程。故限制乾材中心位置附近的電子和 RF功率似乎對賤射製程無益。 :用開迴路磁鐵不會形成完全連續的閉合電毁軌跡; 之’電子僅被捕集一段時間,隨後擴散離開磁場擷 成^^因開迴路電^各磁極間產生的磁場不會形 、只、閉合^路徑(沿著平 觀察卜換言之,的-維千面 產生磁場的路徑不合二面之二維平面依循部分 二s形成連續的閉合迴路路徑,其中磁The open-circuit electromagnetic tube produces a weaker B-field at the open end position, so that the g-body appears to produce more RF power to the plasma than the electromagnetic tube-free processing chamber. However, in the case of the general RF sputtering process, the electromagnetic officer is not used for sputtering. The RFa rate itself allows power to transport J electrons from the target to ionize the argon atoms without the magnetic limitations of the electromagnetic tube. Placing a closed loop solenoid close to the dry center does not seem to allow the RF power source to be used to adjust the process. Therefore, limiting the electronic and RF power near the center of the dry material seems to be unhelpful for the sputtering process. : The open-circuit magnet does not form a completely continuous closed electric trajectory; the 'electron is only trapped for a period of time, and then diffuses away from the magnetic field. ^^ Because of the open circuit, the magnetic field generated between the magnetic poles does not shape, only Closed ^ path (in other words, according to the flat observation, the path of the magnetic field that generates the magnetic field does not fit the two-dimensional plane of the two sides to form a continuous closed loop path, where the magnetic

C 48 201104004 Z方向垂直乾材面)。 間的相對位置息息相 跡及調整膜組成。例 場向量平行靶材面(即Bz=0;其中 膜組成與電聚侵触軌跡與基材位置 關。電磁管位置將調整電漿侵蝕執 如’如第4D圖所示,電磁答々士姑 电袖s位置在第一位置時將於靶材 外部區域形成電漿。I 取电果電磁官處於第一位置時,基材上的 沉積膜組成近似1,因此靶鉍 此祀材的組成兀素有不同的分布 輪廓。電磁管相距靶材上方@ 2 2麵至2 8_,例如 2.5mm。電磁管的轉速為約6〇至約7〇轉/分鐘㈣,例 如 65rpm ° 僅用、DC冑漿和電磁管—般能捕集更廣泛定義區域的 電子。增$ RF功率可實質調整電子和氬氣’如此即使限 制在較小區域,電漿仍被賦予更多能量。藉著只讓電子 部分限制在電磁管底下的電毁區域,開迴路電磁管容許 電子逸出。開迴路電磁管能濺射大部分的靶材表面。内 磁極與外磁極間的磁場在—端是敞開的,故電子將漏出 連結磁極之各端的磁場。發現由於多重成分㈣元素之 濺射分布不同的影響,因此把電磁管放在”朝外,,位置可 文。基材表面的A1與Ή組成比。此外,將電磁管移向 中心區或”朝内”位置可用來清潔腔t,例如靶材上的再 沉積濺射材料,此將配合第10A-10C圖詳述於後。 沉積膜性質亦受基材偏壓影響。如上所述,自動調整 可變電容調整器可用來提供偏壓至基材支撐件。調整電 谷調整器的電容將改變基材支撐件上的偏壓電壓。電容 調整器的不同位置用於沉積及/或再濺射沉積膜。在一肽 49 201104004 情況下’基材偏壓用於”蝕刻”模式而不引起淨沉積,以 調整形成於基材表面上之的膜的應力。濺射金屬原子有 不同質量’故藉由調整基材上的偏壓電壓、或調整離子 轟擊及再濺射沉積膜,可改變沉積膜組成。例如,銘和 鈦有不同濺射率’故改變偏壓電壓可改變轟擊能量,進 而改變沉積膜的組成比。 在一實例中,基材上的正電壓越高,沉積物含越多欽, 此乃因較大又重的鈦原子不像鋁那樣容易重新引導。在 正基材偏壓電壓下’電漿中的中性鈦原子趨向基材表 面。鋁較輕且較易被離子化,故正偏壓電壓程度與鈦一 樣時,鋁不抵達基材表面,如此將形成富含鈦膜。在頻 譜另一側,在高負基材偏壓電壓下,鋁比鈦更易被施加 基材偏壓而藉由從電漿引出的抵達離子再濺射,因此更 易四處移動鋁。負電壓影響離子衝擊基材表面的能量, 並更容易四處移動鋁,因而形成富含鈦表面。由於某一 材料相對另一材料能優先以不同速率再濺射,故設定基 材偏壓電壓可有效控制再濺射量和組成比。不會太正或 太負的中等基材偏壓電壓對使基材上之沉積膜有實質均 勻的組成比來說是必要的。 阻抗控制器⑷之可變電容器的電容提高時,Al: Ti 比下降成近似卜例如u,在一些條件下甚至小於卜 例如0.90。在一實施例中,A1:Ti比為約〇9至約12, 例如1.0和U。從中心到邊緣的平均組成比為約115 至約1.16。當電壓偏壓變負_,Ar+離子更容易將銘滅射 50 201104004 出。若電壓偏壓為正,則A丨和Ti離子將被推離基材。 但A1 : Τι比反而增加,因電漿中的Ti離子分率比a丨離 子分率少。阻抗控制器141的共振設定亦影響偏壓電 壓。偏壓電壓接近共振時,因基材達近似最 壓電壓,,…比下降。在一實施例中,基 壓偏壓為約+250至-250Vdc。在另一實施例中,基材上的 電壓偏壓為約-150伏特至+50伏特。 如第6圖所示,本發明之實施例包括調整基材支撐件 126之電極126A上的偏壓電壓,其中基材支撐件126具 有設置於處理區110的基材接收面127,其中調整偏壓 電壓疋藉由改變可變電容器610的電容,以控制電極 126A相對電接地所達到的偏壓電壓。可變電容器6丨〇的 電谷為約5至約1 〇 〇 〇微微法拉第。例如,可變電容器設 為總體電容的12 · 5 %或高達總體電容的8 5 %。系統共振 為約總體電容的55%。 因此,利用上述參數,上述設備可採行各種方法來改 善階梯覆蓋率和膜均勻性。在本發明之一實施例中,高 壓、RF功率和DC功率用於rF_dC PVD腔室,以在閘 極結構中沉積金屬膜。 第11圖繪示根據本發明不同實施例之沉積薄膜方法 1100的流程圖。在步驟11 〇2中,方法包括在腔室1 〇〇 的處理區U0中形成電漿(如第ία圖所示)。電漿是由腔 室100内耦接多重成分鞑材132的RF電源供應器181 形成,多重成分靶材132具有接觸腔室ι〇〇之處理區n〇 51 201104004 的第-表面(如濺射表面133)和面對第一表面i32的第二 表面⑴。在步驟1104中,方法包括相對多重成分乾材 m移動電磁管系,统189,其中當電磁管系統移動且電漿 形成時如第4D圖所不,電磁管系統! 89相對多重成 分靶材132的中心點位於第-位置。在步驟1106中,多 重成分臈沉積在腔室!⑽之基材支料126上的基材1〇5 士第7A-7H圖所不’多重成分膜為沉積於金屬閉極 725中的金屬合金’例如了⑷合金。多重成分膜以12〇入, 分鐘的速率沉積且厚度約⑽A。在—實施例中,膜厚為 約40Α至約15〇Α,沉積速率為約3〇Α/分鐘至約24〇入/ 分鐘。然預定厚度取決於功函數要求,一般技藝人士當 可依此調整。本發明之實施例每小時能處理2〇個以上的 基材。 在本發明之另一實施例中,如第4B-4D圖所示,鄰接 多重成分靶材132的第二表面135設置電磁管系統189, 同時藉由繞著多重成分靶材的中心點轉動電磁管系統 189以移動電磁官系統1 8 9。如前所述,電磁管系統包 括含複數個磁鐵423的外磁極421和含複數個磁鐵423 的内磁極422,其中外磁極和内磁極構成開迴路電磁管 組件。在另一實施例中,方法包括調整可變電容器610 的電容’以改變基材上的偏壓電壓,其中可變電容器61〇 耗接設置在基材支撐件126中之電極126Α與電接地間。 方法還包括將蓋環17〇設置遠離基材支撐件126之基 材接收面127的周圍邊緣129 —段距離,其中蓋環暴露 52 201104004 於形成電漿的表面相距多重成分靶材132的距離亦比基 材接收面127遠,且處理區形成電毁時,蓋環未電 氣連接電接地。在另一實施例中,多重成分靶材132與 基材105間的間隔為約174_i82mme移離靶材越遠,越 多低餘弦濺射村料以更快速率撞擊屏蔽。故間隔也會影 響散射。此外,增加間隔將使基材遠離電激。 在又一實施例中,電磁管系統包括外磁極424和内磁 極425,#第4E圖所示,其同心繞著延伸穿過中心點的 第軸49 1並形成閉合迴路電磁管組件。複數個磁鐵423 設於内磁極425和外磁極424,且不對稱設置在延伸穿 過中心點且垂直第一軸491的第二軸492四周。在本發 月之實施例中’基材上之〶深寬比特徵結構的階梯覆蓋 率高達80%。在一些實施例中,階梯覆蓋率甚至達1〇〇%。 在另一實施例中,沉積薄膜的方法包括輸送能量至形 成於腔室之處理區的電漿,其中輸送能量包含輸送出自 RF電源供應器之RF功率至多重成分乾材、以及輸送出 自DC電源供應器之DC功率至多重成分乾材。輸送dc 功率意指施加出自DC電源供應器之Dc能量至多重成分 乾材,例如DC電壓或電流。輸送RF功率意指施加出自 RF電源供應器之RF能量至多重成分靶材。 方法還包括相對多重成分靶材平移電磁管,其中當電 磁管平移A電毁形成日夺,電㉟管㈣多重成分靶材的中 心點位於第一位置;調整電極上的偏壓電壓,且電極設 在基材支撐件的基材接收面附近,其中藉由改變可變電 53 201104004 容器的電容來調整偏壓電壓,以控制電極相對電接地所 達到的偏壓電壓;加壓處理區達至少2〇毫托耳;以及沉 積金屬合金膜至設置在基材接收面上的基材上。 在本發明之另-實施例中,開始沉積膜前,進行執材 之預沉積㉟入,以獲得乾材上的較佳冑改層。乾材燒入 移除乾材製造製程殘餘的污染物、把材上的吸附氣體, 並調理好製程套組以用於TiA1膜沉積。乾材燒人亦可開 始在靶材中形成,,軌道,,或侵蝕溝槽。 處理一批基材後,需清潔腔室,尤其需重新調理靶材。 如別所述夕重成分乾材的組成元素可再沉積於免材。 因銘質fe和製程的散射作用之故,其特別容易再沉積於 靶材中心區。第I0A_10C圖繪示不同使用階段的靶材, 第1〇A圖繪示新的乾材組件910,具有背板912和多重 成分靶材9 1 4 ’例如包含i : i之Ti A1合金。燒入之後及 膜沉積製程期間,靶材中開始形成軌道或侵蝕溝槽916。 滅射時’電磁管在,,朝外,,位置旋轉,電毁則在 底 下沿著靶材外部區域形成。 _ 中“區918尚遭部分侵蝕,但並不太多,因電漿在靶 材底下電磁管設置的外部區域較密集。然處理期間,如 第H)C圖心’組成材料再沉積至㈣而形成再沉積區 919,其組成不同於靶材其餘部分。每一批有…個基 材,再沉積區919形成的程度和進一步在沉積膜前㈣ 與否取決於各種處理設定。 處理-批基材後,進行後沉積清潔製程。清潔製程包 54 201104004 含第一製程和第二製裎。楚 程第—製裎包括將基材移出腔 室、以及將源電磁管組株 、 &、、且件42〇移到第二位置。在一實例 中’源電磁管組件4 2 〇的β — 的位置疋错由改變電磁管移動裝 置(如馬達193)的轉向而調整 m 如第4C圓所示,第二位 置為”朝内’,位置。接荖剌田知办 者利用耦接多重成分靶材132的rf 和DC功率,點燃電漿p 電力即形成在多重成分靶材的 第 表面内部下方。腔官姑蔽、*· 至、&加壓達2毫托耳。隨後移除 堆積於靶材中心區918的再沉積材料919。第一製程期 門DC功率和RF功率均設為2kw。可變電容器設為 :_2.5%。電漿保持開啟,以清潔腔室長達45秒、。反覆進 行P刀第製权7次’例如電漿點燃/形成及移除,以移 除靶材中心的再沉積物。 第一製程包括將電磁管組件移到第一位置或”朝外,,位 置(如第4D圖所示)。利用耦接多重成分靶材的RF* DC 功率點燃f毀’電聚即形成在多重成分#材的第一表面 外。卩下方。腔室經加壓達4〇毫托耳,為類似第丨〇B圖, 钕蝕溝槽916重新形成於多重成分靶材之中。 在本發明實施例之高壓範圍下,RF功率激發電漿離 子,例如Ar,且高壓和Αι·離子碰撞將提高離子分率。 諸如氪(Kr)或氙(Xe)之較重氣體造成更有效地散射而降 低離子的水平速度。此尤其有利於較重的金屬沉積,例 如钽(Ta)、鎢(W)等。本發明之實施例能達到高膜均勻性 和階梯覆蓋率。 根據本發明之實施例,施加至乾材的RF功率和高壓可 55 201104004 於靶材附近產生高密度電漿。當濺射物種通過電漿時, 其遭離子化’因而大幅提高電漿的離子/中性粒子比。此 外’濺射物種在高壓大氣下行進至基材時會發生許多碰 揸,此有助於降低平行基材方向的物種能量及增加其垂 直方向性。由於原子在靶材附近、而非基材表面附近離 子化(因電漿受電磁管的不對稱B場限制),離子速度不 像其他方法般垂直,例如離子化金屬電漿(IMp),故可提 供較佳的側壁/階梯覆蓋率。 利用耦接多重成分靶材的RF_DC功率源提供不對稱和 不平衡性能讓電子朝靶材中心和電漿中心徑向移動,以 助於提高離子化程度和靶材利用率。 此製程之階梯覆蓋率可改善的理由如下。高密度電漿 在無材下方形成,故金屬物種通過電漿時即遭離子化。 高壓和高RF功率提高RF電漿密度,意即提高電子和C 48 201104004 Vertical dry surface in Z direction). The relative position between them is closely related and the film composition is adjusted. The routine vector parallels the target surface (ie, Bz=0; where the film composition and the electropolymerization intrusion trajectory are close to the substrate position. The position of the electromagnetic tube will adjust the plasma erosion as shown in Figure 4D, the electromagnetic answer When the electric sleeve s is in the first position, it will form a plasma in the outer region of the target. When the electro-optical electromagnetic officer is in the first position, the deposited film composition on the substrate is approximately 1, so the composition of the target 兀There are different distribution profiles. The electromagnetic tube is @ 2 2 to 2 8_ above the target, for example 2.5mm. The speed of the electromagnetic tube is about 6〇 to about 7〇 rpm (4), for example 65rpm °, only DC胄Pulp and electromagnetic tubes—collectively capture electrons in a wider defined area. Increasing $RF power can substantially adjust electrons and argon' so that even if it is confined to a small area, the plasma is still given more energy. Partially limited to the electric damage area under the electromagnetic tube, the open circuit electromagnetic tube allows electrons to escape. The open circuit electromagnetic tube can sputter most of the target surface. The magnetic field between the inner magnetic pole and the outer magnetic pole is open at the end, so The electrons will leak out the magnetic field connecting each end of the magnetic pole. Now due to the different influences of the sputtering distribution of the multi-component (four) elements, the electromagnetic tube is placed "outward, the position is readable. The ratio of A1 to bismuth on the surface of the substrate. In addition, the electromagnetic tube is moved to the central area or" The inwardly facing position can be used to clean the cavity t, such as a redeposited sputter material on the target, which will be detailed later in conjunction with Figures 10A-10C. The properties of the deposited film are also affected by substrate bias. As described above, Adjusting the variable capacitance regulator can be used to provide a bias to the substrate support. Adjusting the capacitance of the valley adjuster will change the bias voltage on the substrate support. Different positions of the capacitance adjuster are used for deposition and/or re-splashing The deposited film is deposited. In the case of a peptide 49 201104004, the 'substrate bias is used for the 'etched' mode without causing a net deposition to adjust the stress of the film formed on the surface of the substrate. The sputtered metal atoms have different qualities' Therefore, by adjusting the bias voltage on the substrate, or adjusting the ion bombardment and re-sputtering the deposited film, the composition of the deposited film can be changed. For example, the titanium and the titanium have different sputtering rates, so changing the bias voltage can change the bombardment energy. Change the sink The composition ratio of the film. In an example, the higher the positive voltage on the substrate, the more the deposit contains, because the larger and heavier titanium atoms are not as easily redirected as aluminum. At the voltage of the voltage, the neutral titanium atoms in the plasma tend to the surface of the substrate. The aluminum is lighter and more easily ionized, so when the positive bias voltage is the same as that of titanium, the aluminum does not reach the surface of the substrate, so it will be rich. Titanium film. On the other side of the spectrum, at a high negative substrate bias voltage, aluminum is more susceptible to substrate bias than titanium and is re-sputtered by the incoming ions from the plasma, making it easier to move aluminum around. The voltage affects the energy of the ions striking the surface of the substrate, and it is easier to move the aluminum around, thus forming a titanium-rich surface. Since a material can be sputtered at a different rate with respect to another material, setting the substrate bias voltage can be effective. The amount of re-sputtering and composition ratio are controlled. A medium substrate bias voltage that is not too positive or too negative is necessary to have a substantially uniform composition ratio of the deposited film on the substrate. When the capacitance of the variable capacitor of the impedance controller (4) is increased, the Al:Ti ratio is decreased to approximate, for example, u, and in some cases even less than, for example, 0.90. In one embodiment, the A1:Ti ratio is from about 9 to about 12, such as 1.0 and U. The average composition ratio from center to edge is from about 115 to about 1.16. When the voltage bias becomes negative _, the Ar+ ion is more likely to be emitted from the 50 201104004. If the voltage bias is positive, the A and Ti ions will be pushed away from the substrate. However, A1: Τι increases rather than because the Ti ion fraction in the plasma is less than the a 丨 ion fraction. The resonance setting of the impedance controller 141 also affects the bias voltage. When the bias voltage is close to resonance, the ratio of the substrate reaches an approximate voltage, and the ratio decreases. In one embodiment, the base voltage bias is about +250 to -250 Vdc. In another embodiment, the voltage bias on the substrate is between about -150 volts to +50 volts. As shown in FIG. 6, an embodiment of the present invention includes adjusting a bias voltage on an electrode 126A of the substrate support 126, wherein the substrate support 126 has a substrate receiving surface 127 disposed in the processing region 110, wherein the adjustment bias is The voltage 疋 changes the capacitance of the variable capacitor 610 to control the bias voltage reached by the electrode 126A relative to the electrical ground. The electric valley of the variable capacitor 6 为 is about 5 to about 1 〇 〇 〇 picofarad. For example, the variable capacitor is set to 12 · 5 % of the total capacitance or up to 85 % of the total capacitance. The system resonance is about 55% of the total capacitance. Therefore, with the above parameters, the above apparatus can employ various methods to improve step coverage and film uniformity. In one embodiment of the invention, high voltage, RF power, and DC power are used in the rF_dC PVD chamber to deposit a metal film in the gate structure. 11 is a flow chart of a method 1100 of depositing a thin film in accordance with various embodiments of the present invention. In step 11 〇 2, the method includes forming a plasma in the processing region U0 of the chamber 1 ( (as shown in Fig. ία). The plasma is formed by an RF power supply 181 that is coupled to the multi-component coffin 132 in the chamber 100. The multi-component target 132 has a first surface (eg, sputtering) of the processing region n〇51 201104004 that contacts the chamber ι The surface 133) and the second surface (1) facing the first surface i32. In step 1104, the method includes moving the electromagnetic tube system relative to the multi-component dry material m, wherein the electromagnetic tube system is as shown in Figure 4D when the electromagnetic tube system moves and the plasma is formed! The center point of the 89 multi-component target 132 is at the first position. In step 1106, multiple components are deposited in the chamber! (10) The substrate on the substrate support 126 is 1 〇 5 士 7A-7H. The multi-component film is a metal alloy deposited in the metal closed electrode 725. For example, the (4) alloy. The multi-component film was deposited at a rate of 12 in, minute and a thickness of about (10) A. In the embodiment, the film thickness is from about 40 Å to about 15 Å, and the deposition rate is from about 3 Torr/min to about 24 Å/min. However, the predetermined thickness depends on the work function requirements, and the general skilled person can adjust accordingly. Embodiments of the present invention are capable of processing more than 2 substrates per hour. In another embodiment of the present invention, as shown in FIG. 4B-4D, the second surface 135 of the multiple component target 132 is disposed adjacent to the electromagnetic tube system 189 while rotating the electromagnetic field around the center point of the multiple component target. The tube system 189 moves the electromagnetic officer system 889. As previously mentioned, the electromagnetic tube system includes an outer magnetic pole 421 comprising a plurality of magnets 423 and an inner magnetic pole 422 comprising a plurality of magnets 423, wherein the outer magnetic poles and the inner magnetic poles form an open loop electromagnetic tube assembly. In another embodiment, the method includes adjusting the capacitance of the variable capacitor 610 to change the bias voltage on the substrate, wherein the variable capacitor 61 is disposed between the electrode 126 and the electrical ground disposed in the substrate support 126. . The method further includes disposing the cover ring 17A away from the peripheral edge 129 of the substrate receiving surface 127 of the substrate support 126 by a distance of 52 201104004. The distance from the surface of the plasma forming surface to the multiple component target 132 is also The cover ring is not electrically connected to the electrical ground when it is farther than the substrate receiving surface 127 and the processing area is electrically destroyed. In another embodiment, the spacing between the multiple component target 132 and the substrate 105 is about 174 _i82 mme. The further away from the target, the more low cosine sputtering materials impact the shield at a faster rate. Therefore, the interval also affects the scattering. In addition, increasing the spacing will keep the substrate away from electrical stimulation. In yet another embodiment, the electromagnetic tube system includes an outer magnetic pole 424 and an inner magnetic pole 425, shown in Fig. 4E, concentrically surrounding the first shaft 49 1 extending through the center point and forming a closed loop electromagnetic tube assembly. A plurality of magnets 423 are disposed on the inner magnetic pole 425 and the outer magnetic pole 424, and are disposed asymmetrically around the second shaft 492 extending through the center point and perpendicular to the first shaft 491. In the examples of this month, the step coverage of the 〒 aspect ratio feature on the substrate is as high as 80%. In some embodiments, the step coverage is even up to 1%. In another embodiment, a method of depositing a film includes delivering energy to a plasma formed in a processing region of a chamber, wherein the delivered energy comprises RF power delivered from the RF power supply to the multicomponent dry material, and from the DC power source. The DC power of the supplier is multi-component dry material. Delivering dc power means applying Dc energy from a DC power supply to multiple component dry materials, such as DC voltage or current. Delivering RF power means applying RF energy from an RF power supply to a multi-component target. The method further includes translating the electromagnetic tube relative to the multi-component target, wherein when the electromagnetic tube is translated by A, the center of the multi-component target is located at the first position; the bias voltage on the electrode is adjusted, and the electrode is Provided in the vicinity of the substrate receiving surface of the substrate support, wherein the bias voltage is adjusted by changing the capacitance of the variable capacitor 53 201104004 to control the bias voltage reached by the electrode relative to the electrical ground; 2 Torr; and depositing a metal alloy film onto a substrate disposed on the receiving surface of the substrate. In a further embodiment of the invention, pre-deposition of the substrate is performed 35 prior to the deposition of the film to obtain a preferred tamper layer on the dry material. Dry material burning removes residual contaminants from the dry material manufacturing process, adsorbs gas on the material, and conditions the process set for TiA1 film deposition. Dry cookers can also begin to form, orbit, or erode grooves in the target. After processing a batch of substrate, the chamber needs to be cleaned, especially the target needs to be reconditioned. As described above, the constituent elements of the heavy component dry materials may be redeposited on the free material. It is particularly easy to re-deposit in the center of the target due to the scattering effect of the inscription Fe and the process. The I0A_10C diagram shows the targets in different stages of use, and the first Figure A shows a new dry material assembly 910 having a backing plate 912 and a multi-component target 9 1 4 ', for example, a Ti A1 alloy containing i: i. After firing and during the film deposition process, tracks or erosion trenches 916 begin to form in the target. When the fire is off, the electromagnetic tube is in, outward, and the position is rotated, and the electrical damage is formed under the target along the outer region of the target. _ "Zone 918 is still partially eroded, but not too much, because the external area of the electromagnetic tube is set to be dense under the target. However, during processing, such as H) C, the core material is redeposited to (4) The redeposition zone 919 is formed to have a composition different from the rest of the target. Each batch has a substrate, and the extent to which the redeposition zone 919 is formed and further before the deposition of the film (four) depends on various processing settings. After the substrate, a post-deposition cleaning process is performed. The cleaning process package 54 201104004 includes a first process and a second process. The process of removing the substrate includes removing the substrate from the chamber, and the source electromagnetic tube group, & And the member 42 is moved to the second position. In an example, the positional error of the 'source electromagnetic tube assembly 4 2 〇 β 调整 is adjusted by changing the steering of the electromagnetic tube moving device (such as the motor 193), such as the 4th C circle. As shown, the second position is "inward", position. The 荖剌 电 知 知 知 知 知 知 知 知 知 知 知 知 知 知 知 知 知 知 知 知 知 知 知 知 知 知 知 知 知 知 知 知 知 知 知 知 知 知The cavities are covered, *· to, and the pressure is up to 2 mTorr. The redeposited material 919 deposited in the target central region 918 is then removed. The DC power and RF power of the first process are set to 2kw. The variable capacitor is set to :_2.5%. The plasma remains open to clean the chamber for up to 45 seconds. The P-knife is then made 7 times 'for example, plasma ignition/forming and removal to remove the redeposition from the center of the target. The first process includes moving the solenoid assembly to the first position or "outward," position (as shown in Figure 4D). Using RF* DC power coupled to the multi-component target to ignite the "electrical" is formed The multiple components are outside the first surface of the material. Below the crucible, the chamber is pressurized up to 4 Torr, which is similar to the second 图B diagram, and the etched trench 916 is re-formed in the multi-component target. In the high pressure range of the inventive embodiment, RF power excites plasma ions, such as Ar, and high pressure and Αι· ions collisions will increase the ion fraction. Heavier gases such as krypton (Kr) or xenon (Xe) cause more efficient scattering. While reducing the horizontal velocity of the ions, this is particularly advantageous for heavier metal deposition, such as tantalum (Ta), tungsten (W), etc. Embodiments of the present invention can achieve high film uniformity and step coverage. For example, the RF power and high voltage applied to the dry material can produce high-density plasma near the target. When the sputtered species passes through the plasma, it is ionized' thus greatly increasing the ion/neutral particle ratio of the plasma. In addition, 'sputtering species are down in the high pressure atmosphere. Many collisions occur when it comes to the substrate, which helps to reduce the energy of the species in the direction parallel to the substrate and increase its vertical directivity. Since the atoms are ionized near the target rather than near the surface of the substrate (because of the plasma being electromagnetically affected) The tube's asymmetric B-field limitation), the ion velocity is not as perpendicular as other methods, such as ionized metal plasma (IMp), which provides better sidewall/step coverage. RF_DC power coupled to multiple component targets The source provides asymmetry and unbalanced performance that allows electrons to move radially toward the center of the target and the center of the plasma to help increase ionization and target utilization. The reasons for the improved step coverage of this process are as follows: High Density The slurry is formed below the material, so the metal species are ionized by the plasma. High pressure and high RF power increase the RF plasma density, which means to increase the electron and

Ar+的密度。尚壓亦縮短平均自由徑,使得金屬物種更易 被電子或Ar+撞擊及離子化。此外,濺射金屬在基材表 面附近有較低的水平速度,故金屬離子更易下拉至基 材。金屬物種具低速乃因其遭Ar+多重任意散射而喪失 沿著水平方向的原有速度所致,高壓更進一步加強此現 象。故根據本發明之實施例,可由多重成分靶材形成均 勻膜組成,且具良好階梯覆蓋率、均勻厚度、預定組成 比和Rs值。 雖然本發明已以較佳實施例揭露如上,然其並非用以 限定本發明,任何熟習此技藝者,在不脫離本發明之精 56 201104004 :申:°範圍内’當可作各種之更動與潤飾,因此本發明之 呆'蔓fe圍當視後附之申請專利範圍所界定者為準。 【圖式簡單說明】 為讓本發明之上述特徵更日請Π可配合參考實施 丨說明’其部分乃繪示如附圖式。須注意的是,雖然所 ,圖式揭露本發明特^實施例,但其並非用以限定本發 精神與範圍’任何熟習此技藝者,當可作各種之 動與潤飾而得等效實施例。 更 第1A圖為根據本發明一實施例之腔室的截面圖。 第1B圖為根據本發明一實施例之腔室的等角視圖 第2圖為根據本發明一實施例之第丨八圖腔室的 寫戴面圖。 ° ^ 第3A圖為根據本發明一實施例之第1A圖腔室的局部 特寫截面圖。 第3B圖為根據本發明一實施例之第1 A圖腔室的 上視圖。 。 第3C圖為根據本發明一實施例之第1 A圖腔室的 上視圖。 第4A圖為根據本發明一實施例,從靶材側觀察 管的等角視圖。 '電磁 、第4B圖為根據本發明一實施例之電磁管的局部底部 視圖。 57 201104004 第4C圖為根據本發明一實施例之電磁管的局部底部 視圖。 第4D圖為根據本發明一實施例之電磁管的局部底部 視圖。 _。 第4E圖為根據本發明一實施例之電磁管的局部上視 圖。 第5A圖為根據本發明一實施例之製程套組的局部截 面圖。 第5B圖為傳統製程套組的局部截面圖。 第6圖為根據本發明—實施例之阻抗控制器的示意 圖。 第7A-7H圖繪示用以形成CM〇s型積體電路的製程實 例截面。 第8圖繪示濺射製程期間的粒子彈性碰撞。 第9圖繪示濺射腔室中多重部件靶材的濺射分布。 第10A-10C圖繪示處理期間形成於濺射靶材的侵蝕執 跡0 第11圖繪示根據本發明一實施例之沉積膜方法的流 程圖。 為助於了解’各圖中共通的元件儘可能以相同的元件 符號表示。應理解某一實施例所揭露的元件和特徵結構 虽可併入其他貫施例’而不需特別提及。 【主要元件符號說明】 58 201104004 100 腔室 101 主體 102 接頭 103A 移送室 103 叢集工具 104 側壁 105 基材 105A 邊緣 105B 表面 106 底壁 108 處理組件 110 處理區 120 基座組件 122 升降機構 123 舉升銷 124 風箱 126 支撐件 126A 導電層/電極 127 基材接收面 128 外殼 129 周圍邊緣 130 蓋組件 132 靶材 133 > 135 表面 134 背側區 136 隔離器 139A 軸桿 141 控制器 142 氣源 143 電源供應1§ 144、 148 導管 146 排氣口 147 閘閥 149 泵 150 ' 165 製程套組 160 屏蔽 168 環組件 170、 170A 蓋環 180 隔離確組件 181 RF電源供應器 181A RF功率源 181B RF匹配 182 DC源 182A DC電源供應器 184 進料器 184A- •B 表面 185 導電壁 186 屏蔽 59 201104004 189 電磁官糸統 191 蓋包殼 193 馬達 193B 介電層 216 邊緣 221 階梯 252 ' 254 壁 258、 268 内圍 262、 270 外圍 267 支撐環 276 溝槽 402 間隙 412 > 419 樞軸 414 橫臂 415 平衡錘 420 源電磁管組件 423 ' 431 磁鐵 426 ' 427 間隙 441 角度 502 沉積環 510 環形圈 530 基座接地組件 532 彈簣 支撐部 561 190 控制器 192 ' 194 軸 193 A 軸桿 214 内面 220 接頭 250 隔離環 256 支撐邊 260 ' 266 、 272 表面 264 接觸面 267A 彈簧配件 278 轉折點 410 徑向移位機構 413 旋轉板 414A 鉗夾 416 ' 417 保險桿 421-422 > 424-425 磁極 424A、425A 極片板 429 極片 491 、 492 轴 503 、 504 表面 516 外圍 531 平板 554 間隙 571、571A 内圍 60 201104004 572 突沿 573 ' 573A 表面 605 外殼 610 電容器 616 輸入 618 電路 620 電感器 62 1 電阻器 622 介面 624 處理器 626 控制器 628 馬達 662 ' 663 感應器 700 電晶體 702 基材 704 STI 706 介面層 708 介電層 710 多晶矽(層) 711 閘極結構 712 源極/ >及極區 714 氣化層 716 矽化物 717 間隔物 718 金屬沉積前介電層 720 溝槽 722-723 ' 725 閘極 724 金屬 800、 802 圖式 900 滅射分布 902 餘弦分布 904 過度餘弦分布 906、 914 靶材 910 靶材組件 912 背板 916 侵蝕溝槽 918 中心區 919 再沉積區 920 外緣區 1100 方法 1102 、1 104、1106 步驟 A 長度 C 箭頭 Cn、 C,2 方向 C2. RF電流 D 半徑 D1、 D2 直徑 E 電場 61 201104004 F 輸送點 Μ 中心 ml ' m2 質量/粒子 Ν 北極 0 距離 Ρ 電漿 PR 電漿區 R 旋轉 Ri 、R_2 方向 S 南極 T 延長線 V 方向 62The density of Ar+. The pressure also shortens the mean free path, making metal species more susceptible to electron and Ar+ impact and ionization. In addition, the sputtered metal has a lower horizontal velocity near the surface of the substrate, so metal ions are more easily pulled down to the substrate. The low velocity of metal species is due to the loss of Ar+ multiple arbitrary scattering and the original velocity along the horizontal direction. The high pressure further strengthens this phenomenon. Therefore, according to an embodiment of the present invention, a uniform film composition can be formed from a multi-component target with good step coverage, uniform thickness, predetermined composition ratio, and Rs value. Although the present invention has been disclosed in the above preferred embodiments, it is not intended to limit the invention, and any skilled person skilled in the art can make various changes without departing from the scope of the invention. Retouching, therefore, the scope of the patent application scope of the present invention is subject to the definition of the patent application. BRIEF DESCRIPTION OF THE DRAWINGS In order to make the above-described features of the present invention more suitable, reference may be made to the accompanying drawings. It is to be understood that the invention is not intended to limit the scope of the invention and the scope of the invention . Still Fig. 1A is a cross-sectional view of a chamber in accordance with an embodiment of the present invention. 1B is an isometric view of a chamber in accordance with an embodiment of the present invention. FIG. 2 is a writeaway view of a chamber of the eighth embodiment of the present invention. ° ^ Figure 3A is a partial close-up cross-sectional view of the chamber of Figure 1A in accordance with an embodiment of the present invention. Figure 3B is a top plan view of the chamber of Figure 1A in accordance with an embodiment of the present invention. . Figure 3C is a top plan view of the chamber of Figure 1A in accordance with an embodiment of the present invention. Fig. 4A is an isometric view of the tube viewed from the target side, in accordance with an embodiment of the present invention. 'Electromagnetic, Fig. 4B is a partial bottom view of the electromagnetic tube according to an embodiment of the present invention. 57 201104004 Figure 4C is a partial bottom view of a solenoid according to an embodiment of the present invention. Figure 4D is a partial bottom plan view of a solenoid according to an embodiment of the present invention. _. Figure 4E is a partial top plan view of an electromagnetic tube in accordance with an embodiment of the present invention. Figure 5A is a partial cross-sectional view of a process kit in accordance with an embodiment of the present invention. Figure 5B is a partial cross-sectional view of a conventional process kit. Figure 6 is a schematic illustration of an impedance controller in accordance with an embodiment of the present invention. Sections 7A-7H illustrate a cross-section of an embodiment of a process for forming a CM〇s-type integrated circuit. Figure 8 illustrates the elastic collision of particles during the sputtering process. Figure 9 depicts the sputtering profile of the multiple component targets in the sputtering chamber. 10A-10C are diagrams showing an erosion profile formed on a sputtering target during processing. FIG. 11 is a flow chart showing a method of depositing a film according to an embodiment of the present invention. To help understand that the elements common to the figures are represented by the same component symbols as much as possible. It is to be understood that the elements and features disclosed in a certain embodiment may be incorporated in other embodiments without particular reference. [Main component symbol description] 58 201104004 100 Chamber 101 Main body 102 Connector 103A Transfer chamber 103 Cluster tool 104 Side wall 105 Substrate 105A Edge 105B Surface 106 Bottom wall 108 Processing assembly 110 Processing area 120 Base assembly 122 Lifting mechanism 123 Lifting pin 124 Bellows 126 Support 126A Conductive layer/electrode 127 Substrate receiving surface 128 Housing 129 Peripheral edge 130 Cover assembly 132 Target 133 > 135 Surface 134 Back side area 136 Isolator 139A Shaft 141 Controller 142 Air source 143 Power supply Supply 1 § 144, 148 conduit 146 exhaust 147 gate valve 149 pump 150 ' 165 process kit 160 shield 168 ring assembly 170, 170A cover ring 180 isolation component 181 RF power supply 181A RF power source 181B RF match 182 DC source 182A DC Power Supply 184 Feeder 184A- • B Surface 185 Conductive Wall 186 Shield 59 201104004 189 Electromagnetic System 191 Cover Case 193 Motor 193B Dielectric Layer 216 Edge 221 Step 252 ' 254 Wall 258, 268 Inner 262 270 Peripheral 267 Support Ring 276 Groove 402 Clearance 412 > 419 Pivot 414 Cross Arm 415 Counterweight 420 Source solenoid assembly 423 '431 Magnet 426 ' 427 Clearance 441 Angle 502 Deposition ring 510 Annular ring 530 Base grounding assembly 532 Magazine support 561 190 Controller 192 ' 194 Shaft 193 A Shaft 214 Inner 220 Joint 250 Isolation ring 256 Support side 260 ' 266 , 272 Surface 264 Contact surface 267A Spring fitting 278 Inflection point 410 Radial shifting mechanism 413 Rotating plate 414A Clamp 416 ' 417 Bumper 421-422 > 424-425 Magnetic pole 424A, 425A Sheet 429 pole piece 491, 492 shaft 503, 504 surface 516 periphery 531 plate 554 clearance 571, 571A inner circumference 60 201104004 572 protrusion 573 '573A surface 605 housing 610 capacitor 616 input 618 circuit 620 inductor 62 1 resistor 622 interface 624 processor 626 controller 628 motor 662 '663 inductor 700 transistor 702 substrate 704 STI 706 interface layer 708 dielectric layer 710 polysilicon layer (layer) 711 gate structure 712 source / > and polar region 714 gasification layer 716 Telluride 717 Spacer 718 Metal Deposition Front Dielectric Layer 720 Trench 722-723 ' 725 Gate 724 Metal 800, 802 Equation 900 Extinction distribution 902 Cosine distribution 904 Excessive cosine distribution 906, 914 Target 910 Target assembly 912 Back plate 916 Erosion groove 918 Center area 919 Redeposition area 920 Outer edge area 1100 Method 1102, 1 104, 1106 Step A Length C arrow Cn, C, 2 direction C2. RF current D radius D1, D2 diameter E electric field 61 201104004 F conveying point Μ center ml ' m2 mass / particle 北极 north pole 0 distance Ρ plasma PR plasma area R rotation Ri, R_2 direction S Antarctic T extension cord V direction 62

Claims (1)

201104004 七、申請專利範圍: 1· 一種電漿處理腔室,其至少包含: • 一靶材,具有接觸一處理區的一第一表面和面對該第一 表面的一第二表面; 一射頻(RF)電源供應器,耦接該靶材; 一直流(DC)電源供應器,耦接該靶材; 一基材支撐件,具有一基材接收面;以及 一電磁管,鄰接該靶材的該第二表面設置,其中該電磁 管包含: 一外磁極,包含複數個磁鐵;以及 一内磁極,包含複數個磁鐵,其中該外磁極和該内 磁極構成一開迴路電磁管組件。 2·如申凊專利範圍第1項所述之電漿處理腔室,更包含: 一中央進料器,電氣耦接該靶材且具有一第—表面和一 第二表面,其中該RF電源供應器耦接該第一表面,該第 一表面耦接該靶材,該中央進料器設在該靶材 軸上方。 心 3.如申請專利範圍帛w所述之電 理腔 、、料器,電氣耦接該靶材且具有一截面, 铉一主 亚在一 面與一第二表面間延伸且對稱設置在—一 m th tb „ ^ 一^ *^4 四 史該RF電源供應器耦接該第-表面,一直徑深寬 63 201104004 比為約0.001/mm至約0.025/mm,其中該截面之一表面 在該第一表面與該第二表面間延伸。 4.如申請專利範圍第丨項所述之電漿處理腔室,更包含: 一接地屏蔽’至少部分圍住一部分的該處理區且電氣叙 接一接地; 該基材支撐件更包含一電極,設置在該基材接收面下方; 一蓋環;以及 一沉積環,設置在一部分的該基材支撐件上方,其中在 處理期間,該蓋環設置於一部分的該沉積環上,該蓋環 電氣絕緣於該接地,該沉積環和該蓋環設置在該靶材底 下的該基材接收面下方。 腔室,更包含: .如申請專利範圍第4項所述之電漿處理 /可變電容,設置在該電極與該接地之間丨以及 L適於在處理期間調整該可變電容器的一電容201104004 VII. Patent application scope: 1. A plasma processing chamber, comprising at least: • a target having a first surface contacting a processing area and a second surface facing the first surface; a (RF) power supply coupled to the target; a direct current (DC) power supply coupled to the target; a substrate support having a substrate receiving surface; and a solenoid adjacent the target The second surface is disposed, wherein the electromagnetic tube comprises: an outer magnetic pole comprising a plurality of magnets; and an inner magnetic pole comprising a plurality of magnets, wherein the outer magnetic pole and the inner magnetic pole form an open loop electromagnetic tube assembly. 2. The plasma processing chamber of claim 1, further comprising: a central feeder electrically coupled to the target and having a first surface and a second surface, wherein the RF power source The supply is coupled to the first surface, the first surface is coupled to the target, and the central feeder is disposed above the target axis. The core 3. The electric cavity and the material device as described in the patent application scope are electrically coupled to the target and have a cross section, and the main sub-section extends between one side and a second surface and is symmetrically disposed at - m th tb „ ^一^ *^4 Four history The RF power supply is coupled to the first surface, a diameter deep width 63 201104004 ratio of about 0.001 / mm to about 0.025 / mm, wherein one of the surfaces of the section The first surface is extended between the second surface and the second surface. 4. The plasma processing chamber of claim 2, further comprising: a grounding shield 'at least partially enclosing a portion of the processing region and electrically connected to one Grounding; the substrate support further comprises an electrode disposed below the receiving surface of the substrate; a cover ring; and a deposition ring disposed over a portion of the substrate support, wherein the cover ring is disposed during processing The cover ring is electrically insulated from the ground on a portion of the deposition ring, and the deposition ring and the cover ring are disposed under the substrate receiving surface under the target. The chamber further includes: 4th of the plasma treatment / can be a variable capacitor disposed between the electrode and the ground and L adapted to adjust a capacitance of the variable capacitor during processing 表面的一第二表面和~邊緣; 面對該第一a second surface of the surface and a ~ edge; facing the first 基材支樓件’具有一基材接收面; 馬達,包括具一轉軸的—軸桿; /基材支樓件, 奶观电你货應器,耦接該靶材; ,以及 64 201104004 一電磁管,鄰接該靶材的該第二表面設置’其中該電磁 管包含: 一橫臂’耦接該軸桿; 一平板,從一樞點耦接該橫臂,其中該樞點相距該 轉軸一距離;以及 一外磁極和一内磁極,耦接該平板且形成一開迴路 電磁管組件。 7. 如申明專利範圍第6項所述之電漿處理腔室其中當 朝第彳向旋轉時,該平板的一質心經配置以從該轉 轴移動-第-距離,且當朝一第二方向旋轉時,該平板 的該質心經配置以從該轉軸移動一第二距離。 8. 如申請專利範圍第6項所述之電毁處理腔室,其中當 該抽桿朝-第方向旋轉時,該平板的—質心經配置以 按一第三方向繞著該樞點旋轉,當該軸桿朝與該第—方 向相反之-第二方向旋轉時,該平板的該質心經配置以 按一第四方向繞著該樞點旋轉。 9’如申明專利範圍第6項所述之電漿處理腔室,其中該 外磁極和該内磁極形成—弧形的一部分。 10.如巾5青專利範圍第6項所述之電漿處理腔室,更包 含: 65 201104004 一接地屏蔽,至少部分圍住 接一接地; 部分的該處理區且電氣耦 該基材支料更包含-電極,設置在該基材接 一蓋環;以及 方, -沉積環,設置在-部分的該基材支料上方,其 處理期間’該蓋環是設置於—部分的該沉積環二 環電氣絕緣於該接地,該沉積環和該蓋環設置於該 底下的該基材接收面下方。 π. —種電漿處理腔室,其至少包含: 一乾材,具有接觸一處理區的一笛 J弟—表面、面對該第一 表面的一第二表面和—邊緣. 一射頻(RF)電源供應器,耦接該靶材; 一基材支撐件,具有一基材接收面; 一馬達,包括具一轉軸的一軸桿;以及 一電磁管,鄰接該靶材的哕坌一 何的这第一表面設置,其中該電磁 管包含: 外磁極和—内磁極,同心繞著延伸穿過一中心點 的第轴並且形成―閉合迴路電磁f IM4·,# t $ 置於該内磁極和該外;^ ^、fc A 墙極中的複數個磁鐵不對稱設置在 一第一轴四周’ s玄第二站征J由空—山 釉延伸穿過該中心點且垂直該第 一軸。 12. 如申請專利範圍第 U項所述之電漿處理腔室,更包 66 201104004 含: 一接地屏蔽,至少部分圍住一部分的該處理區且電氣耦 接一接地; Λ 該基材支撐件更包含一電極,設置在該基材接收面下方. 一蓋環;以及 一沉積環,設置在一部分的該基材支撐件上方,其中在 處理期間,該蓋環設置於—部分的該沉積環上,該蓋環 電氣絕緣於該接地,該沉積環和該蓋環設置於該靶材底 下的該基材接收面下方。 13. —種電漿處理腔室,其至少包含: 一靶材,具有接觸一處理區的一第一表面和面對該第— 表面的一第二表面; 一射頻(RF)電源供應器,耦接該靶材; -接地屏蔽’至少部分圍住—部分的該處理區且電氣福 接一接地;以及 、 一基材支揮組件,包含: 一支撐件,具有一基材接收面位於該靶材下方; 一蓋環;以及 一%積環,設置在-部分的該支料上方,其才 處理期間,告一;^班上 、 仕 田^ 0又置在該基材接收面上時,該蓋进 設置於一部分的>4玄沉籍戸u 衣 ▲丨刀H儿積%上,該蓋環電氣絕緣於該接 地’該沉積環和該蓋環設置於該基材接收面下方。 67 201104004 14.如申請專利範園第13項所述之電漿處理腔室,更包 含: 一馬達,包括具一轉軸的一軸桿;以及 一電磁管,鄰接該靶材的該第二表面設置,其中該電磁 管包含: 一外磁極和一内磁極,同心繞著延伸穿過一中心點 的一第一軸,並且形成一閉合迴路電磁管組件,其中設 置於該内磁極和該外磁極的複數個磁鐵不對稱設置在一 第二軸四周,該第二軸延伸穿過該中心點且垂直該第一 轴〇 15. 如申請專利範圍第13項所述之電漿處理腔室,更包 含: 一電極’設置於該支撐件中; 一可變電容器,設置在該電極與該接地之間;以及 一控制器,適於在處理期間調整該可變電容器的一電容 量。 16. 如申請專利範圍第13項所述之電漿處理腔室,更包 含: 馬達已括具-轉軸的-軸桿;以及 -電磁管’鄰接該靶材的該第二表面設置,其中該電磁 管包含: 一外磁極, 包含複數個磁鐵;以及 68 201104004 —* 蚀’包含複數個磁鐵,其中該外磁極和該内 磁極形成一開迴路電磁管組件。 17. 如申睛專利範圍第13項所述之電漿處理腔室,更包 含: 一中央進料器’電氣耦接該靶材且具有一第一表面和一 第一表面,其中該尺17電源供應器耦接該第一表面,該第 一表面耦接該靶材,該中央進料器設在該靶材的一中心 軸上方。 18. 如申請專利範圍第13項所述之電漿處理腔室,更包 含一中央進料器,電氣耦接該靶材且具有一戴面,該截 面並在一第一表面與一第二表面間延伸且對稱設置在一 第一軸四周’其中該RF電源供應器耦接該第一表面,一 直徑深寬比為約G.G()1/mm至約Q Q25/mm,其中該截面 之一表面在該第—表面與該第二表面間延伸。 19. 一種沉積一薄膜的方法,包含以下步驟: 利用一射頻(RF)電源供應器,在一腔室的一處理區中形 成一電漿,該RF電源供應器耦接該腔室中的一多重成分 靶材,該多重成分靶材具有接觸該腔室之該處理區的一 第一表面和面對該第一表面的—第二表面; 相對該多重成分靶材平移一電磁管,其中當平移該電磁 管且该電漿形成時,該電磁管相對該多重成分乾材的一 69 201104004 “點位於—第_位置;以及 "匕考賣1 一—容·'、 \ 夕更成分膜至設在該腔室中之一基材支撐件上的 一基材上。 20·—種沉積一薄膜至一基材上的方法,包含以下步驟: 輸送月b里到在—腔室之—處理區中形成的一電漿,其中 該輸送此置包含輸送出自一射頻(RF)電源供應器之一 RF功率至—多重成分靶材、以及輸送出自一直流(DC) 電源供應器之—DC㈣至該多重成分乾材,該多重成 分靶材具有接觸該腔室之該處理區的一第一表面和面對 該第一表面的一第二表面; 相對该多重成分乾材平移一電磁管,其中當平移該電磁 管且該電漿形成0夺,該電磁管相對該多重成分纪材的一 中心點位於一第一位置; 調整一電極上的一偏壓電壓,該電極設置在一基材支撐 件的一基材接收面附近,其中調整該偏壓電壓是藉由改 變一可變電容器的一電容,以控制該電極相對一電接地 所達到的該偏壓電壓; 加壓該處理區達至少20毫托耳;以及 沉積一金屬合金膜至設置在該基材接收面上的一基材 70The substrate support member has a substrate receiving surface; the motor includes a shaft with a rotating shaft; / a substrate supporting member, the milk is charged with the cargo, coupled to the target; and 64 201104004 a magnetic tube, adjacent to the second surface of the target, wherein the electromagnetic tube comprises: a cross arm coupled to the shaft; a plate coupled to the cross arm from a pivot point, wherein the pivot point is apart from the shaft a distance; and an outer magnetic pole and an inner magnetic pole coupled to the flat plate and forming an open loop electromagnetic tube assembly. 7. The plasma processing chamber of claim 6, wherein the centroid of the plate is configured to move from the axis to the first distance and to the second direction when rotating toward the second direction Upon rotation, the center of mass of the plate is configured to move a second distance from the axis of rotation. 8. The electro-destruction processing chamber of claim 6, wherein the center of mass of the plate is configured to rotate about the pivot point in a third direction when the drawbar is rotated in the -first direction. The centroid of the plate is configured to rotate about the pivot point in a fourth direction when the shaft is rotated in a second direction opposite the first direction. 9' The plasma processing chamber of claim 6, wherein the outer magnetic pole and the inner magnetic pole form a portion of an arc. 10. The plasma processing chamber according to item 6 of the 5th patent scope of the towel, further comprising: 65 201104004 a grounding shield, at least partially surrounding the grounding; part of the processing area and electrically coupling the substrate material Further comprising an electrode disposed on the substrate to be attached to a cover ring; and a side, a deposition ring disposed over the substrate portion of the substrate, during which the cover ring is disposed on the portion of the deposition ring The second ring is electrically insulated from the ground, and the deposition ring and the cover ring are disposed below the bottom receiving surface of the substrate. π. A plasma processing chamber comprising at least: a dry material having a flute-contact surface of a processing area, a second surface facing the first surface, and an edge. A radio frequency (RF) a power supply coupled to the target; a substrate support having a substrate receiving surface; a motor including a shaft having a rotating shaft; and a solenoid tube adjacent to the target a first surface arrangement, wherein the electromagnetic tube comprises: an outer magnetic pole and an inner magnetic pole, concentrically extending around a first axis extending through a center point and forming a "closed loop electromagnetic f IM4 ·, # t $ placed in the inner magnetic pole and the Outside; ^ ^, fc A The plurality of magnets in the wall pole are asymmetrically disposed around a first axis. The second station is J. The sky-glaze extends through the center point and perpendicular to the first axis. 12. The plasma processing chamber of claim U, further comprising 66 201104004 comprising: a ground shield, at least partially enclosing a portion of the processing zone and electrically coupled to a ground; Λ the substrate support Further comprising an electrode disposed below the receiving surface of the substrate. a cover ring; and a deposition ring disposed over a portion of the substrate support, wherein the cover ring is disposed at the portion of the deposition ring during processing The cover ring is electrically insulated from the ground, and the deposition ring and the cover ring are disposed below the substrate receiving surface under the target. 13. A plasma processing chamber comprising: a target having a first surface contacting a processing region and a second surface facing the first surface; a radio frequency (RF) power supply, Coupling the target; the grounding shield 'at least partially encloses the portion of the processing region and electrically connected to the ground; and a substrate supporting assembly, comprising: a support member having a substrate receiving surface at the Below the target; a cover ring; and a % of the ring, placed above the part of the material, during the processing period, the first one; ^ class, Shi Tian ^ 0 is placed on the substrate receiving surface The cover is disposed on a portion of the >4 玄 戸 丨 丨 H , , , , , , , , , , , , ' ' ' ' ' ' ' ' ' ' ' ' 该 该 该 该 该 该 该 ' 该 该 该 该. 67 201104004 14. The plasma processing chamber of claim 13, further comprising: a motor comprising a shaft having a shaft; and an electromagnetic tube adjacent to the second surface of the target The electromagnetic tube comprises: an outer magnetic pole and an inner magnetic pole concentrically surrounding a first axis extending through a center point, and forming a closed loop electromagnetic tube assembly, wherein the inner magnetic pole and the outer magnetic pole are disposed a plurality of magnets are disposed asymmetrically around a second shaft, the second shaft extending through the center point and perpendicular to the first shaft 〇 15. The plasma processing chamber of claim 13 further includes An electrode ' is disposed in the support; a variable capacitor disposed between the electrode and the ground; and a controller adapted to adjust a capacitance of the variable capacitor during processing. 16. The plasma processing chamber of claim 13, further comprising: a motor having a shaft-shaft; and - a second surface of the electromagnetic tube adjacent to the target, wherein the The electromagnetic tube comprises: an outer magnetic pole comprising a plurality of magnets; and 68 201104004 - * eclipse comprising a plurality of magnets, wherein the outer magnetic pole and the inner magnetic pole form an open loop electromagnetic tube assembly. 17. The plasma processing chamber of claim 13, further comprising: a central feeder 'electrically coupling the target and having a first surface and a first surface, wherein the ruler 17 The power supply is coupled to the first surface, the first surface is coupled to the target, and the central feeder is disposed above a central axis of the target. 18. The plasma processing chamber of claim 13, further comprising a central feeder electrically coupled to the target and having a wear surface, the cross section being on a first surface and a second Extending between the surfaces and symmetrically disposed around a first axis, wherein the RF power supply is coupled to the first surface, and a diameter aspect ratio is about GG()1/mm to about Q Q25/mm, wherein the cross section A surface extends between the first surface and the second surface. 19. A method of depositing a film comprising the steps of: forming a plasma in a processing region of a chamber using a radio frequency (RF) power supply, the RF power supply coupled to one of the chambers a multiple component target having a first surface contacting the processing region of the chamber and a second surface facing the first surface; translating an electromagnetic tube relative to the multiple component target, wherein When the electromagnetic tube is translated and the plasma is formed, the electromagnetic tube is opposite to the multi-component dry material, and the point is located at the -th position; and the "selling one 1 - Rong ·', \ 夕The film is disposed on a substrate disposed on one of the substrate supports in the chamber. The method for depositing a film onto a substrate comprises the steps of: transporting the month b to the chamber a plasma formed in the processing zone, wherein the transporting means includes delivering RF power from one of a radio frequency (RF) power supply to a multi-component target and delivering it from a DC power supply. DC (four) to the multi-component dry material, the more The component target has a first surface contacting the processing region of the chamber and a second surface facing the first surface; translating an electromagnetic tube relative to the multi-component dry material, wherein when the electromagnetic tube is translated and the electricity Forming a slurry, the electromagnetic tube is located at a first position relative to a center point of the multicomponent component; adjusting a bias voltage on an electrode disposed adjacent to a substrate receiving surface of the substrate support Adjusting the bias voltage by changing a capacitance of a variable capacitor to control the bias voltage reached by the electrode relative to an electrical ground; pressurizing the processing region for at least 20 mTorr; and depositing a a metal alloy film to a substrate 70 disposed on the receiving surface of the substrate
TW099110606A 2009-04-03 2010-04-06 Plasma processing chambers and methods of depositing thin films TWI499682B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16668209P 2009-04-03 2009-04-03
US31937710P 2010-03-31 2010-03-31

Publications (2)

Publication Number Publication Date
TW201104004A true TW201104004A (en) 2011-02-01
TWI499682B TWI499682B (en) 2015-09-11

Family

ID=42825288

Family Applications (1)

Application Number Title Priority Date Filing Date
TW099110606A TWI499682B (en) 2009-04-03 2010-04-06 Plasma processing chambers and methods of depositing thin films

Country Status (5)

Country Link
US (3) US20100252417A1 (en)
KR (2) KR20120004502A (en)
CN (1) CN102439697B (en)
TW (1) TWI499682B (en)
WO (1) WO2010115128A2 (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI659445B (en) * 2013-11-05 2019-05-11 美商應用材料股份有限公司 Radio frequency (rf) – sputter deposition source, deposition apparatus and method of assembling thereof
TWI791615B (en) * 2017-09-26 2023-02-11 日商東京威力科創股份有限公司 Plasma processing apparatus
TWI821300B (en) * 2018-06-19 2023-11-11 美商應用材料股份有限公司 Deposition system with shield mount

Families Citing this family (90)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9771648B2 (en) 2004-08-13 2017-09-26 Zond, Inc. Method of ionized physical vapor deposition sputter coating high aspect-ratio structures
US9767988B2 (en) 2010-08-29 2017-09-19 Advanced Energy Industries, Inc. Method of controlling the switched mode ion energy distribution system
US11615941B2 (en) 2009-05-01 2023-03-28 Advanced Energy Industries, Inc. System, method, and apparatus for controlling ion energy distribution in plasma processing systems
EP2298954B1 (en) * 2009-09-18 2013-03-13 Sandvik Intellectual Property Ab A PVD method for depositing a coating onto a body and coated bodies made thereof
WO2011117945A1 (en) 2010-03-26 2011-09-29 キヤノンアネルバ株式会社 Sputtering device and manufacturing method for electronic device
CN102543645B (en) * 2010-12-14 2015-07-01 北京北方微电子基地设备工艺研究中心有限责任公司 Faraday shield and plasma processing device
CN102534523B (en) * 2010-12-15 2013-12-11 北京北方微电子基地设备工艺研究中心有限责任公司 Magnetron source, magnetron sputtering device and magnetron sputtering method
JP5611803B2 (en) 2010-12-21 2014-10-22 キヤノンアネルバ株式会社 Reactive sputtering equipment
KR101409433B1 (en) 2010-12-28 2014-06-24 캐논 아네르바 가부시키가이샤 Method and apparatus for manufacturing semiconductor device
US8968537B2 (en) 2011-02-09 2015-03-03 Applied Materials, Inc. PVD sputtering target with a protected backing plate
JP6351262B2 (en) 2011-02-09 2018-07-04 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Uniformity adjustable ESC grounding kit for RF PVD chamber
JP5843602B2 (en) * 2011-12-22 2016-01-13 キヤノンアネルバ株式会社 Plasma processing equipment
WO2013094200A1 (en) * 2011-12-22 2013-06-27 キヤノンアネルバ株式会社 Substrate treatment device
US9499901B2 (en) * 2012-01-27 2016-11-22 Applied Materials, Inc. High density TiN RF/DC PVD deposition with stress tuning
US10325759B2 (en) 2012-02-22 2019-06-18 Lam Research Corporation Multiple control modes
US9530620B2 (en) * 2013-03-15 2016-12-27 Lam Research Corporation Dual control modes
US9303311B2 (en) * 2012-03-30 2016-04-05 Applied Materials, Inc. Substrate processing system with mechanically floating target assembly
US20130284589A1 (en) * 2012-04-30 2013-10-31 Youming Li Radio frequency tuned substrate biased physical vapor deposition apparatus and method of operation
US9685297B2 (en) 2012-08-28 2017-06-20 Advanced Energy Industries, Inc. Systems and methods for monitoring faults, anomalies, and other characteristics of a switched mode ion energy distribution system
US9249500B2 (en) * 2013-02-07 2016-02-02 Applied Materials, Inc. PVD RF DC open/closed loop selectable magnetron
US9281167B2 (en) 2013-02-26 2016-03-08 Applied Materials, Inc. Variable radius dual magnetron
KR20200098737A (en) * 2013-03-15 2020-08-20 어플라이드 머티어리얼스, 인코포레이티드 Apparatus and method for tuning a plasma profile using a tuning electrode in a processing chamber
US20160017494A1 (en) * 2013-03-15 2016-01-21 Applied Materials, Inc. Apparatus and method for tuning a plasma profile using a tuning ring in a processing chamber
US10125422B2 (en) * 2013-03-27 2018-11-13 Applied Materials, Inc. High impedance RF filter for heater with impedance tuning device
US10032608B2 (en) * 2013-03-27 2018-07-24 Applied Materials, Inc. Apparatus and method for tuning electrode impedance for high frequency radio frequency and terminating low frequency radio frequency to ground
US10096455B2 (en) 2013-09-17 2018-10-09 Applied Materials, Inc. Extended dark space shield
KR102298032B1 (en) * 2013-09-30 2021-09-02 어플라이드 머티어리얼스, 인코포레이티드 Apparatus and method for tuning electrode impedance for high frequency radio frequency and terminating low frequency radio frequency to ground
CN104752302B (en) * 2013-12-30 2018-05-08 北京北方华创微电子装备有限公司 A kind of base supports structure and chamber
KR102152706B1 (en) 2014-03-06 2020-09-07 삼성전자주식회사 Layer deposition systems and methods of forming conductive patterns using the same
US10242873B2 (en) * 2014-03-06 2019-03-26 Applied Materials, Inc. RF power compensation to control film stress, density, resistivity, and/or uniformity through target life
US9396953B2 (en) * 2014-03-14 2016-07-19 Taiwan Semiconductor Manufacturing Company, Ltd. Conformity control for metal gate stack
US9953813B2 (en) * 2014-06-06 2018-04-24 Applied Materials, Inc. Methods and apparatus for improved metal ion filtering
WO2016017047A1 (en) * 2014-07-28 2016-02-04 キヤノンアネルバ株式会社 Film formation method, vacuum treatment device, method for producing semiconductor light-emitting element, semiconductor light-emitting element, method for producing semiconductor electronic element, semiconductor electronic element, and lighting device
US9991101B2 (en) * 2014-07-29 2018-06-05 Applied Materials, Inc. Magnetron assembly for physical vapor deposition chamber
JP2016051876A (en) * 2014-09-02 2016-04-11 パナソニックIpマネジメント株式会社 Plasma processing device and plasma processing method
JP6296299B2 (en) * 2014-09-02 2018-03-20 パナソニックIpマネジメント株式会社 Plasma processing apparatus and plasma processing method
WO2017098292A1 (en) * 2015-12-09 2017-06-15 Applied Materials, Inc. System configured for sputter deposition on a substrate, shielding device for a sputter deposition chamber, and method for providing an electrical shielding in a sputter deposition chamber
US10529554B2 (en) * 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
WO2017155812A1 (en) * 2016-03-05 2017-09-14 Applied Materials, Inc. Methods and apparatus for controlling ion fraction in physical vapor deposition processes
KR20170132952A (en) * 2016-05-24 2017-12-05 삼성디스플레이 주식회사 Electronic device and manufacturing device of the same, and method of manufacturing electronic device
US10858727B2 (en) 2016-08-19 2020-12-08 Applied Materials, Inc. High density, low stress amorphous carbon film, and process and equipment for its deposition
KR102195798B1 (en) 2016-09-23 2020-12-28 어플라이드 머티어리얼스, 인코포레이티드 Sputtering showerhead
DE102016122221A1 (en) * 2016-11-18 2018-05-24 VON ARDENNE Asset GmbH & Co. KG Method and sputtering arrangement
US10886113B2 (en) 2016-11-25 2021-01-05 Applied Materials, Inc. Process kit and method for processing a substrate
US10153203B2 (en) * 2016-11-29 2018-12-11 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for forming metal layers in openings and apparatus for forming same
CN108231526B (en) * 2016-12-14 2020-06-19 北京北方华创微电子装备有限公司 Chamber and semiconductor equipment
US10927449B2 (en) 2017-01-25 2021-02-23 Applied Materials, Inc. Extension of PVD chamber with multiple reaction gases, high bias power, and high power impulse source for deposition, implantation, and treatment
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US10655226B2 (en) * 2017-05-26 2020-05-19 Applied Materials, Inc. Apparatus and methods to improve ALD uniformity
CN107227446A (en) * 2017-07-04 2017-10-03 北京北方华创微电子装备有限公司 Semiconductor equipment and its impedance adjusting method
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
KR102405723B1 (en) 2017-08-18 2022-06-07 어플라이드 머티어리얼스, 인코포레이티드 High pressure and high temperature annealing chamber
US10571069B2 (en) * 2017-09-14 2020-02-25 Applied Materials, Inc. Gimbal assembly for heater pedestal
US10636629B2 (en) * 2017-10-05 2020-04-28 Applied Materials, Inc. Split slit liner door
SG11202003355QA (en) 2017-11-11 2020-05-28 Micromaterials Llc Gas delivery system for high pressure processing chamber
WO2019099925A1 (en) 2017-11-17 2019-05-23 Advanced Energy Industries, Inc. Spatial and temporal control of ion bias voltage for plasma processing
CN111432920A (en) 2017-11-17 2020-07-17 应用材料公司 Condenser system for high pressure processing system
TWI804836B (en) 2017-11-17 2023-06-11 新加坡商Aes 全球公司 Method and system for plasma processing and relevant non-transitory computer-readable medium
WO2019099937A1 (en) 2017-11-17 2019-05-23 Advanced Energy Industries, Inc. Improved application of modulating supplies in a plasma processing system
WO2019173006A1 (en) 2018-03-09 2019-09-12 Applied Materials, Inc. High pressure annealing process for metal containing materials
CN110344006B (en) * 2018-04-02 2020-08-21 北京北方华创微电子装备有限公司 Process kit in reaction chamber and reaction chamber
US10975464B2 (en) 2018-04-09 2021-04-13 International Business Machines Corporation Hard mask films with graded vertical concentration formed using reactive sputtering in a radio frequency deposition chamber
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US10867776B2 (en) * 2018-05-09 2020-12-15 Applied Materials, Inc. Physical vapor deposition in-chamber electro-magnet
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
JP7209247B2 (en) * 2018-09-25 2023-01-20 パナソニックIpマネジメント株式会社 Element chip manufacturing method
US11791176B2 (en) 2018-10-28 2023-10-17 Applied Materials, Inc. Processing chamber with annealing mini-environment
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
JP2022514421A (en) * 2018-12-19 2022-02-10 エヴァテック・アーゲー Vacuum system and method for depositing compound layers
CN113767187A (en) * 2019-04-19 2021-12-07 应用材料公司 Method of forming metal-containing materials
CN110333127B (en) 2019-06-24 2020-06-12 北京大学 Online measurement system, method and application of gas-phase semi-volatile organic compounds
TW202109611A (en) 2019-07-12 2021-03-01 新加坡商Aes全球公司 Bias supply with a single controlled switch
KR102144094B1 (en) * 2019-07-26 2020-08-12 주식회사 엠디케이 Microwave chamber with blades
CN112553583B (en) * 2019-09-25 2023-03-28 亚威科股份有限公司 Sputtering apparatus and sputtering apparatus control method
US11521832B2 (en) * 2020-01-10 2022-12-06 COMET Technologies USA, Inc. Uniformity control for radio frequency plasma processing systems
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
US20210319989A1 (en) * 2020-04-13 2021-10-14 Applied Materials, Inc. Methods and apparatus for processing a substrate
CN111733391A (en) * 2020-05-30 2020-10-02 长江存储科技有限责任公司 Physical vapor deposition device
CN113823582A (en) * 2020-06-21 2021-12-21 拓荆科技股份有限公司 Apparatus, system, and method for processing station impedance adjustment
US11315771B2 (en) 2020-07-14 2022-04-26 Applied Materials, Inc. Methods and apparatus for processing a substrate
US11581166B2 (en) * 2020-07-31 2023-02-14 Applied Materials, Inc. Low profile deposition ring for enhanced life
JP2024504272A (en) * 2021-01-05 2024-01-31 アプライド マテリアルズ インコーポレイテッド Substrate processing method and apparatus using improved shield configuration
US11863089B2 (en) * 2021-03-26 2024-01-02 Applied Materials, Inc. Live measurement of high voltage power supply output
US11569071B2 (en) * 2021-03-26 2023-01-31 Taiwan Semiconductor Manufacturing Co., Ltd. Cover ring and ground shield for physical vapor deposition chamber
CN117441223A (en) * 2021-06-21 2024-01-23 应用材料公司 Method and apparatus for controlling RF electrode impedance in a processing chamber
WO2023043795A1 (en) * 2021-09-17 2023-03-23 Lam Research Corporation Symmetric coupling of coil to direct-drive radiofrequency power supplies
US11942309B2 (en) 2022-01-26 2024-03-26 Advanced Energy Industries, Inc. Bias supply with resonant switching
US11670487B1 (en) 2022-01-26 2023-06-06 Advanced Energy Industries, Inc. Bias supply control and data processing
TWI790138B (en) * 2022-03-08 2023-01-11 天虹科技股份有限公司 Control method of a substrate holder of deposition equipment
CN115323334B (en) * 2022-07-15 2024-02-20 江苏迪盛智能科技有限公司 Sputtering method and sputtering device

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US634782A (en) * 1899-02-25 1899-10-10 Johannes Zeltner Dietz Staircase-rule.
US4731172A (en) * 1985-04-18 1988-03-15 Matsushita Electric Industrial Co., Ltd. Method for sputtering multi-component thin-film
JP2936276B2 (en) * 1990-02-27 1999-08-23 日本真空技術株式会社 Method and apparatus for manufacturing transparent conductive film
US5293126A (en) * 1992-11-09 1994-03-08 General Electric Company Local transverse gradient coil
US5511799A (en) * 1993-06-07 1996-04-30 Applied Materials, Inc. Sealing device useful in semiconductor processing apparatus for bridging materials having a thermal expansion differential
US5540821A (en) 1993-07-16 1996-07-30 Applied Materials, Inc. Method and apparatus for adjustment of spacing between wafer and PVD target during semiconductor processing
US6039580A (en) * 1998-07-16 2000-03-21 Raytheon Company RF connector having a compliant contact
US6117279A (en) * 1998-11-12 2000-09-12 Tokyo Electron Limited Method and apparatus for increasing the metal ion fraction in ionized physical vapor deposition
US6440282B1 (en) * 1999-07-06 2002-08-27 Applied Materials, Inc. Sputtering reactor and method of using an unbalanced magnetron
US6296747B1 (en) * 2000-06-22 2001-10-02 Applied Materials, Inc. Baffled perforated shield in a plasma sputtering reactor
KR100439474B1 (en) * 2001-09-12 2004-07-09 삼성전자주식회사 Sputtering apparatus for depositing a film
US7041201B2 (en) * 2001-11-14 2006-05-09 Applied Materials, Inc. Sidewall magnet improving uniformity of inductively coupled plasma and shields used therewith
KR100846484B1 (en) * 2002-03-14 2008-07-17 삼성전자주식회사 Rotation magnetron in magnetron electrode and method of manufacturing the same and sputtering apparatus with the same
US7041200B2 (en) * 2002-04-19 2006-05-09 Applied Materials, Inc. Reducing particle generation during sputter deposition
US7179351B1 (en) * 2003-12-15 2007-02-20 Novellus Systems, Inc. Methods and apparatus for magnetron sputtering
KR100972812B1 (en) 2004-03-24 2010-07-28 어플라이드 머티어리얼스, 인코포레이티드 Selectable dual position magnetron
US7018515B2 (en) * 2004-03-24 2006-03-28 Applied Materials, Inc. Selectable dual position magnetron
JP4040607B2 (en) * 2004-06-14 2008-01-30 芝浦メカトロニクス株式会社 Sputtering apparatus and method, and sputtering control program
US7244344B2 (en) * 2005-02-03 2007-07-17 Applied Materials, Inc. Physical vapor deposition plasma reactor with VHF source power applied through the workpiece
WO2006083929A2 (en) 2005-02-03 2006-08-10 Applied Materials, Inc. A physical vapor deposition plasma reactor with rf source power applied to the target
JP4997380B2 (en) * 2005-04-11 2012-08-08 学校法人慶應義塾 Method for locally measuring the mobility of a protic solvent in a sample, apparatus for locally measuring the mobility of a protic solvent in a sample
US20070283884A1 (en) 2006-05-30 2007-12-13 Applied Materials, Inc. Ring assembly for substrate processing chamber
US7767064B2 (en) * 2006-10-27 2010-08-03 Applied Materials, Inc. Position controlled dual magnetron
US8920611B2 (en) * 2008-07-15 2014-12-30 Applied Materials, Inc. Method for controlling radial distribution of plasma ion density and ion energy at a workpiece surface by multi-frequency RF impedance tuning
US8070925B2 (en) * 2008-10-17 2011-12-06 Applied Materials, Inc. Physical vapor deposition reactor with circularly symmetric RF feed and DC feed to the sputter target
US20100104771A1 (en) * 2008-10-24 2010-04-29 Applied Materials, Inc. Electrode and power coupling scheme for uniform process in a large-area pecvd chamber
US8795488B2 (en) * 2010-03-31 2014-08-05 Applied Materials, Inc. Apparatus for physical vapor deposition having centrally fed RF energy
US8486242B2 (en) * 2010-10-18 2013-07-16 Applied Materials, Inc. Deposition apparatus and methods to reduce deposition asymmetry

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI659445B (en) * 2013-11-05 2019-05-11 美商應用材料股份有限公司 Radio frequency (rf) – sputter deposition source, deposition apparatus and method of assembling thereof
US10546732B2 (en) 2013-11-05 2020-01-28 Applied Materials, Inc. Sputter deposition source, apparatus for sputter deposition and method of assembling thereof
TWI791615B (en) * 2017-09-26 2023-02-11 日商東京威力科創股份有限公司 Plasma processing apparatus
TWI821300B (en) * 2018-06-19 2023-11-11 美商應用材料股份有限公司 Deposition system with shield mount

Also Published As

Publication number Publication date
US20170029941A1 (en) 2017-02-02
CN102439697B (en) 2015-08-19
KR101841236B1 (en) 2018-03-22
US10763090B2 (en) 2020-09-01
TWI499682B (en) 2015-09-11
WO2010115128A2 (en) 2010-10-07
KR20170037678A (en) 2017-04-04
US20200357616A1 (en) 2020-11-12
WO2010115128A9 (en) 2011-03-24
CN102439697A (en) 2012-05-02
WO2010115128A3 (en) 2011-01-13
US20100252417A1 (en) 2010-10-07
KR20120004502A (en) 2012-01-12
WO2010115128A4 (en) 2011-03-03

Similar Documents

Publication Publication Date Title
TW201104004A (en) High pressure RF-DC sputtering and methods to improve film uniformity and step-coverage of this process
US7378002B2 (en) Aluminum sputtering while biasing wafer
US7294574B2 (en) Sputter deposition and etching of metallization seed layer for overhang and sidewall improvement
US6562715B1 (en) Barrier layer structure for copper metallization and method of forming the structure
KR101760846B1 (en) Methods for depositing metal in high aspect ratio features
US6277249B1 (en) Integrated process for copper via filling using a magnetron and target producing highly energetic ions
US6391163B1 (en) Method of enhancing hardness of sputter deposited copper films
EP1114436B1 (en) Physical vapor processing of a surface with non-uniformity compensation
JP2004526868A5 (en)
JP2018537849A (en) System and method for low resistance physical vapor deposition of tungsten films
JP2018537849A5 (en)
US8563428B2 (en) Methods for depositing metal in high aspect ratio features
JP4762187B2 (en) Magnetron sputtering apparatus and method for manufacturing semiconductor device
US6468404B2 (en) Apparatus and method for reducing redeposition in a physical vapor deposition system
TW201016875A (en) Confining magnets in sputtering chamber
EP4174208A1 (en) Pvd method and apparatus
US20140110248A1 (en) Chamber pasting method in a pvd chamber for reactive re-sputtering dielectric material
TW202338128A (en) Electromagnet pulsing effect on pvd step coverage

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees