TW200933889A - Structure and method for compact long-channel FETs - Google Patents

Structure and method for compact long-channel FETs Download PDF

Info

Publication number
TW200933889A
TW200933889A TW097137469A TW97137469A TW200933889A TW 200933889 A TW200933889 A TW 200933889A TW 097137469 A TW097137469 A TW 097137469A TW 97137469 A TW97137469 A TW 97137469A TW 200933889 A TW200933889 A TW 200933889A
Authority
TW
Taiwan
Prior art keywords
semiconductor
ordered
pattern
dielectric
semiconductor substrate
Prior art date
Application number
TW097137469A
Other languages
English (en)
Inventor
Bruce B Doris
Carl J Radens
Anthony K Stamper
Original Assignee
Ibm
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Ibm filed Critical Ibm
Publication of TW200933889A publication Critical patent/TW200933889A/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1037Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure and non-planar channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66613Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation
    • H01L29/66621Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation using etching to form a recess at the gate location

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Description

200933889 九、發明說明: 【發明所屬之技術領域】 本發明係關於半導體結構及其製造方法。尤其是,本 發明關於具有長通道長度及/或寬通道寬度之緊密型 (compact)場效電晶體(FET)。本發明亦提供利用自組 (self-assembled)聚合物技術形成此類緊密型FET之方法。 φ 【先前技術】 於半導體工業中,對於增加積體電路(ICs)的操作速度 有著持續的要求。因希望電子裝置(例如電腦)以顯著增加 的更大速度操作的需求’更激起要求的增加。希望速度增 加的要求導致半導體裝置持續的尺寸縮減(即緊密性)。具 體而s ,降低場效電晶體的通道長度、接面深度、及/或閘 介電質厚度,以提供緊密型半導體結構。 ⑩ 因此,持續驅使著降低典型FET組件的尺寸或尺規, 以增加FET的整體速度。此外,降低典型FET組件的尺 寸或尺規’亦增加可於特定單一半導體晶圓上製造FET的 密度及數量。 然而,降低電晶體的通道長度,.亦增加短通道效應。 除了其他事物,短通道效應包含當電晶體為「關」時,源 極/汲極(S/D)所増加的漏電流。 6 200933889 應注意的是,長通道電晶體中的短通道效應係相對地 較不重要。然而’由於接觸節距(⑽tactpitch)限制了長通 道FET ’所以通常报難將長通道FET製造成緊密結構。 々綜觀上述,業者需要一種具有長通道長度及/或寬通道 寬度而不降低電晶體設計規則之半導體結構。再者,業者 需要一種在固定接觸節距内包含長通道長度及/或寬通道 Ο 見度之半導體結構’且不會在閘極導體及源極/汲極接觸區 域間造成短路。更者,業者需要一種在固定接觸節距内包 含長通道長度及/或寬通道寬度之半導體結構,且不會減少 源極/汲極接觸區域及閘極導體間之間隔,而造成源極/汲 極接觸區域到閘極導體之組件搞合電容增加。 【發明内容】 本發明提供一種緊密型半導體結構,其包含至少一場 參效電晶體(FET)於半導體基板之表面之上及之内,其中至 少一場效電晶體包含長通道長度及/或寬通道寬度。 於此所用「通道長度」一詞,表示半導體基板於閘極 導體之下的區域,其位於與FET電流垂直之方向。於此所 用「通道寬度」一詞,表示半導體基板於閘極導體之下的 區域,其位於與電流平行之方向。於此所用「長」通道長 度一詞,表示與電流垂直之通道長度約30nm或更大。於 此所用「寬」通道寬度一詞’表示與電流方向平行之通道 200933889 寬度約200nm或更大’而更典型通道寬度約3〇〇至約 800nm。 ★本發明提供緊密型半導體結構,而不降低電晶體的設 δ十規則。再者,本發明提供在固定接觸節距内之半導體結 構,而不造成閘極導體及源極/汲極接觸區域短路,不會減 少源極/汲極接觸區域及閘極導體間之間隔而造成源極/汲 Φ 極接觸區域到閘極導體之組件耦合電容增加。本發明之 FET具有較緊縮的電流分佈,轉致更穩定的裝置效能。 一般而言’本發明之半導體結構包含: 至少一場效電晶體,位於半導體基板之表面之上及之 内,至少一場效電晶體具有通道於半導體基板内位於圖案 化閘極導體之下,其中通道具有一有序奈米尺寸圖案。 於本發明某些實施例中,有序奈米尺寸圖案定向於盘 β 冑流垂直之方向。於此類實施例’FET具有相關的長通^ 長度。於本發明其他實施例中,有序奈米尺寸圖案定向於 與電流平行之方向。於此類實施例,FET具有相關的寬通 道寬度。又於另一實施例中,一個有序奈米尺寸圖案定向 於與電流垂直之方向,而另一個有序奈米尺寸圖案定向於 與電流平行之方向。於此類實施例中,提供具有長通道長 度及寬通道寬度之FET。 、 8 200933889 本案中「有序奈米尺寸圖案」一詞,表示具有寬度各 約50nm或更小之重複結構性單元之設計。有序奈米尺寸 圖案可為線/間隔圖案之設計,其中各線及間隔具有寬度約 50nm或更少。 呈現於閘極導體下之半導體基板中之有序奈米尺寸 圖案’增加了通道的總表面積而對結構的緊密性沒有負面 ❹ 影響。 、 除上所述’本發明亦提供一種製造此類半導體結構之 方法。尤其是,本發明方法結合習知CMOS製程與自組聚 合物技術。自組聚合物技術用以形成有序奈米尺寸圖案於 半導基板後續將作為裝置通道之區域中。 一般而言,本發明方法包含: 形成至少一有序奈米尺寸圖案於半導體基板之至少 ❿ 一區域中; 形成至少一閘介電質及閘極導體於半導體基板包含 至少一有序奈米尺寸圖案之該至少一區域頂上;以及 形成源極及沒極擴散區域於半導體基板中,且於半導 體基板中與半導體基板包含至少一有序奈米尺寸圖案之 至少一區域相鄰之其他區域中。 於本發明某些實施例中’有序奈米尺寸圖案定向於與 9 200933889 電流垂直之方向。於此類實施例,FET具有相關的長通道 長度。於本發明其他實施例中,有序奈米尺寸圖案定向於 與電流平行之方向。於此類實施例,FET具有相關的寬通 道寬度。又於另一實施例中,一個有序奈米尺寸圖案定向 於與電流垂直之方向,而另一個有序奈米尺寸圖案定向於 與電流平行之方向。於此類實施例中,提供具有長通道長 度及寬通道寬度之FET。 於本發明方法中,利用嵌段共聚物來提供有序奈米尺 寸圖案於半導體基板。當於平表面放置及退火嵌段共聚物 時,嵌段共聚物自組成嵌於聚合基質中之多個單元聚合物 嵌段之有序陣列。本發明之嵌段共聚物較佳包含重量比約 80:20到約60:40之第一及第二聚合嵌段組成a及B。 可用於本發明之適當嵌段共聚物包含但不限於:聚笨 乙烯-嵌段-聚甲基丙烯酸甲酯(PS-b-ΡΜΜΑ)、聚苯乙烯-嵌 段-聚異戊二烯(PS-b-PI)、聚苯乙烯-嵌段-聚丁二烯 (PS-b-PBD)、聚苯乙烯-嵌段-聚乙烯吡啶(ps_b_pvp)、聚 苯乙烯-嵌段-聚氧化乙烯(PS_b_PE〇)、聚苯乙烯_嵌段_聚乙 烯(PS_b_PE)、聚苯乙烯-嵌段·聚有機矽酸鹽(PS-b-POS)、 聚苯乙烯-嵌段·聚二茂鐵二甲基矽烷(ps_b_pFS)、聚氧化 乙烯-嵌段-聚異戊二烯(PE〇_b_pi)、聚氧化乙烯_嵌段-聚丁 二烯(ΡΕΟ-b-PBD)、聚氧化乙烯_嵌段_聚甲基丙烯酸甲酯 (PEO-b-PMMA)、聚氧化乙烯-嵌段-聚乙基乙烯 10 200933889 (PEO,b_PEE)、聚丁二缔_嵌段聚乙命比咬(pBD_b pvp)、 以及聚異戊二稀-嵌段-聚甲基丙烯酸曱醋(PI-b-PMMA)。 於本發明一特定較佳實施例中,嵌段共聚物包含 PS b PMMA’而p&PMMA重量比範圍約80:20至約60:40。 較佳但非絕對藉由熱退火或紫外光退火,來執行嵌段
【實施方式】 >考以下„尤明及本案伴隨圖式,將詳細說明本發明提 供包含具有長猶長度及/或寬通道寬度之㈣型場效 ㈣結構及其製造方法。應注意本案所提供之圖 式僅作為說明目的,因此並未依比例繪示。
於以下說财,提供許多特定細節,例如特定結構、 料、尺寸、製齡驟、缝技術,以提供對本發 ,足i了解。然而,熟此技藝者應知本發明可不以這些 ΐίΓ節實施。於其他實施例中,熟知的結構或製程步^ 亚禾加坪細說明,以避免模糊本發明。 _應r解的是,當元件為層、區域、或基板且 一凡件’’之上,,或,,上方,,時,其可直接位於另—元件上 存在有t介元件。相對地,當元件表示為”直接在上,’ 200933889 於:二元件時即指不存在有中介元件。同樣 疋’ ^件表示為在另—元件,,下方,,或门樣 …可直接於另一元件下方< 二 件。相雜,a - Λ 或者可存在有中介元 丨s轉表示為,,直接在下,,或,,直接在下方 另一元件時則指不存在有中介元件。 ;
=上顺’本發賴供&含具有長通道長度及/或寬通 度之至-緊密型FET之半導體結構。於此所用「通 C長度」一詞,表示半導體基板於閘極導體之下的區域, 其位於與FET電流垂直之方向。於此所用「通道寬度」一 ^表示半‘體基板於閘極導體之下的區域,其位於與電 =平打之方向。於此所用「長」通道長度一詞,表示與電 々•l垂直之通道長度約3〇奈米(nm)或更大。典型地,此通 道長度約35至約6〇nm。於此所用「寬」通道寬度一詞, 表示與電流方向平行之通道寬度約200nm或更大,而更典 型通道寬度約300至約800nm。
參考圖1_7,顯示本發明製造包含長通道之緊密型FET 之實施例。雖然此實施例已具體說明及顯示,但以下所述 相同的製程步驟可用以形成具有寬通道寬度之緊密型 FET ’除了圖案化自組聚合物將開口定向於與電流相同的 方向’而非與電流垂直的方向。 現參考圖1,其顯示於本發明中所形成之初始結構 200933889 1〇。初始結構10包含半導體基板12,而於半導體基板12 頂上的疋犧牲心軸結構14,其包含至少一開口 16形成於 其中此至少一開口 16暴露了半導體基板12將形成發明 性裝置通道之區域11。 用於初始結構10之半導體基板12可包含任何半導體 材料,其包含但不限於:Si、Ge、SiGe、sic、SiGeC、Ge、 ❹ GaAs GaN、InAs、InP、以及所有其他III/V或IWI族 化=物半導體。半導體基板u亦可包含有機半導體或層 狀半導體,例如si/siGe、絕緣層上石夕(S0I)、絕緣層上石夕 錯(SGOI)、或、絕緣層上鍺(G〇I)。基板12可包含一或更多 異結構層,例如(由上而下):Si/Ge/SiGe、&/、
Si/SiGe/Si、或SiGe/si ’以作為高遷移率通道層。於本發 明某些實施例中,半導體基板12較佳包括含石夕半導體材 料’即包含矽的半導體材料。 〇 .半導體基板可為摻雜的、未摻雜的、或於其中含 有摻雜及未摻雜區域。半導體基板12可包含單一晶向, 兩個共平面表面區域(後者基板 於此技術領域稱為齡(hybrid)基板)。當制混合基板 時,nFET通常形成於⑽)結晶表面,而卿通常形成 於⑴0)結晶面。混合基板可藉由本技術領域熟知的技術形 成0 13 200933889 半導體基板12亦可包含第—摻雜(n或p)區域以及第 二摻雜(η或p)㈣。為清楚說明’ #祕域並未特別顯示 於本案圖式中。第-摻雜區域及第二摻雜區域可為相同, 或可具有不同的導電性及/或摻雜質濃度。摻雜區域熟知為 「井」,並可利用習知離子佈植製程形成。 至少一隔離區域(未顯示)典型呈現於半導體基板12 ❹ 巾。隔祕域可為淺溝渠隔離或場氧化區域,溝渠隔離區 利用熟此技藝者所熟知的f知溝渠隔離製程形成,而 場氧化則可使用所謂區域石夕氧化製程开》成。應注意的是, 至少一隔離區域提供相鄰閘極區域間的隔離,其典型為當 相鄰閘極具有相反導電性(即nFET&pFET)時所需的。相 鄰閘極區域可具有相同導電性(即皆為n型或p型),或選 替地可具有不同的導電性(即一者為n型而另一者為?型)。 之後形成具有至少一開口 16之犧牲心軸結構14於半 β 冑體基板12之表面上。於-實施例中,具有至少一開口 16之犧牲心軸結構14可經由沉積、微影、及蝕刻步驟而 形成。形成犧牲心軸材料毯覆層於基板上之沉積步驟可包 含習知的沉積製程,例如化學氣相沉積(CVD)、電漿強化 化學氣相沉積(PECVD)、化學溶液沉積、及物理氣相沉積 (=VD)。微f彡步驟&含㈣光阻(未顯*)於犧牲心軸材料毯 f層之表面上,將光阻暴露於所需圖案的照射下,以及顯 影曝光後的光阻。蝕刻步驟包含乾蝕刻製程(例如反應式離 14 200933889 子,刻、離子束侧、賴侧、或#射_)及濕韻刻製 程其中之一。 =-選替實施例中’具有至少—開σ 16之圖案化犧 ^心軸14可使用替代_製程而形成,其中首先形成圖 案化多晶石夕層(未顯示)於半導體基板u之表面上而後沉 積及回侧犧牲心軸材料毯覆層,接著移除圖案化多晶石夕 ❹ 層’祕健有至少—如16之犧牲冲結構14於半導 體基板12之表面上。 雖然前述實施例用於形成具有至少一開口 16之犧牲 心軸結構14於半導體基板12之表面上,犧牲心軸結構14 包含典型為介電層之犧牲心軸材料,包含如氧化物、氮化 物、氮氧化物、旋塗玻璃、聚合物、光阻、及其多層。犧 牲心軸結構14的厚度可視心軸材料及用以形成的沉積技 術而變化。典型地’犧牲心軸結構14的厚度約l〇nm至約 ® 500nm ’而更典型厚度約50至約300nm。 呈現於犧牲心軸結構14之至少一開口 16的寬度,由 於微影涉及其形成而典塑地介於微影之極限内。因此,舉 例而言,至少—開口 16的寬度典型約50nm或更大,而更 典型I度約55至約7〇nm。 ^佈—層自組嵌段共聚物(block copolymer)到圖1所 15 200933889 Z - J—開口16中,然後退火以形成含有重複結構性 二2有序81案。自組嵌段共聚物層的高度具有與犧牲心 14厚度實質相同的厚度,因此,自組嵌段共聚物 =延伸高概牲痛結構M的最上絲面。犧牲心轴結 =界疋至少—開口 16之㈣作為將嵌段共聚物維 在而要圖案化區域内的定位材料。 ❹ 可用於實施本發明之嵌段共聚物有許多不同 型丄只要嵌段共聚物含有兩個或更多彼此不融混之不 合嵌段組成,此類兩個或更林同聚合嵌段組成論 奈米等級之兩個或更多不_,藉此在適t的條件下^ 分隔的奈米尺寸結構性單元的圖案。 於本發明較佳但非必要的實施例中,嵌段共 由彼此不融混的第一及第二聚合嵌段組成A&B 喪段共聚物可含有以任何方式配置之任何數° 段組成A及B。嵌段共聚物可具有線形或支鏈形二二 佳地,此類嵌段共聚物為具有化學式A及B之 共聚物。再者,嵌段共聚物可具有以下任一化學^ .肷又
A-B—-A., B
-A
-B -A-A-B· -B., -A -B
A
A
-B -AIB -B-丨A A 9.pp 'A.— B ώA.B 16 200933889 等。 可用於形成本發明結構性單元之適當的嵌段共聚物 範例包含但不限於:聚苯乙烯·嵌段-聚曱基丙烯酸曱酯 (PS-b-PMMA)、聚苯乙烯-嵌段-聚異戊二烯(PS-b-PI)、聚 苯乙烯-嵌段-聚丁二烯(PS-b-PBD)、聚苯乙烯-嵌段-聚乙烯 ❹ 吡啶(PS-b-PVP)、聚苯乙晞-嵌段-聚氧化乙烯 (PS-b-PEO)、聚苯乙烯-被段-聚乙稀(ps-b-PE)、聚苯乙稀· 嵌段-聚有機矽酸鹽(PS-b-POS)、聚苯乙烯_嵌段-聚二茂鐵 二甲基矽烷(PS-b-PFS)、聚氧化乙稀-嵌段-聚異戊二烯 (PEO-b-PI)、聚氧化乙婦-嵌段-聚丁二稀(pE〇 b_pBD)、聚 氧化乙稀-敌段-聚甲基丙烯酸曱酯(pE〇_b_pMMA)、聚氧化 乙烯-嵌段-聚乙基乙烯(PE〇-b~PEE)、聚丁二烯_嵌段_聚乙 烯財(刚如PVP)、以及聚異戊二婦-嵌段-聚甲基丙稀酸 曱酯(PI-b-PMMA)。
欣仅,、取初形成之特定結構性單元,由第一 合嵌段紕成A及B _分子量比來決定^ 量:與第二聚合—成“ 山 約8〇:20時’喪段共聚物將形成由第-取人 成於第, 合分子量 17 200933889 絲物將軸由第二聚合紐所構成的柱 吸人;Γϊ第—聚嵌段組成A所構成之基質中。當第一 聚口敢&組成A的分子量與第二聚合嵌段組成㈣分子量 21 匕箆小60:40但大於約40:60時’嵌段共聚物將形 成由第一及紅聚合紐組成A及B所構成的交替片層 =一因此於本發a狀嵌段共聚物_,可輕易調整第一及 第二聚合嵌段組成A及B間之分子量比
構性單元。 〜,而97、、口 於本發明較佳實施例中,第—聚合嵌段組成A的分子 量與第二聚合紐組成B的分子量之崎_ 8():2〇到約 60:40’使得本發明之嵌段絲物將形成由第二聚合嵌段組 成B所構成之線形有料册第—聚雜組成a所構成之 甚L皙Φ。 較佳地’組成A及B其中之一可相對於彼此選擇性地 ❹ 轉’因而造成由不可移除組成所構成之分離的有序配置 結構性單元,或含有可移除紐成留下的分離有序配置的孔 穴或溝渠之連續結構層。 於圖2中,嵌段共聚物之不可移除組成標示為參考標 號18,而由嵌段共聚物之可移除組成產生的溝渠標示為參 考私號20。應注思,雖然本實施例顯示形成線/間隔圖案, 但本發明不限於此。由於本發明方法使用自組嵌段共聚 18 200933889 物,各重複單元具有寬度約5〇nm或更少。可圖案化/形成 任何類型的圖案,包含如球形、柱形、或片層形(lamdlae)。 於本發明一特定較佳實施例中,用於形成本發明之自 組週期圖案的嵌段共聚物為pS_b_PMMA,而PS:PMMA 分子量比範圍約80:20至約60:40。 ❹ 典型地,於嵌段共聚物中不同聚合嵌段組成間的互斥 由ΧΝ表示,其中%為佛赫交互作用參數(F1〇ry_Huggins interaction parameter),而N為聚合程度。%N越高,嵌段 共^^物中不同欣段的互斥越高,而越可能於其間發生相分 離。當/ N>10時(於後稱強離析極限),嵌段共聚物中不同 的嵌段強烈傾向發生相分離。 對PS-b-PMMA雙嵌段共聚物而言,可計算/約 0.028+3.9/T,其中T為絕對溫度。因此於473K(約2〇〇°c) ® 時,%約為0.0362。當PS-b-pMMA雙嵌段共聚物的分子 量(Μη)約 64Kg/mol ’ 而分子量比(PS:PMMAy々 66:34,聚 合程度N約622.9時’ χ N於200°C時約為22.5。 於此方法中’調整一或更多參數’例如組成、總分子 量、以及退火溫度,可輕易控制本發明嵌段共聚物中=同 聚合嵌段間的相互牽制,以實行不同嵌段組成間所需的相 分離。而相分離導致如上所述形成含有重複結構性單元(即 19 200933889 球形、線形、柱形、或片層形)之有序陣列之自組週期性圖 案。 為了形成自組週期圖案,嵌段共聚物首先溶於適當的 溶劑系統中,以形成嵌段共聚物溶液,然後塗佈於表面以 形成薄嵌段共聚物層,而後退火薄嵌段共聚物層,藉此使 含於嵌段共聚物中不同的聚合嵌段組成發生相分離。 用於/谷解嵌段共聚物形成嵌段共聚物溶液之溶劑系 統可包含任何適當的溶劑,包含但不限於:甲苯、丙二醇 曱醚酸醋(PGMEA)、丙二醇甲醚(PGME)、以及丙酮。喪 段共聚物溶液較佳含有濃度範圍為總溶液重量約〇 至 約2%之紐共聚物。更佳地,敌段共聚物溶液含有濃度 範圍約0.5Wt%至約L5wt%之嵌段共聚物。於本發明特別 較佳之實關巾,紐共雜驗包含約Q 5wt%至約】$ wt%的PS-b-PMMA溶於甲苯或pgmea。 嵌段共聚物溶液可塗佈於裝置結構表面,其可使用任 何適當的技術包含但不限於:旋轉鑄造、塗佈、喷塗、墨 塗、浸塗等。難地,嵌段絲物溶__造於裝置結 構的表面,以形成薄嵌段共聚物層於其上。 塗佈薄嵌段共聚物層於裝置表面上後,退火整個裝置 結構以使嵌段共聚物所含的不同嵌段組成發生微相離 20 200933889 析’猎此軸具有重傭触單元之週期圖案。 退火嵌段共聚物可藉由習知的各種方法達成’包含但 =限於·熱退火(於真空或於含氮或氬之惰性氛財)、紫 1 卜光退火、雷射退火、溶親補助退火(於室溫或高於室 溫)、以及舰《難賴火、於此不詳細描述以免模糊 本發明。 本發明-特別較佳實施例中,實施熱退火步驟以於 高於嵌段共聚__雜溫度(Tg)但低於紐共聚物之 分解或降解溫度(Td)之升高溫度,退火紐共聚物。更佳 地’熱退火步驟實施_ 2⑻。C 3⑻。C之退火溫度。熱退 火可持續從少於約i小時到約腦小時,而更业 時至約15小時。 、、
於本發明之選替實施例中,嵌段共聚物由紫外 處理來退火。 退火製程後,嵌段共聚物組成之一可利用溶劑移除, 溶劑相對於嵌段共聚物之另一組成而言對該組成為^擇 在移除嵌段共聚物之可移除組成後,剩餘的「不可移 除」、、且成18作為飯刻遮罩’然後執行敍刻,將溝竿戈 200933889 其他圖案延伸到半導體基板12的區域11 ^在延伸溝渠後 所形成的結構如圖3所示。典型地,利用乾蝕刻製程,例 如反應式離子蝕刻、離子束蝕刻、電漿蝕刻、或雷射削蝕, 來執行蝕刻。於圖3中,參考標號24表示蝕刻入半導體 基板12的區域η之單一圖案化重複單元。 一由於本發明製程使用自組聚合物技術,各單一重複單 ❹ 兀24的寬度W小於50nm,而更典型約10至約4〇nm。 f p半導體基板12的區域11現包含由具有奈米尺寸之 單二重複單元24所表示之有序奈米尺寸圖案。各單一重 ^單το由亦具有奈米尺寸之溝渠所分隔。有序奈米尺寸圖 案呈現於結構的裝置通道區域。 ,形成如圖3所示之結構後’利用習知平坦化製程(例 ^學機械研磨及/或礙磨),移除犧牲心軸結構14及嵌段 不可移除組成18。於某些實關巾各種姓刻製 "以移除犧牲心軸結構14及不可移除聚合物組成18。 12 2擇細彡成紐*層或氮氧化層(未齡)於半導體基板 所熟知面ί。選擇性的氧化層或氮氧化層利用熟此技藝者 矽習知成長技術形成。氧化層或氮氧化層包含氧化 化矽、氮化的氧化矽。當呈現時,選擇性的氧化 二匕層具有典型約〇·5至約的厚度,而更典 、、、、、力Q. 8至約1 nm。根據本發明一實施例,選擇性 22 200933889 氧化矽層C)氧化形成之 ,化石夕層,具有厚度約α6至約G 8nm。 包含快速熱_。 桃步驟
接著’ f知沉程及/杨成練程,形成閘介 電質26於魏層或氮氧化層表面(若㈣話),或直接於半 導體基板12表面(若無氧化層或氮氧化層)。位於半導體芙 板12表面上包含閘介電質2 6之結構如圖4所示。應注音二 閘介電質26形成於包含有序奈米尺寸圖案之區域^ ^閘介電質26包含任何絕緣氧化物、氮化物、及/或氮 氧化物,而較佳為含氧化物之閘介電質。閘介電質26可 包含二氧化矽、氮化矽、及/或氮氧化矽。此外,用於本發 明之閘介電質26可包含金屬氧化物、金屬矽化物、金屬 梦鼠氧化物、及/或混合金屬氧化物。含金屬介電質之範例 包含:Ti02、A1203、Zr基介電質、Hf基介電質、Ta2〇5、
La2〇3、鈣鈦礦型氧化物、及其他類似的介電材料。「册 基介電質」一詞表示氧化铪(Hf〇2)、矽酸铪(HfSi〇x)、氮氧 化矽铪(HfSiON)、或其多層。於某些實施例,Hf基介電質 包含Hf〇2及Zr〇2之混合。閘介電質26的物理厚度可變 化’但閘介電質26典型具有厚度約〇5至約i〇nrn,而更 典型厚度約0.5至約3nm。 於本發明一實施例中,閘介電質26藉由習知沉積製 200933889 程形成,包含如:CVD、PECVD、化學溶液沉積、原子層 沉積(ALD)、蒸鍍、及PVD。選替地,閘介電質%藉^ 熱製程形成,包含如:氧化、氮化、及氮氧化。 如圖5所示,閘介電質26頂上的是圖案化閘極導 28。圖案化閘極導體28包含任何導電材料,包含如換雜 多晶石夕、摻雜石夕鍺、金屬、金屬合金、金屬石夕化物、金屬 ❹ 氮化物、及其多層堆疊。於-實關’圖案化閘極導體28 為摻雜多晶石夕。圖案化閘極導體28的厚度可視用以形成 (即沉積)之方法而變化。典魏,圖案化閘極導體28具有 厚度約20至約200nm ’而更典型厚度約6〇至約i2〇nm。 圖案化閘極導體28利用習知沉積製程、微影、及餘 刻所形成。可用以沉積閘極導體材料毯覆層之適當的沉積 製程包含:CVD、PECVD、濺鑛、電鍵、化學溶液沉積、 及蒸鍍。於金屬矽化物用作閘極導體之某些實施例中,可 使用習知珍化製程。於某些實施例中’閘極導體可摻雜有 π型摻雜質原子或p型摻雜f原子。摻雜質原子可利用原 位摻雜沉積製程沉積導電材料時導入。選替地,推雜質原 子可藉由離子佈植及/或氣相摻雜,導入閘極導體毯覆層。 微衫步驟包含上述形成至少一開口 16到犧牲心軸材 料%^覆層之製程。侧步驟包含乾则製程(例如反應式離 子姓刻、離子束姓刻、魏餘刻、力雷射削钱)及/或濕蝕 24 200933889 意’於此侧製裎_,亦可_閘介電質 ,路的部份。圖5顯示移除了閑介電質%未受保 ^^ °關後’ _習知_製程,移除用以圖案化 閘極導體材料毯覆層之光阻。 ❹ ❹ 於本發明某些實_,介電贿(未.顯示),即硬遮 :呈現於圖案化閘極導體28頂上。當呈現 f型可包含氧化物(例如氧化列、氮切、或其組合,ί 厚度約5至約50nm。介電帽蓋可用 頁 上形成金屬半導體合金。 祕¥體頂 之在執行進一*CM〇s製程步驟後 之不思圖。具體而,’ 6包含源極/沒極延伸區3〇 少-側壁随壁32、以及源極/秘擴舰%。延伸區 典型利用熟此技藝者所熟知的離子佈植形成。业型地 伸佈植在沒有側壁間隙壁時執行,使得延伸 案化間鱗_外緣解。在_子健步雜, 選擇性退火製程,以活化於離子佈植躺植人的換 退火亦可於本發明製程後來執行。舉例而言,極 擴散區離子佈植後,或形成金屬半導體合金期間^極 然後典型但非絕對地,至少—側壁間_ 3 州圖案化閘極導體28之暴露側壁上。至少―=至 藉由沉積及則所形成。至少—間隙壁32包含任何了邑緣 25 200933889 材料’包含如氧化物、氮化物、氮氧化物、及其任何組合, 例如氧化物/氮化物或氮化物/氧化物。 σ 接著,利用習知離子佈植製程,形成_/沒極擴散區 % =半導體基板12表面。於本發明製程此時可選擇性執 行環佈植。形成源極/汲極擴散區34後,可使用退火製程 來活化植入半導體基板12的摻雜質。亦可延遲退火並 〇 可執行於本發明後來的熱事件期間,例如形成金屬半導體 接著’如圖7所示,金屬半導體合金層36形成於先 前形成於其中之源極/汲極擴散區34上。於此所用之「金 屬半導體合金」-詞’表示金屬與半導體材料熱反應形成 的反應產物。舉例而言’「金屬半導體合金」一詞可用以 描述金屬矽化物,其中金屬為以下之一:Ti、w、c〇、炖、 pt、Pd、Ta、及Ru。典型地,金屬為Ti、w、Co、及Ni 纟中之-。「金屬半導體合金」—詞亦描述包含上述金屬 其中之一的金屬鍺化物。 金屬半導體合金層36的形成首先藉由沉積能與圖6 所示結構頂上之半導體材料熱反應之金屬。此金屬典型為 T!、W、Co、Ni、Pt及Pd其中之一,而較佳為Ti、w、 Co及Νι。金屬可包含合金添加物,例如c、a〗、幻、Sc、 nCr、Mn、Fe、C0、Ni、Cu、Ge、Y、Zr、Nb、 26 200933889
Mo、Ru、Rh、Pd、In、Sn、u、Hf、Ta、w、Re、^、
Pt、Ce、Pr、Nd、Sm、Eu、Gd、Tb、Dy、Ho、Tm、Yb、
Lu、及其混合。當呈現時,合金添加物呈現的量最高達約 50原子百分比。金屬可藉由習知沉積製程形成包含如化 學氣相沉積、電漿強化化學氣相沉積、電鍍、濺鍍、化學 溶液沉積、原子4沉積、物理氣相沉積、及其他類似技術。 合金添加物可與金屬形成的同時形成,或可在金屬沉積後 加到金屬,或可共沉積於金屬頂上為另一層。 所 >儿積的金屬厚度可視其用以形成之沉積技術而變 化。典型地,於FET應用中,沉積的金屬具有厚度約3至 約 10nm。 形成金屬後,在退火前,可形成選擇性擴散阻障層(例 如ΤιΝ或TaN)於金屬頂上。在足以造成金屬及半導體一起 反應來形成金屬半導體合金層(即金屬矽化物或金屬鍺化 物)之條件下,執行退火。退火可執行為單一步驟,或可使 用兩步驟退火製程。退火執行於溫度約30(TC或更高,而 更典型溫度約WC至約7G()t:。於單—退火製程後或兩 步驟退火製程之第-次退火後’利用熟此技藝者熟知的習 知製程移除選擇性擴散阻障層。退火可執行於形成氣體、 He、Ar、或&中。退火包含爐管退火、快速熱退火、突 波退火、微波退火、或雷射退火。最終退火後,自結構移 除任何未反應金屬。 27 200933889 應j主意,當閘極導體28由含石夕導電材料(即多晶石夕或 構=,亦可形成金屬铸體合金览於雜導❹ 頂上。於麵散帽蓋於結構中之實施例,於形成金 體合金期f林會有此類層形成於祕導體Μ頂上。 ^但非絕對必要地,形成包含氧化物氮化物氮 乳化物、及其M合之介電襯層38於圖7所示之結構上。 2^38可驗導人應力顺置通道;裝置通道如孰 此技藝者所熟知為半導體基板在閘極導體之下的區^ ^其二侧由裝置的源極區界定而另—侧由裝置的没極區 |疋° "電襯層38儀熟此技藝者熟知的習知沉積製程 所形成,且介電襯層的厚度典型約1〇至約2〇〇nm。 ❹ 产接著,藉由沉積(典型為化學氣相沉積、電漿強化化風 氣相沉積、或旋塗)形成互連介電材料4〇,且利用微影2 蝕刻形成開口於互連介電材料40中。互連介電材料4^勺 含任何相對於真空介電常數約4〇或更低的介電材料。= 作為互連介電材料40之適當介電質範例包含但不限於: Si〇2、倍半矽氧烷、c摻雜包含別、c、〇及η原子之一 化物(即有機矽酸鹽類)、熱塑聚芳基醚、或其多層。於= 所用之「聚芳基」一詞,表示芳香族烴團或惰性取代芳禾 族烴團,其藉由鍵結、稠環、或惰性鏈結基(例如氧、二曰 颯、亞碾、羰基、及類似者),鏈結在一起。 爪、 28 200933889 開口典型襯有擴散阻障材料,例如Ti、Ta、w、、 或WN ’而後以導電材料如W、八卜Cu、或A1Cu 真f利用如電鑛)開口。延伸到源極/沒極擴散區之開 為擴散接觸,狀圖式中標4參考標號42。典型 閘極導體之接觸42’。在上述形成介電襯層及 先成互連步驟後’所形成之結構如圖7所示。
極。:^雖如上圖〗_7所述及所示為單—圖案化閘 *為單—FET),然而當有複數個閘極導體(因而 為FETs)時本發明亦可同樣地作用良好。
本發明雖已參考特定實施例、特徵、及觀點說明,但 應了解本發明不限於此,而可延伸職他修改、變化、應 用、及實施例,因此所有此類其他的修改、變化、應用、 及實施例應涵蓋在本發明精神及範疇中。 【圖式簡單說明】 圖1-7顯示本發明基本製程步驟之戴面示意圖。 【主要元件符號說明】 10初始結構 11區域 12基板 29 200933889 14犧牲心轴結構 16開口 18嵌段共聚物之不可移除組成 20溝渠 24單一圖案化重複單元 26閘介電質 28圖案化閘極導體 30源極/汲極延伸區 32側壁間隙壁 34源極/汲極擴散區 36金屬半導體合金層 36’金屬半導體合金層 38介電襯層 40互連介電材料 42擴散接觸 42’接觸 30

Claims (1)

  1. 200933889 •、申請專利範圍: 1. 一種半導體結構,包含: 之上及之内,該至少一場效電晶體具 導體基板内位於—圖案化開極導體之於該半 具有一有序奈米尺寸圖案 ^少-場效電·,位於—铸體 -及之内,贫5小一搭从兩〇 μ < 表面 下,其中該通道 2. 如請求項1所述之半導體結構,其 圖案定向於與電流垂直之方向。“ “不米尺寸 3. 如請求項!所述之半導體結構, 圖案定向於與電流平行之方向。、〜不…、 4. 如請求項1所述之半導體 =定向於與電流垂直之方向之第-序二: 序奈米定向於與電流平行之方向之一第二有 5 所述之半導體結構’其中-閘介電質位於 〇通道及该圖案化閘極導體之間。 及2才之半導體結構’更包含原極擴散區 相對Γ 位於該半導體基板中且於該通道之 200933889 7. 如凊求項6所述之半導體結構,更包含—金屬半導體 &金,位於該源極擴散區及該汲極擴散區頂上。 8. 如請求項7所述之半導體結構,更包含〆介電概層, 位於該金屬半導體合金及該至少一場效電晶體上。 ❻ 9.如請求項8所述之半導體結構,其中該介電襯層導入 一應力入該通道。 10.如請求項8所述之半導體結構,更包含一互連介電材 料位於該介電襯層上,該互連介電材 金屬半導體合金之導電填充介層。 男、评到4 11. 一種半導體結構,包含: ❹ 至少-場效電晶體,位於-半導體基板之一表面 之上及之中,該至少-場效電晶體具有—通道於該 導體基板内位於-圖案化閘極導體之下^ 具有一有序奈米尺寸圖案,該有序夺乎卩二 於與電流垂直之方向。寸圖案定向 12. ^青求項U戶斤述之半導體結構,更包含一源極擴散 區及一汲極擴散區,位於該半導體基板中 . 之相對側。 久该逋L 32 200933889 如請求項12所述之半導體結構,更包含一金屬半導 體合金,位於該源極擴散區及該汲極擴散區頂上。 如請求項13所述之半導體結構,t包含一介電概層, 位於該金屬半導體合金及該至少一場效電晶體上。 ❹ 15.如請求項14所述之半導體結構,其中該介電襯層導 入一應力入該通道。 16.如請求項14所述之半導體結構,更包含一互連介電 材料位於該介電襯層上,該互連介電材料具有延伸到 該金屬半導體合金之導電填充介層。 一種製造半導體結構之方法,包含: © 形成至少一有序奈米尺寸圖案於一半導體基板之 至少一區域中; 小形成至少一閘介電質及一閘極導體於該包含該至 少—有序奈米尺寸圖案之半導體基板之該至少一區域 頂上;以及 形成源極及汲極擴散區域於該半導體基板中,且 亥半導體基板中與該包含該至少一有序奈米尺寸圖 案之半導體基板之該至少一區域相鄰的其他區域中。 33 20〇933889 8.如明求項17所述之方法,其中該至少一有序奈米尺 寸圖案定向於與電流垂直之方向。 19.如請求項17所述之方法,其中該至少一有序奈米尺 寸圖案定向於與電流平行之方向。 如凊求項Π所述之方法,其中該至少一有序奈米尺 〇 寸圖案包含定向於與電流垂直之方向之一第一有序 奈米尺寸圖案’以及定向於與電流平行之方向之—第 二有序奈米尺寸圖案。 L如凊求項17所述之方法,更包含形成一金屬半導體 合金於該源極及汲極擴散區頂上。 如請求項21所述之方法,更包含形成一介電襯層於 φ 該金屬半導體合金及該至少一場效電晶體上。 23. 如請求項22所述之方法,其中該介電襯層導入一應 力入該通道。 24. 如請求項22所述之方法,更包含形成一互連介電材 料於該介電襯層上,該互連介電材料具有延伸到該金 屬半導體合金之導電填充介層。 34 200933889 25. 如請求項17所述之方法,其中該形成至少一有序卉 米尺寸圖案於半導體基板之至少一區域中包含:不 形成具有至少-開口之-犧牲心轴結構^該 體基板上’該至少-開D暴露出該半導體基板之該至 少一區域, 塗佈-自組嵌段絲_卿導體基板之該犧牲 心軸結構之該至少一開中, ❹ 物亥共聚物以形成可移除及不可移除聚合 物組成之一有序陣列, 移除該可移除聚合物組成, 敍刻該半導體基板暴露的部份 Π:導趙基板之該至少 Ή。轴結構及該不可移除聚合物組成。 ❿ 35
TW097137469A 2007-11-08 2008-09-30 Structure and method for compact long-channel FETs TW200933889A (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/937,161 US8013367B2 (en) 2007-11-08 2007-11-08 Structure and method for compact long-channel FETs

Publications (1)

Publication Number Publication Date
TW200933889A true TW200933889A (en) 2009-08-01

Family

ID=40622895

Family Applications (1)

Application Number Title Priority Date Filing Date
TW097137469A TW200933889A (en) 2007-11-08 2008-09-30 Structure and method for compact long-channel FETs

Country Status (3)

Country Link
US (2) US8013367B2 (zh)
TW (1) TW200933889A (zh)
WO (1) WO2009061698A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103021859A (zh) * 2011-09-20 2013-04-03 南亚科技股份有限公司 晶体管的制造方法

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8791572B2 (en) * 2007-07-26 2014-07-29 International Business Machines Corporation Buried metal-semiconductor alloy layers and structures and methods for fabrication thereof
US10472229B2 (en) * 2010-03-05 2019-11-12 Cornell University—Cornell Center for Technology Monocrystalline epitaxially aligned nanostructures and related methods
US8872272B2 (en) * 2012-07-10 2014-10-28 GlobalFoundries, Inc. Stress enhanced CMOS circuits and methods for their manufacture
US9601569B1 (en) 2015-12-07 2017-03-21 Samsung Electronics Co., Ltd. Semiconductor device having a gate all around structure
KR20200111582A (ko) 2019-03-19 2020-09-29 삼성전자주식회사 다방향 채널 트랜지스터 및 그 트랜지스터를 포함한 반도체 소자

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2815495B2 (ja) * 1991-07-08 1998-10-27 ローム株式会社 半導体記憶装置
JP4103968B2 (ja) * 1996-09-18 2008-06-18 株式会社半導体エネルギー研究所 絶縁ゲイト型半導体装置
US6300221B1 (en) * 1999-09-30 2001-10-09 Intel Corporation Method of fabricating nanoscale structures
US6825089B1 (en) * 2003-06-04 2004-11-30 Agere Systems Inc. Increased quality factor of a varactor in an integrated circuit via a high conductive region in a well
US7053400B2 (en) * 2004-05-05 2006-05-30 Advanced Micro Devices, Inc. Semiconductor device based on Si-Ge with high stress liner for enhanced channel carrier mobility
US20060255412A1 (en) * 2005-05-13 2006-11-16 Nirmal Ramaswamy Enhanced access devices using selective epitaxial silicon over the channel region during the formation of a semiconductor device and systems including same
US7605449B2 (en) * 2005-07-01 2009-10-20 Synopsys, Inc. Enhanced segmented channel MOS transistor with high-permittivity dielectric isolation material
US7508031B2 (en) * 2005-07-01 2009-03-24 Synopsys, Inc. Enhanced segmented channel MOS transistor with narrowed base regions
US7190050B2 (en) * 2005-07-01 2007-03-13 Synopsys, Inc. Integrated circuit on corrugated substrate
US7265008B2 (en) * 2005-07-01 2007-09-04 Synopsys, Inc. Method of IC production using corrugated substrate

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103021859A (zh) * 2011-09-20 2013-04-03 南亚科技股份有限公司 晶体管的制造方法
US8772119B2 (en) 2011-09-20 2014-07-08 Nanya Technology Corporation Fabricating method of transistor
TWI456664B (zh) * 2011-09-20 2014-10-11 Nanya Technology Corp 電晶體的製造方法
CN103021859B (zh) * 2011-09-20 2015-06-17 南亚科技股份有限公司 晶体管的制造方法

Also Published As

Publication number Publication date
US20110312136A1 (en) 2011-12-22
US8013367B2 (en) 2011-09-06
US8487355B2 (en) 2013-07-16
US20090121261A1 (en) 2009-05-14
WO2009061698A1 (en) 2009-05-14

Similar Documents

Publication Publication Date Title
JP5559055B2 (ja) 接点抵抗が改善された半導体構造およびその製造方法(接点抵抗が改善された半導体構造)
US7993816B2 (en) Method for fabricating self-aligned nanostructure using self-assembly block copolymers, and structures fabricated therefrom
US8105960B2 (en) Self-assembled sidewall spacer
US7786527B2 (en) Sub-lithographic gate length transistor using self-assembling polymers
TW200933889A (en) Structure and method for compact long-channel FETs
Chen Silicide technology for integrated circuits
EP2089909B1 (en) Fully and uniformly silicided gate structure and method for forming same
TW200915421A (en) Methods of patterning self-assembly nano-structure and forming porous dielectric
US8529779B2 (en) Methods for forming surface features using self-assembling masks
TW200845124A (en) Methods using block copolymer self-assembly for sub-lithographic patterning
US9659824B2 (en) Graphoepitaxy directed self-assembly process for semiconductor fin formation
US7808020B2 (en) Self-assembled sidewall spacer
TW200937636A (en) Self-assembled sidewall spacer
TWI299503B (en) Zeolite-carbon doped oxide composite low k dielectric
US9236260B2 (en) System, method and apparatus for seedless electroplated structure on a semiconductor substrate