TW200845274A - Reduced capacity carrier, transport, load port, buffer system - Google Patents

Reduced capacity carrier, transport, load port, buffer system Download PDF

Info

Publication number
TW200845274A
TW200845274A TW96130278A TW96130278A TW200845274A TW 200845274 A TW200845274 A TW 200845274A TW 96130278 A TW96130278 A TW 96130278A TW 96130278 A TW96130278 A TW 96130278A TW 200845274 A TW200845274 A TW 200845274A
Authority
TW
Taiwan
Prior art keywords
loader
loading
transport
door
interface
Prior art date
Application number
TW96130278A
Other languages
Chinese (zh)
Inventor
Michael L Bufano
Ulysses Gilchrist
William Fosnight
Christopher Hofmeister
Daniel Babbs
C May Robert
Original Assignee
Brooks Automation Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US11/803,077 external-priority patent/US8267634B2/en
Application filed by Brooks Automation Inc filed Critical Brooks Automation Inc
Publication of TW200845274A publication Critical patent/TW200845274A/en

Links

Landscapes

  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

In accordance with an exemplary embodiment a semiconductor workpiece processing system having at least one processing tool for processing semiconductor workpieces, a container for holding at least one semiconductor workpiece therein for transport to and from the at least one processing tool and a first transport section elongated and defining a travel direction. The first transport section has parts, that interface the container, supporting and transporting the container along the travel direction to and from the at least one processing tool. The container is in substantially continuous transport at a substantially constant rate in the travel direction, when supported by the first transport section. A second transport section is connected to the at least one process tool for transporting the container to and from the at least one processing tool.

Description

200845274 九、發明說明: 【發明所屬之技術領域】 本發明所揭述之實施例係關於基板加工系統,更詳細 而言係關於基板輸送系統,裝載機,加工裝置介面之輸送 機及其配備。 ' 【先前技術】200845274 IX. INSTRUCTIONS OF THE INVENTION: TECHNICAL FIELD The embodiments of the present invention relate to substrate processing systems, and more particularly to substrate transport systems, loaders, conveyors for processing device interfaces, and their equipment. 'Prior art

製造電子裝置之主要壓力為來自消費者對功能更高及 體型更小而成本較低之電子裝置之需求。該主要動力形成 製造商在微型化及製造效率改良方面之原壓力。於是製造 商將在各方面尋求收益。導體裝置之場合,傳統製造 設施或FAB在其本體(或基本組織性結構)設有離散加工裝 置’諸如群集裝置等’用以實行半導體基板之—或多項加 別乍業。於是傳統FAB係在加工裝置周圍組織化,用以將 半導體基板轉換成預期之電子裝置^舉例而言,加工裝置 可排列於設在加卫區之傳統FAB中。在裝置之間之基板可 由諸如贿或FOUP等裝載機之支持,使加工中之基板大 致保持與裝置中之類似乾淨狀況。裝置間之聯繁係由可輸 2板裝載機至FAB中之預期加工裝置之操持系統(諸如 =化材料操持系統,AMHS)所提供。作為實例說明,摔 :系統與加工裝置之間之介面大致可分成兩個部份,在摔 ,統與裝置之間用以裝卸裝載機至加工裝置之裝載;; 材料操持系統之;之於加工裝置與裝載機及 1之;丨面有多種傳統-介面系統。許多傳統 5 200845274 介面系統由於複雜度而導致一或多種加工裝置介面,裝載 機介面或材料操持系統介面具有增加成本或在加工裝置中 裝卸基板造成低效率之缺失。以下.詳加說明之本案實施例 將可克服傳統系統之問題。The primary pressure to manufacture electronic devices is demand from consumers for higher functionality and smaller, lower cost electronic devices. This primary power creates the original pressure on manufacturers for miniaturization and improved manufacturing efficiency. The manufacturer will then seek revenue in all aspects. In the case of a conductor arrangement, the conventional manufacturing facility or FAB is provided with discrete processing means such as clustering means or the like in its body (or basic structural structure) for carrying out the semiconductor substrate - or multiple additions. The conventional FAB is then organized around the processing device to convert the semiconductor substrate into the intended electronic device. For example, the processing device can be arranged in a conventional FAB located in the garrison. The substrate between the devices can be supported by a loader such as a bribe or FOUP to maintain the substrate in process substantially as clean as in the device. The inter-device linkage is provided by a handling system (such as the Chemical Materials Handling System, AMHS) that can transport the two-plate loader to the intended processing equipment in the FAB. As an example, the interface between the system and the processing device can be roughly divided into two parts, which are used to load and unload the loader to the processing device between the device and the device; the material handling system; Devices and loaders and 1; there are a variety of traditional-interface systems. Many conventional 5 200845274 interface systems result in one or more processing device interfaces, loader interfaces or material handling system interfaces that have the added cost or the inefficiency of loading and unloading substrates in the processing device due to complexity. The following examples, which are described in detail, will overcome the problems of conventional systems.

工業趨勢顯示未來的1C裝置設計係大約45nm或更 小。為了增加效率及降低製造成本,此種尺度之1C裝置須 採用愈大之半導體基板或晶圓予以製造。傳統FAB —般係 可操持200mm或300mm之晶圓。工業趨勢顯示在未來之 FAB須足以操持超過300mm之晶圓,諸如450mm之晶圓。 須知使用較大晶圓將導致每一晶圓需要更長之加工時間。 因此在採用諸如300mm或更大之晶圓等較大晶圓時,最好 係使用較小批量尺寸以進行晶圓加工藉以減少FAB中之加 工工作量(WIP)。同時較小之晶圓批量尺寸可適用於任何尺 寸之晶圓之特殊批量加工,或適用於諸如平面屏幕顯示器 之平面板等平面板或任何其他基板之加工。雖然減少WIP 及有效率特殊批量加工係由其用途所促成,然而在FAB中 採用較小加工批量對傳統FAB生產率會有不良影響。例如 與較大批量尺寸相比較之下,較小批量尺寸將會增進特定 容量之輸送系統(輸送晶圓批量)之輸送系統負荷。如第51A 圖所示。第51A圖係顯示數種不同製程速率(以諸如每月等 預定期間内之晶圓啟始量表示,例如WSPM)之批量尺寸與 輸送速率(以每小時移動量表示)之間之關係。第51A圖亦 顯示傳統FAB操持系統之最高容量(例如每小時大約 6000-7000移動量)之線條。因此操持系統容量線條與FAB 200845274 速率曲線之交會點即可識別有效批量尺寸之表面。舉例而 言,特定傳統輸送系統為了達至大約24,000 WSPM之FAB 速率,最小批量尺寸係大約15晶圓。使用較小晶圓批量將 導致FAB速率之降低。因此最好係提供一種系統,其中晶 圓裝載機,裝載機與加工裝置之間之介面,裝載機輸送系 統(輸送裝載機於FAB中之裝置,儲存位置等之間)等係設 計可供使用最小為一及最大為預期者之晶圓批量,而對 FAB速率不會構成不良影響。 【發明内容】 本發明提供一種半導體工件加工系統,談系統備有至少 一個進行半導體工件加工所用之加工裝置,用以支持至少一 個半導體工件之容器以供往復輸送該至少一加工裝置,及延 伸及形成行進方向之第一輸送部。該第一輸送部具有連接容 器之部件,可支持及輸送容器沿着行進方向往復於至少一個 加工裝置。當該容器由第一輸送部予以支持時,係以大致固 定速率沿着行進方向持續輸送。第二輸送部係連接於該至少 一加工裝置以將容器往復輸送於該至少一加工裝置上。第二 輸送部係分離及與第一輸送部分開,並連接第一輸送部以將 容器裝卸自第一輸送部之部件。 本發明之另一實施例係提供一種半導體工件加工系 統。該系統備有至少一個進行半導體工件加工所用之加工裝 置,用以支持至少一個半導體工件之容器以供往復輸送該至 少一加工裝置。延伸及形成行進方向之第一輸送部。該第一 輸送部具有連接容器之部件,可支持及輸送容器沿着行進方 200845274 向往復於至少一個加工裝置。該系統具有連接至該至少一加 工裝置之第二輸送部,及連接容器於該至少一加工裝置與第 一輸送部之間之第一輸送部。當該容器由第一輸送部予以支 持時,係以大致固定速率沿着行進方向行進。容器之固定行 進速率係大致與第二輸送部及至少一加工裝置之間之連接 速率無關。 【實施方式】Industrial trends indicate that future 1C device designs are approximately 45 nm or less. In order to increase efficiency and reduce manufacturing costs, 1C devices of this size must be fabricated using larger semiconductor substrates or wafers. Conventional FABs are generally capable of handling wafers of 200mm or 300mm. Industry trends indicate that in the future, FABs must be sufficient to handle wafers larger than 300 mm, such as 450 mm wafers. It is important to know that using larger wafers will result in longer processing times per wafer. Therefore, when using larger wafers such as wafers of 300 mm or larger, it is preferable to use a smaller batch size for wafer processing to reduce the processing workload (WIP) in the FAB. At the same time, smaller wafer batch sizes can be used for special batch processing of wafers of any size, or for planar boards such as flat panels for flat panel displays or any other substrate. While the reduction of WIP and efficient special batch processing is driven by its use, the use of smaller processing lots in the FAB has a negative impact on traditional FAB productivity. For example, in comparison to larger batch sizes, smaller batch sizes will increase the delivery system load of a specific capacity delivery system (transport wafer batch). As shown in Figure 51A. Figure 51A shows the relationship between the batch size and the delivery rate (expressed as the amount of movement per hour) for several different process rates (represented by the wafer start amount in a predetermined period such as monthly, such as WSPM). Figure 51A also shows the lines of the highest capacity of a conventional FAB operating system (e.g., approximately 6000-7000 movements per hour). Therefore, the intersection of the system capacity line and the FAB 200845274 rate curve can identify the surface of the effective batch size. For example, a particular conventional delivery system achieves a FAB rate of approximately 24,000 WSPM with a minimum batch size of approximately 15 wafers. Using smaller wafer batches will result in a lower FAB rate. It is therefore desirable to provide a system in which the wafer loader, the interface between the loader and the processing device, the loader transport system (the transporter in the FAB, the storage location, etc.) are designed for use. A minimum of one and a maximum expected wafer size will not adversely affect the FAB rate. SUMMARY OF THE INVENTION The present invention provides a semiconductor workpiece processing system, wherein the system is provided with at least one processing device for processing a semiconductor workpiece for supporting at least one semiconductor workpiece container for reciprocating the at least one processing device, and extending A first conveying portion that forms a traveling direction is formed. The first conveyor has a component that connects the containers to support and transport the container to and from the at least one processing device in the direction of travel. When the container is supported by the first conveying portion, it is continuously conveyed in the traveling direction at a substantially constant rate. A second conveyor is coupled to the at least one processing device to reciprocate the container to the at least one processing device. The second conveying portion is separated from the first conveying portion and connected to the first conveying portion to attach and detach the container from the member of the first conveying portion. Another embodiment of the present invention provides a semiconductor workpiece processing system. The system is provided with at least one processing device for processing semiconductor workpieces for supporting at least one container of semiconductor workpieces for reciprocating the at least one processing device. A first conveying portion that extends and forms a traveling direction. The first conveying portion has a member for connecting the container, and the supporting and conveying container reciprocates to the at least one processing device along the traveling side 200845274. The system has a second delivery portion coupled to the at least one processing device and a first delivery portion connecting the container between the at least one processing device and the first delivery portion. When the container is supported by the first conveying portion, it travels in a traveling direction at a substantially constant rate. The fixed travel rate of the container is substantially independent of the rate of connection between the second transport portion and the at least one processing device. [Embodiment]

本發明之上述目的及其他特徵可藉以下參照附圖之詳 細說明而愈趨明晰。 麦參知、第1 ®,工作件裝載機200形成一艙室2〇2以 供將=作件S裝載於與餘室外之大氣隔離之環境中。第上 圖所示之裝載機200之形狀僅作為實例說明,在變更態樣 中之裝載機可具有任何其他預期形狀。裝載機細可容納 - E盒21G於臉室内’如圖示將卫作件s支承於裝載機内。 E盒210 一般係具有長形支承21〇s(於實施例中示有二個 作為實例)’其上分佈有工作件支承架以提供—列或一疊支 承或支架,如圖示用以個別支承一或多個 =附接於裝載機結構體,以下將予詳細說明。在= 態樣中,裝載機可能不設有匣各, 型:與:载機結構體呈單體構造。工作件係示為二= :!圓:300麵’200咖或任何預期尺寸及形狀之半導 Γ目=顯示之光栅/光罩或平面屏幕或任何其他適當 項目。裝载機可作成比傳統項目13或25晶圓裝 縮小或微型之裝載機。裝載機可用以裝載少量甚至單-個 8 200845274 工 ft,或可作成低於十個工作件者。與裝載機2〇〇類似 之容量縮小裝載機之適當實例係見述於8/19/2005提出申 利申請案第職7,231號,“容量縮小之裝載機 L 5法” ’以下係援引作為本案參考。與裝載機200 梦:之::縮小裝載機之用以加工裝置(例如半導體製造 鍺存器’調位器等)及用以輸送系統之介面之適當實 例係見述於咖5提出申請之美國專利申請宰: /24/θ';^ ^ ^ ^ # ^ ^ ^ ^ ^ ^ ^ ^ ㈣=請^美國專财請案第11/211,236號,“輸 ㈣機,Η兩者均Μ作為本案參考。與裝載機細類似之 =2:適當實例係見述於_。3提出申請之美國 ί :=Γ°/697,52δ號,“自動化材料操持系統,,,亦 ,,、、本案參[由此可知,類似 二=提供在FAB加工之工作量之減少,因形= 工完畢。較大宗者等待其他工作件加 以二;Γ中之特徵係特別針對小容量裝載機予 二:=發明之實施例之特徵同樣適用於任何其 之工作件於其内之裝載ί或25或任何其他預定數目 作件:之裝載機2°〇可作_工 中,底面與頂面開口之裝載機。於此實施例 着垂直或z軸設置’而在變更態樣中 200845274 頂面與底面可沿着任何其他軸定向。以下 面與底面開口代表裝載機之開口 2G4(由裝載機二之: :::s移動進出搶室者)係大致對準裝載:::: 件之平面表面(於此實施财係大致與z軸呈對 ^乍 機200亦示如下;一般係具有底 、 =軍箱212。在關閉時,該門係銷止及=或底可部= Π之密封可供捨室2°2與外界圍氛隔離。經過隔離 可保持任何預期之隔離圍氛,諸如惰氣,或可 該門可開啟以供工作件裝卸自 係代表一種在裝載機開啟時可拆卸式或拆除ί 二rt件/工件件支承架。於第1圖所示之實施 :’罩相200 一般具有中空部(以下稱為外殼)214可供承 收”内之卫作件’及—壁部(帽/蓋等)216。以下將予詳述, 該壁部216或外殼214可作為裝載機Η。壁部與外殼係配 接以關閉裝載機’並分開以開啟裝載機。於實施例中糸外 设與壁部係諸如銘合金等之金屬’或由任何適當程序製成The above and other features of the present invention will become more apparent from the detailed description of the appended claims. Maishen, 1st, the work piece loader 200 forms a compartment 2〇2 for loading the work item S in an environment isolated from the atmosphere of the restroom. The shape of the loader 200 shown in the above figure is illustrated by way of example only, and the loader in the modified aspect may have any other desired shape. The loader is finely accommodated - the E box 21G is in the face chamber' as shown in the figure to support the guard s in the loader. The E-box 210 generally has an elongate support 21 〇s (two are shown in the embodiment as an example) 'with a work piece support frame distributed thereon to provide a column or a stack of supports or brackets, as shown for individual One or more supports = attached to the loader structure, as will be described in more detail below. In the = aspect, the loader may not be equipped with each type, type: and: the carrier structure is a single structure. The work piece is shown as two = :! circle: 300 faces '200 coffee or any semi-conductor of the expected size and shape. = 显示 = display raster / reticle or flat screen or any other suitable item. The loader can be made smaller or smaller than the conventional project 13 or 25 wafers. The loader can be used to load a small amount or even a single - 8 200845274 ft, or can be made into less than ten work pieces. A suitable example of a capacity reduction loader similar to that of a loader 2 is described in the application No. 7,231 of the application for application of the application for the application of the application. reference. And the loader 200 Dream:: The appropriate example for reducing the loader's processing device (such as the semiconductor manufacturing buffer 'positioner, etc.) and the interface for the delivery system is described in the United States of America Patent application slaughter: /24/θ';^ ^ ^ ^ # ^ ^ ^ ^ ^ ^ ^ ^ (4) = Please ^ US special account request No. 11/211, 236, "transfer (four) machine, both of which are As a reference in this case, it is similar to the loader = 2: The appropriate example is described in _. 3 The application of the United States ί :=Γ ° / 697, 52δ, "automated material handling system,,,,,,,,, In this case, it can be seen that the similar two = provide a reduction in the amount of work in the FAB processing, because the shape = work completed. The larger ones wait for the other work pieces to be erroneous; the features in the 系 are especially for the small-capacity loader. 2: The features of the embodiment of the invention are equally applicable to the loading of any work piece within it, or 25 or any other The predetermined number of pieces: the loader 2° can be used as a loader with a bottom surface and a top surface opening. This embodiment is a vertical or z-axis setting' and in a modified version 200845274 The top and bottom surfaces can be oriented along any other axis. The bottom and bottom openings represent the opening 2G4 of the loader (moved by the loader: :::s move in and out of the room). The alignment is generally aligned with:::: The plane surface of the piece (this implementation is roughly the same as z The shaft pairing machine 200 is also shown as follows; generally has a bottom, = military box 212. When closed, the door is pinned and = or the bottom part = Π seal can be used for the room 2 ° 2 and the outside Isolation to maintain any desired isolation atmosphere, such as inert gas, or the door can be opened for work piece loading and unloading. Represents a detachable or removable piece when the loader is opened. The support frame is implemented as shown in Fig. 1: 'The cover phase 200 generally has a hollow portion (hereinafter referred to as an outer casing) 214 for receiving and arranging the inside of the toilet member' and the wall portion (cap/cover, etc.) 216. As will be described in more detail below, the wall portion 216 or outer casing 214 can function as a loader. The wall portion is mated with the outer casing to close the loader' and separate to open the loader. In an embodiment, the peripheral and wall portions are such as Metal such as alloys' or made by any suitable procedure

之不绣鋼。該壁部杏外邦· 5¾ + A _ /Λ 作成—件式構件(單體構 以)。於&更悲樣中’裝載機罩箱係由包括適當之非金屬性 材料等任何其他適當材料製成。匿盒21〇可被安裝於壁部 216’而在變更態樣巾之£盒可安裝於外殼。可選擇將昆盒 安裝於外殼或機門以供方便在機門開啟時將裝載機中之匿 盒或基板拆卸。雖然在圖示實施例中之壁部2i6係設在外 殼之頂側,然而在變更態樣中裝載機罩箱可具有外在頂側 而壁部在底側之構造。而在另一實施例中,外殼在頂側及 10 200845274 底側具有可拆卸式壁部(即具有頂面及底面開口之裝載 機)。在其他變更態樣中,可拆卸式壁部係設在裝載機之側 面於實化例中之機門係被動式組件(例如大致沒有可啟動 機門與裝載機之間及機門與裝置介面之間之開關之移動部 件或組件,以下將予詳述)。Stainless steel. The wall is made of apricots, 53⁄4 + A _ / Λ, and is made into a piece-shaped member (single structure). In & more sad, the loader housing is made of any other suitable material, including a suitable non-metallic material. The box 21 can be mounted to the wall 216' and can be mounted to the housing in a modified form. The box can be mounted to the enclosure or door for easy removal of the box or substrate in the loader when the door is opened. Although the wall portion 2i6 in the illustrated embodiment is provided on the top side of the outer casing, in a modified aspect, the load hood can have a configuration on the outer top side and the wall portion on the bottom side. In yet another embodiment, the outer casing has a detachable wall portion (i.e., a loader having a top surface and a bottom surface opening) on the top side and the bottom side of 10 200845274. In other variations, the detachable wall portion is attached to the side of the loader in the embodiment of the door system passive assembly (eg, substantially no between the starter door and the loader and the door and device interface) The moving parts or components of the switch between them will be described in detail below.

爰參照第2 A圖,所示裝載機200係設在適當加工裝置 之裝置埠介面2010之處。加工裝置係任何預期類型者,諸 如排序器,堆疊器,或可進行一或多項加工作業之裝置, 諸如材料澱積,平版印刷,光罩,蝕刻,拋光,量測,或 具有一或多個諸如裝載鎖等之加工模組或槽室之裝置。該 加工裝置至少有部份係具有控制性圍氛,及裝置介面2〇1〇 可提供工作件在裝置與裝載機200之間之裝卸而不致犠牲 裝置或裝載機2〇〇中之控制性圍氛。於實施例中,埠介面 2〇1〇 —般具有一埠口或開口 2〇12,基板可通過該埠口裝載 入加工裝置中,並設有機門,蓋體或卸除式部件2〇14以關 閉埠口。變更態樣中之卸除式部件可局部遮蔽該開口。於 第2A圖中之埠門2〇14係示為關閉及開啟狀態以供說明。 於第2A圖所示之實施例中,裝載機係底側裝载⑽於 z一方向移動者)以與以下將說明之裝置璋連接。帛2a圖顯 不頂側壁部216係作為裝載機2〇〇之門。舉例而言,壁部 216可連接於埠門及連同埠門之拆卸一致拆卸,諸如進入裝 啟裝置埠介面。拆卸壁部216將導致從裝載機卸 :安裝於其上者)及工作件(以供工作件輸送/自動操 之進入)。再參照第1圖,具有對置支承210S之匣 11 200845274 210鮮^構、可提供S盒之超過—側面之進取面21〇A ’ 係二側)以供工作件自動操縱裝置(亦見第 且有:壬:箱Γ作件裝卸於匣盒支架。變更態樣中之裝載機 稱Μ數目之卫作件進取11。該進取區係配置成對 =载機之周邊,或設置成非對稱配置。第则所示實 2^16Α。裝置具有超過—個工作件操持自動操縱裝置 G16B以進取諸如在超過-個進取區21GA,210Β m,:變更態樣中裝置可具有更多或更少之工作 將工縱裝置° e盒之多面自動操縱裝置進取可供 :面::£盒之自動操縱裝置之間。此外,匿盒之 :埠、車垃t縱裝置進取工作件可解除裝載機在輸送或與裝 r=之限制。當璋門回復其關閉位置時可關閉裝載 使^載機壁部216回復與外殼214之配接。 參照第2Β圖’顯示另—實施例之裝載機與裝置蜂 A Ρ弓門之;1面。於此實施例中,裝載機之外殼214係作 ^胸圖示實施例中之裝置埠門具有與裝載機外殼大致匹 之、_ :用以圍封外殼藉以防止裝置内部受到外殼外側 移可作成由頂面裝載,(即沿着㈠Z方向向下 Πΐ’2:ί裝載機係從架空輸送系統處下降時。在開啟 " 日夺,係將埠門向下移動((-)ζ方向),例如進入裝 之底2㈣將外殼214從裝載機卸除。在此係指裝載機 =開口 ’其中裝載機門(即外殼214)係設在底部及由裝 作杜之向下移動而開啟。裝載機之開σ將展露g盒中之工 保邊於mi6。於此實施例中,自動操縱裝置將設 12 200845274 有Z軸之自由度以進取垂直排列之匣盒架或其中之工作 件。自動操縱裝置上設有配對器。於變更態樣中,外殼216 具有一體成型之配對器,諸如透樑式配對器以供在卸除外 殼時配對匣盒。第2A-2B圖顯示裝載機200可為頂部開口 及底部開口者。於另一變更態樣中,外殼與壁部定向逆轉 (外殼在壁部頂側),裝載機係之頂部開口類似第2B圖但呈 鏡射影像(即向上提起外殼)及底部開口類似第2八圖但呈相 反(即向下降低壁部)。 再參照第1圖,如前所述,壁部216與外殼214係被 動式結構,未設有諸如止動鎖等之移動式元件,移動時將 會對裝置或容器之清淨空間產生污染之可能。舉例而言, 壁部與外殼可能藉磁性互相鎖定。磁鎖可具有永久性或電 磁元件226, 228或其組合以視需要設置於壁部216或外殼 214以鎖止及解鎖壁部與外殼。磁鎖可具有逆向式磁性元件 可藉電流通過時開關(即開啟或關閉)。例如壁部216可包括 磁性元件228(例如鐵質材料)而外殼214具有磁性開關元件 226可啟動以鎖止及解鎖該壁部與外殼。在第2八及2b圖 所不之實&例中’壁部之磁性元件及外殼之操作性磁件係 、濃。又计可與埠門"面2〇1〇,2〇1〇,中之磁鎖2〇28,,2〇26, 配。使在將裝載機門(壁部或外殼,見第Μα圖)鎖定 於埠門時導致裝載機門從裝載機之其餘部份解鎖。在變更 心樣中壁與外喊之間之磁鎖可具有任何其他預期構 造。於第23圖所示實施例中之裝載機可包括機械聯結元件 諸如樞轉銷,麼電輕合器裝置或形狀記憶裝置以將配 13 200845274 對聯、,σ j件2030配接於埠門介面及將裝載機互鎖於痒門介 面。於實施例中之裝置係設置於壁部,而在變更態樣中之 2係鎖止於外破。由第24 @可知,枢轉裝置係圍封於卸 2式壁部與埠Η之間之密封介面以截留因裝置本身運作所 ΐ生之潛在性顆粒。被動式裝«與裝載機Η提供真空相 谷性之清淨及可洗滌式裝載機。 如前所述’裝載機門與底部(即壁冑216 ^封㈣艙室。另外’當裝載機與裝置淳連j 八例如裝載埠权組),裝載機門與底部各具有密封介面以 載機門(即第1圖中之壁部216或外殼214)與琿門 ^裝載機底部與埠°密封。此外,埠Η與琿Π具有密封介 第⑹c圖顯示與裝載機22〇類似之裝載機22〇 施例與裝置物連接,其中相對之密封’: 裝載機Η與裝載機,222,裝載機與埠口⑽,埠門*璋口及 所:門=載機門)大致形成X形構造(詳見第/Β圖)。於 所不實施例中,裝載機密封介面係示於頂部開口以:口 :明二在變更態樣中具有多個開口之 第二 :::由2:r頂r底部)者之密封介面_ 何適當配置,例如==之密封介面表面可具有任 形之密封構造开4夕:!呈曲線形。大致呈χ ^成夕個岔封介面(例如 200845274 J會導:釋出污染物進入密封介面之開口之開放空間。此 八,、他I更隸+之密封具有任何預定方位(例如密封 ^面之方位係大致呈+形式之水平或垂直排列)。在實施例 ^戶Γ之裝載機細,係具有頂部開口(壁部216係向上提 f 上:并而埠TP係作成底部裝載(提升器將裝載機向 °之裝置琿)作為實例說明。本實施例中之外殼 14八有雄封"面214Γ—般係斜切密封面221(:,,a%,。 雖然圖示之外殼上之密封面222C,,22『係大致 =在變更f樣:之密封面可具有形成其上之角度或其他 J以加強讀效果’而該表面一般係呈斜角以形成大致 f X形之密封構造。本實施例中之裝載機之壁部216, 密封介面2161,排列(如第3A圖之實_中卿)大致係斜 刀以形成密封面22iCD,及224CD,。如第3A圖所示,相對 立之外殼與壁部密封面221C’ ’ 221CD,係大致互補性以在壁 #與外殼關閉時形成密封介面221’。裝載機介面214,上之 斜角面221C’係大致形成楔形以在坐設於外殼時(見第 圖)提供壁部216’之導5卜此外,實施例中之裝載機門與裝 載機密封介面221,之定位使壁部216,之重量可增加對介面 之密封遷力。由此可知’本實施例中之£盒與工作件係支 承於壁部216’並使裝載機門與裝載機密封。由第3Α_3Β圖 可見,密封面222C’及224CD,係設置以與埠ρ及埠門pD 上之密封面222P’,224PD’互相配合。帛3B_示裝載 ^)〇’係坐設在琿口,而密封部222,,224,係關閉。當密封 邛222,224’密封時將隔離所有外界面(即控制外側表面或 1C; 200845274Referring to Figure 2A, the loader 200 is shown positioned at the device interface 2010 of a suitable processing device. The processing device is of any intended type, such as a sequencer, a stacker, or a device that can perform one or more processing operations, such as material deposition, lithography, reticle, etching, polishing, measuring, or having one or more A device such as a processing module or a chamber for loading a lock. At least part of the processing device has a controlled atmosphere, and the device interface 2〇1〇 can provide loading and unloading of the work piece between the device and the loader 200 without causing control of the device or the loader 2 atmosphere. In the embodiment, the 埠 interface has a mouth or opening 2 〇 12, and the substrate can be loaded into the processing device through the mortise, and is provided with a door, a cover or a detachable component. 14 to close the cornice. The removable component in the modified aspect can partially obscure the opening. The Fig. 2〇14 in Fig. 2A is shown in a closed and open state for illustration. In the embodiment shown in Fig. 2A, the bottom side of the loader is loaded (10) in the z-direction to be connected to the device 以下 which will be described below. The top side wall portion 216 is used as the door of the loader 2〇〇. For example, the wall portion 216 can be attached to the cardia and disassembled in conjunction with the removal of the cardia, such as into the tamper-evident device interface. Removal of the wall 216 will result in unloading from the loader: the workpiece mounted thereon and the work piece (for access by the work piece/automatic operation). Referring again to Fig. 1, the 支承11 200845274 210 having the opposite support 210S can provide the S-box over the side-side access surface 21〇A' (the two sides) for the automatic operation of the workpiece (see also And there are: 壬: box Γ 装 装 装 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 The configuration is shown in the following figure. The device has more than one work piece to operate the automatic manipulator G16B to advance, such as in the over-exit zone 21GA, 210Β m, the device may have more or less The work will be used to advance the multi-faceted automatic control device of the e-box. The surface:: between the automatic control devices of the box. In addition, the box: the 垃, the car, the vertical device, the workpiece can be unloaded. When the door is returned to its closed position, the load can be closed to return the carrier wall portion 216 to the housing 214. Referring to Figure 2, another loader of the embodiment is shown. Device bee A Ρ bow door; 1 side. In this embodiment, loading The casing 214 of the machine is used as a device in the embodiment of the chest. The door of the device has a shape similar to that of the loader casing. _: is used to enclose the casing to prevent the inside of the device from being moved outward by the casing to be loaded by the top surface (ie,沿着 along the (1) Z direction Πΐ '2: ί loader system descends from the overhead conveyor system. At the opening, the system moves the door downwards ((-) ζ direction), for example, into the bottom of the bottom 2 (four) The outer casing 214 is removed from the loader. Here, the loader = opening 'where the loader door (ie, the outer casing 214) is attached to the bottom and opened by the downward movement of the loader. The opening σ of the loader will be revealed. The work in the g-box is in mi6. In this embodiment, the automatic control device will set 12 200845274 with the Z-axis freedom to advance the vertically arranged cassette holder or the work piece therein. In a variant, the outer casing 216 has an integrally formed counterpart, such as a beam-type counterpart for mating the cassette when the housing is removed. Figures 2A-2B show that the loader 200 can be a top opening and a bottom opening. In another variant, the outer shell and the wall Directional reversal (the outer shell is on the top side of the wall), the top opening of the loader system is similar to Figure 2B but is a mirror image (ie lifting the outer casing) and the bottom opening is similar to Figure 2 but opposite (ie lowering the wall down) Referring again to Figure 1, as previously discussed, the wall portion 216 and the outer casing 214 are of a passive construction and are not provided with a moving element such as a stop lock that will contaminate the clean space of the device or container when moved. For example, the wall and the outer casing may be magnetically locked to each other. The magnetic lock may have permanent or electromagnetic elements 226, 228 or a combination thereof to be disposed on wall portion 216 or outer casing 214 as needed to lock and unlock the wall and The magnetic lock can have a reverse magnetic element that can be switched (ie, turned on or off) when current is passed through. For example, wall portion 216 can include a magnetic element 228 (e.g., ferrous material) and housing 214 can have a magnetic switching element 226 that can be activated to lock and unlock the wall and outer casing. In the examples of the second and second examples, the magnetic components of the wall portion and the operative magnetic members of the outer casing are thicker. Also counted with the Tuen Mun " face 2〇1〇, 2〇1〇, the magnetic lock 2〇28,, 2〇26, with. Locking the loader door (wall or casing, see Figure )α) to the door causes the loader door to unlock from the rest of the loader. The magnetic lock between the wall and the external call in the change heart can have any other desired configuration. The loader in the embodiment shown in Fig. 23 may include a mechanical coupling element such as a pivot pin, a motor light coupler device or a shape memory device to couple the pair 13 200845274, and the σ j member 2030 to the cardia interface. And interlock the loader to the itching door interface. The device in the embodiment is disposed on the wall portion, and in the modified aspect, the device is locked to the outside. From the 24th, it is known that the pivoting device encloses the sealing interface between the wall portion of the unloading type 2 and the crucible to trap the potential particles generated by the operation of the device itself. The passive load «with the loader Η provides a vacuum-phase clean and washable loader. As mentioned before, 'the loader door and the bottom (ie the alcove 216 ^ seal (four) compartment. In addition, when the loader and the device are connected, for example, the loading rights group), the loader door and the bottom each have a sealing interface to carry the aircraft. The door (i.e., wall portion 216 or outer casing 214 in Fig. 1) is sealed from the bottom of the door loader. In addition, the crucibles and crucibles have a seal. (6)c shows a loader 22 similar to the loader 22〇. The embodiment is connected to the device, where the seals are: 'loader and loader, 222, loader and Mouthwash (10), Tuen Mun * Mouth and all: door = carrier door) form an X-shaped structure (see Figure / Figure for details). In the non-embodiment, the loader sealing interface is shown in the top opening to: the mouth: the second in the modified state has a plurality of openings in the second::: from the 2: r top r bottom) of the sealing interface _ What is properly configured, for example, the sealing interface surface of == can have a sealing structure of any shape: a curved shape. It is roughly a χ 成 岔 ( ( ( ( ( ( ( ( ( ( ( ( ( ( ( ( 2008 2008 2008 2008 2008 2008 2008 2008 2008 2008 2008 2008 2008 2008 2008 2008 2008 2008 2008 2008 2008 2008 2008 2008 2008 2008 2008 2008 2008 2008 2008 2008 2008 The orientation is generally horizontal or vertical in the form of +. In the embodiment, the loader is thin, with a top opening (wall 216 is raised upwards f: and TP is used as bottom loading) The loader is shown as an example of the device. In the present embodiment, the outer casing 14 has a male seal " face 214 Γ-like chamfered sealing surface 221 (:,, a%, although illustrated on the outer casing The sealing faces 222C, 22 are substantially = in the case of a change: the sealing faces may have an angle formed thereon or other J to enhance the reading effect and the surface is generally beveled to form a substantially f-X seal In the embodiment, the wall portion 216 of the loader, the sealing interface 2161, and the arrangement (as in FIG. 3A) are substantially oblique cutters to form the sealing faces 22iCD, and 224CD, as shown in FIG. 3A. , opposite shell and wall sealing surface 221C' '221CD, is Complementarity to form a sealing interface 221' when the wall # and the outer casing are closed. The loader interface 214, the upper beveled surface 221C' is generally wedge-shaped to provide a wall portion 216' when seated on the outer casing (see figure). In addition, the loader door and the loader sealing interface 221 in the embodiment are positioned such that the weight of the wall portion 216 can increase the sealing force of the interface. It can be seen that the box in this embodiment The working piece is supported by the wall portion 216' and seals the loader door with the loader. As can be seen from the third drawing, the sealing faces 222C' and 224CD are provided with the sealing faces 222P', 224PD on the p and the pD. 'Interacting with each other. 帛3B_ shows loading ^) 〇 ' is located at the cornice, and the sealing portions 222, 224 are closed. When the sealing 邛 222, 224' is sealed, all external interfaces are isolated (ie, the outer surface is controlled) Or 1C; 200845274

在裝載機或裝置内側之隔離驗室)來自内側 艘室之潛在性污染。可第3Β圖可知,大致^形之= 部220’提供最佳清淨度,因它將形成大致零損失體積介 面。此意謂當裝載機門或埠門開啟時,密封部22〇,之密封 形狀不會產生外露外側面之空間(即成為内侧面)。由第3C ,可見,在卸除埠門時,卸除裝載機將不會導致任何前所 未密封/外側面曝露於裝載機/加工裝置内侧。 ί 由第3C圖可見,本實施例中之裝載機門之頂部開口炉 本實施例中將導致裝載機搶室2G2,位於壁部216,所支承之 升起匿盒中。裝載機艙室2〇2,係與裝置内側聯通,可設置 ,制性空氣循環系統(未圖示),導致在裝載缝室内之文氏 :流。在本實施例中’裝載機餘室内之循環空氣流係位於 :純盒(從壁部216’吊起)之工作件下方,因循環干擾之 微粒之沉積(從工作件上沉積者)之可能性為最低。於第 3A-3C圖所*之實_中’裝載機細,係由適當提升裝置 予以升兩讀接及靠泊於埠口勘。在裝載機及提升 裝置上設有適當之記錄裝置咖以將裝載機定位於裝置上 機Γ定位於埠口。於變更態樣中,裝载機係以 :I田方’ t持於埠口。可通過磁鎖’機械聯鎖(例如設 / I?間之密封介面)或在埠門之間之密封介面中所產 之”空吸力等方式將裝載機門216,鎖定於蟑門2214。埠 :1 2214可由广夠索引匣盒(類似第】圖之匣盒⑽)通過預 月配f感應器(未予圖示)之適當裝置予以開啟/關閉。 友參照第4圖所不之另—實施例之褒載機300,該裝載 16 200845274 機300與裝載機200類似但呈逆式,外殼314係位於壁部 316之頂部。與裝載機細類似,裝載機係' 頂部開 殼作為fm底部開口设部作為門)。本實施财所示之裝 載機具有—體成型之輸送組件300M。舉例而言,裝載 機綠(或壁部)314,316具有輸送運動支承,諸如滾輪或 空耽支承及反應構件,可由驅動器或馬達予以啟動以使裝 載機在FAB内自行輸送(即不需使用獨立輸送機)。第4圖 顯不設在裝載埠3010(大致與前述璋繼類似)之裝載機 3〇〇作為實例說明。所示實施财,裝載機⑽係頂部裝載 於埠介面。裝載機門316係設置相對或眺鄰(形成介面)於淳 殼314可與埠3〇12形成介面。裝載機300 亦具有大致與第3B圖所示之\形密封22〇,類似之三向, 四向或五向“交接,,類型(或零損失體積)密封部14A圖顯 不-種貫施例之密封部32〇之斷面圖。實施 320係一種底部開口構造之四向密封部,大致與密封部^ 類似。 第4B圖顯示另一實施例之密封部之裝載機, 其間之密封部之間之介面之另—斷面圖。於此實施例中, 被封部320’係大致類似密封部32〇。第4b 顯 介面雙,設有支承凸緣/部位如,,咖。例中、^ 緣32r可操作壁部316’,例如該凸緣可與裝載機門 雖然圖不實施例之組件係形成門接觸面,而在變更 悲樣中該組件係與門接觸)及當裝載機門關閉時可定位磁 鎖326M以將壁部316’固定於外殼314,。此外該部位似, 17 200845274 可疊合槔Η 3(H4中之磁鎖3_’。相之磁鎖係用以將壁 部316,鎖定於埠門3〇14,以提供裝載機門卸除作業。裝載機 外殼部位326,之位置可啟動璋門鎖3_,(以將壁部316,鎖 定於璋H)以致㈣部316,與外殼314,鎖之大致㈣解鎖/ 關閉。相反的,當蟑門3014,關閉時,埠門鎖3〇4〇,之解鎖/ 鎖死可導致㈣316,與外殼314,之間之則3細,鎖死。 實施例中之外殼之外側部位328’可接合璋口 3隊之定位/ 定心部位3〇12C’以在裝載機配置時予以定位。第4B圖所 不之外側部位328,之形狀僅作為實例說明,而在變離樣中 之裝載機可具有任何預缺”位。如前所述,密封部32〇, 之X形構造可免除開啟裝載機門前之密封介面之淨化工 作’因松封介面之淨化體積大致為零H態樣中(例如 4B圖所示)之實施例中,埠口具有一淨化線侧Potential contamination from the inner chamber in the isolation chamber on the inside of the loader or unit. As can be seen from Figure 3, the portion 220 of the shape provides the best cleanliness as it will form a substantially zero loss volume interface. This means that when the loader door or the door is opened, the sealing portion 22 is sealed so that the sealed shape does not create a space for the exposed outer side (i.e., becomes the inner side). From Section 3C, it can be seen that when the card is removed, the unloader will not cause any front seal/outer side to be exposed inside the loader/machine. It can be seen from Fig. 3C that the top opening furnace of the loader door in this embodiment will cause the loader to grab the chamber 2G2, which is located in the wall portion 216 and supported by the lift box. The loading compartment 2〇2 is connected to the inside of the unit and can be installed with a systemic air circulation system (not shown), resulting in a Venturi flow in the loading chamber. In the present embodiment, the circulating air flow in the loader compartment is located below the work piece of the pure box (suspended from the wall portion 216'), the possibility of deposition of particles due to cyclic interference (deposited from the workpiece) Sex is the lowest. The actual _ middle' loader in Figure 3A-3C is thinned by a suitable lifting device and berthed in the shovel. Appropriate recording devices are provided on the loader and the lifting device to position the loader on the device and the casing is positioned at the cornice. In the variant, the loader is held in the mouth of the I-Taiwan. The loader door 216 can be locked to the card door 2214 by means of a magnetic lock 'mechanical interlock (e.g., a sealing interface between the electrodes) or a "suction suction" produced in the sealing interface between the tips. : 1 2214 can be turned on/off by the appropriate device of the pre-monthly f sensor (not shown) by the wide enough index box (similar to the first box) (see Figure 4). In the embodiment of the load carrier 300, the load 16 200845274 machine 300 is similar to the loader 200 but is reversed, and the outer casing 314 is located at the top of the wall portion 316. Similar to the loader, the loader is 'top open shell as the bottom of the fm The opening device is a door. The loader shown in this embodiment has a body-formed conveying assembly 300M. For example, the loader green (or wall) 314, 316 has a conveying motion support such as a roller or an open support. And the reaction member can be activated by a driver or a motor to allow the loader to self-propel within the FAB (ie, without the use of a separate conveyor). Figure 4 shows a loader that is not mounted on the load magazine 3010 (substantially similar to the aforementioned) 3〇〇 as an example. The loader (10) is mounted on the top of the top surface of the loader. The loader door 316 is disposed opposite to or adjacent to the interface (the interface) is formed in the clamshell 314 to form an interface with the crucible 314. The loader 300 also has a shape substantially as shown in FIG. 3B. The shape seal 22 〇, a similar three-way, four-way or five-way "crossover, type (or zero loss volume) seal portion 14A is not a cross-sectional view of the seal portion 32 of the embodiment. A four-way seal portion of a bottom opening structure of 320 is implemented substantially similar to the seal portion. Fig. 4B is a cross-sectional view showing the interface between the seal portions of the sealing portion of another embodiment. In this embodiment, the sealed portion 320' is substantially similar to the sealing portion 32A. The 4b interface is double, with support flanges/parts such as, coffee. In the example, the edge 32r can operate the wall portion 316'. For example, the flange can form a door contact surface with the loader door although the components of the embodiment are not formed, and the component is in contact with the door in the change of the sad sample) The magnetic lock 326M can be positioned to secure the wall portion 316' to the outer casing 314 when the loader door is closed. In addition, the part is similar, 17 200845274 can be stacked 槔Η 3 (magnetic lock 3_' in H4. The magnetic lock is used to lock the wall 316 to the door 3〇14 to provide loader door removal operation. The loader housing portion 326 is positioned to activate the door lock 3_ (to lock the wall portion 316 to the 璋H) such that the (four) portion 316, and the outer casing 314, the lock is substantially (four) unlocked/closed. Conversely, when The door 3014, when closed, the door lock 3〇4〇, the unlocking/locking may cause the (4) 316, and the outer casing 314, the 3 is thin and locked. In the embodiment, the outer casing side portion 328' is engageable. The positioning/centering position 3〇12C' of the mouth 3 team is positioned when the loader is configured. The shape of the outer side portion 328 of Fig. 4B is only illustrated as an example, and the loader in the variable separation may have Any pre-deficiency position. As mentioned above, the X-shaped configuration of the sealing portion 32〇 can eliminate the cleaning operation of the sealing interface in front of the loader door. The purification volume of the loose sealing interface is substantially zero (for example, 4B). In the embodiment shown in the figure, the cornice has a purification line side

3〇1〇A係任何—種密封介面或其間者。第4C圖顯示另—Ϊ 列之裝載機農置介面之另一斷面。埠介 J 機夕内丨^ Γ 部32G”。於此實施例中,裝載 " 八有一支承部328"以提供裝載機300"坐設於槔 機於裝載機門(壁部316,,)(即將裝栽 載機門門^^ 將裝_重量分佈科門)。在裝 保持固i。與裝載機門密封部32Γ,之密封接觸大致 ==5C圖顯示與裝載機3〇〇類似之裝載機 另二貫=之裳置埠之配合。本實施例之裝載機 頂 «口及底部震載式(沿着第从圖之箭頭+Z所示方·向)= 18 200845274 載機外殼31从係作騎載_。如第 面320A係所謂之三向式密 圃所不之在封;丨 積,與前述密封部320,22〇類 有零淨化、線或損失體 面遍壁部對外殼,介面,(介3〇1〇A is any type of sealing interface or its intervening. Figure 4C shows another section of the loader farm interface of the other. In the embodiment, the loading "eight has a support portion 328" to provide the loader 300" sits on the loader door (wall portion 316,,) (The loading door door will be loaded ^^ will be loaded with the weight distribution door.) In the installation and maintenance of the solid i. With the loader door seal 32 Γ, the sealing contact is roughly == 5C picture shows similar to the loader 3〇〇 The loading machine is further matched with the same. The top of the loader of the present embodiment is the bottom and bottom shock type (as indicated by the arrow +Z in the figure) = 18 200845274 The carrier casing 31 The system is used for riding _. If the 320A is the so-called three-way merging, it is not sealed; the hoarding, with the aforementioned sealing parts 320, 22, has zero purification, line or loss of the body surface Interface

埠口 3012A對埠門3014A)。本實 、 ,丨面323A .Μ ,1ήΔ油 )本實知例中,埠門3014Α係與 -致。例如外殼316Α可設於琿門顧Α内。實 施例中外殼316A與埠門3014A夕nr 之體積減為最小)。在外殼316::==介面間 Μ / 4· ^ η 兴旱門之間可設置一密封 :(未予圖示)以密封其間之介面。如第5β圖所示,本實施 有真料鳩以淨化和至裝載機 再參照第2Α·2Β圖所示之裝載機與埠介面之另一構 b介面⑽’22〇’係與第2Α’_所示之實施例大致類 似(分別為底部裝載/頂部開口,頂部裝載/底部開口式)。密 封介面220, 220,係四向式密封部,具有大致呈“十字形” 或X形構造(介面221壁部216對外殼214,介面222外殼 214對埠口,介面223埠口 2012對埠門2014及介面224 埠門對壁部叫)。如第2Α圖所示,本實施财之密封介 面222,224係定位(例如垂直)與介面表面(諸如在裝載機裝 載時,及當埠門關閉時)之相對運動之方向大致平行。換言 之,裝載機或裝載機門向關閉位置之移動將不會產生密封 關閉。本實施例中形成密封介面222, 224之一或多個表面 y设有諸如膨脹式密封,壓電啟動式密封或形狀記憶構件 專之啟動式雄封,藉以在不需密封介面之摩擦接觸即可啟 19 200845274 動密封部及關閉密封介面。所述之密封構造係僅作為實例 說明。 參照第1圖,裝載機外殼214具有外側支承240以操 持裝載機。所示之支承240係諸如把手,但亦可作成其他埠口 3012A 对埠门3014A).本实 , , 丨 323 323A Μ , 1 ή Δ oil ) In this practical example, Tuen Mun 3014 Α 与. For example, the outer casing 316 can be located in the Tuen Mun Gu Yu. In the embodiment, the volume of the outer casing 316A and the cardia 3014A is reduced to a minimum. A seal may be placed between the outer casing 316::== interface Μ / 4· ^ η. (not shown) to seal the interface between them. As shown in the 5th figure, the present embodiment has a real material to purify and load the loader and refer to the other interface b interface of the loader and the interface shown in Fig. 2(2) '22〇' and the second line' The embodiment shown is substantially similar (bottom loading / top opening, top loading / bottom opening). The sealing interface 220, 220 is a four-way sealing portion having a substantially "cross" shape or an X-shaped configuration (the interface 221 wall portion 216 is opposite the outer casing 214, the interface 222 is facing the outer casing 214, and the interface 223 is opening the door to the door. 2014 and interface 224 Tuen Mun to the wall called). As shown in Fig. 2, the sealing interface 222, 224 of the present embodiment is positioned (e.g., vertical) substantially parallel to the direction of relative movement of the interface surface (such as when the loader is loaded and when the door is closed). In other words, movement of the loader or loader door to the closed position will not result in a sealed closure. One or more surfaces y forming the sealing interface 222, 224 in this embodiment are provided with an activated seal such as an expansion seal, a piezoelectric start seal or a shape memory member, whereby the frictional contact without the sealing interface is required Can start 19 200845274 dynamic seal and close the sealing interface. The sealed construction is described by way of example only. Referring to Figure 1, the loader housing 214 has an outer support 240 to operate the loader. The illustrated support 240 is such as a handle, but can be made into other

適當形式。實施例中之支承240係設在外殼之相對側並儘 量隔離以使裝載機之操持穩定性最適化。變更態樣中可提 供更多或更少支承。爰參照第6A圖,裝載機外殼220A在 外殼底部附近設有孔口或凹槽構件,薄片或過濾器26〇A。 该構件之孔口或凹槽之大小及形狀係經設計以減緩或降^ 裝載機門開啟時所引致之文氐管流或渦流之強度。變更贵 樣中之文氐管流或渦流減緩元件可設於裝載機中之任何^ 他適當位置。所示之裝載機200A之外殼係設在底部僅作3 實例說明,而在變更態樣中之裝載機係設在頂部。在裝】 内部可提供另夕卜之流強化空間及/或管道(未予圖示)以助奪 持工作件在裝置中之大致順暢/層流。第6B圖顯示另一^ 施例之裝載機2_。該裝載機2咖具有一調溫器25〇 ^ 使艙室内之工作件維持於有別於常溫之溫度。舉例而古 裝載機外殼或壁部214,216具有熱電模組,通過諸如『 2與工作件產生熱接以使工作件之溫度受加熱/升^ ^皿以上。比常溫更高之卫作件溫度將通過熱驅作月 (the_Wesis)而驅離工作件上之微粒及水 ^ 作件離開裝載機時受到污染。在變更態樣中,可二 微波能量等任何其他預期之 ^ 可在各工作件周圍產生靜二在另4更態樣中, 因厓王靜1:%以排斥水分子及微粒之汽 20 200845274Appropriate form. The supports 240 of the embodiment are attached to opposite sides of the outer casing and are isolated as much as possible to optimize the stability of the loader. More or less support can be provided in the variant. Referring to Figure 6A, the loader housing 220A is provided with an aperture or groove member, sheet or filter 26A near the bottom of the housing. The size and shape of the orifice or groove of the member is designed to slow or reduce the strength of the tube or vortex caused by the loader door opening. The change in the volume of the tube or the vortex mitigation element can be placed in any suitable position in the loader. The housing of the loader 200A is shown at the bottom for only three example descriptions, while the loader in the modified version is attached to the top. Additional space and/or piping (not shown) may be provided inside to facilitate the smoothing/laminar flow of the work piece in the device. Fig. 6B shows another loader 2_ of the embodiment. The loader 2 has a thermostat 25 〇 ^ to maintain the work piece in the cabin at a temperature different from normal temperature. For example, the conventional loader housing or wall portion 214, 216 has a thermoelectric module that is thermally coupled to the workpiece by, for example, "2" to cause the temperature of the workpiece to be heated/literated. The temperature of the workpiece, which is higher than normal temperature, will be removed from the loader by the heat drive for the moon (the_Wesis). In the modified aspect, any other expected microwave energy, etc., can generate static two around each work piece in the other four more aspects, because Ya Wangjing 1:% to repel water molecules and particles of steam 20 200845274

没參照第’實施例中之厘盒21〇(亦可參昭第 巢狀支架2_以提供該支架所支承之工作件之 0:向限制。各支架肅可由一或多個外 二聰所構成)。如第1A圖所示,£盒支承纖之t 作件受支承㈣得跨式架置。各支架21GV具有提升表 =2設支架上之工作件s提供限制周邊。該提升表面 ^可呈斜面(相對於垂直面)以形成坐設卫作件s之定位導 :二成堵如相對於工作件底面呈1。之斜角,以確保與工 -面之接觸,例如在周邊外界區内者。變更態樣 =作件外殼可具有任何適t構造以形成被動式工作件限 者。於另-變更態樣中,外殼係未設有被動式工作件限制 參照第7A-7B圖’與第Μ所示之裝載機2〇〇類似之 〜實細例之裝載機2G()C係分別示於關閉及開啟狀態。本 例中之㊣盒21GB具有變化高度。當裝載機關閉 、’ Ε盒2_具有最低高度,而當裝載機門(壁部2ΐ6Β) ▲,夺H益可擴展至最高高度。當匿盒從最低擴展至最 H時’ E盒之工作件/支架之間之斜角將會增加而提供 ::裝載機高度’在進出時提供工作件之間之最大空間。 3施例中m承2膽大致具有風箱式構造。該支 糸由鋁薄片或沒有關節接合而可提供充足撓度之任何其 他適當材料製成(例如形狀記憶材料)。如圖所示,E盒支ς 21 200845274 係在頂部支承於裝載機壁部216仏裝載機之頂部開口(如第 7B圖中去除壁部216B)或底部開口(類似第2B圖所示之去 除外殼214B者)將導致匣盒(風箱)支承21〇SB在重力作用 下擴展。藉關閉裝載機門即可壓縮匣盒風箱。如第7c圖所 示,風箱210SB具有可供放置工作件之工作件支承 210VB。實施例中之工作件支承21卿係作成對應風箱之 接合部210PB之形狀,當風箱擴展/壓摺時係保持大致固定 之徑向位置(因此可防止工作件與工作彳支座之間之相對 徑向移動)。由此可知,風箱g盒可壓摆使g盒中之工作件 被有效地夾緊於風箱之毗鄰摺橺之間。由此可知,上側 緊部僅接觸工作件之周緣。如第7B圖所示,實施例中之裝 置或裝載機中之一透樑式配對器2〇6〇B或其他適當裝置^ 【以測定II盒擴展時工作件s之位置。工作件自動操縱裝 予圖不)亦設有感應器用以偵測工作件之接近度以 保工作件抓取之適當定位。 如雨所述,具有被動式裝載機門與密封部之裳載機 =諸:裝載鎖等真空式艙室之直接介面。第8圖顯示將 :、另一實施例之真空式艎室(示為裝載鎖)4〇〇之埠介面 直接配對之t載機·,。帛8圖所示之裝載機細, 2财述裝載機⑽’扇大致類似。實施例中之裝載鎖且 =:V10可用以開/關埠門4014,於是可開/關裝載機 H此實施例中之頂壁部加,)及升/㈣盒21〇,。在本實 二::“Ο經設計可提供裝載鎖搶室之低或最低 间 調位器410係設置於裝載鎖艙室400C之外 22 200845274 側,並沿着裝載鎖艙室排列以減少艙室及裝載鎖之高产。 實施例中,調位器410具有驅動部412及聯接部41二$實 施例中所示之驅動部412具有設有電機驅動系統,具有焉 達驅動帶或螺栓驅動器以升/降穿梭機416。本實施例中… 聯接部414係一種磁性聯接器,可將驅動部上之穿梭機4^ 聯接至埠門4014。該埠門具有磁件(永久性或電磁)或設置 其上之磁性材料以構成磁性聯接器之内部414i。該門之磁 f 性部份4141亦可將埠門鎖定於門框4012。舉例而^,埠門 框4012可具有適當磁件(與第2B圖所示之磁件2〇28,類似) 設置以配合埠門上之磁性部份/磁件4141之操作及當機門 位於關閉狀態時將機門與埠口鎖止。實施例中,埠^框中 之磁鎖元件可與機門4014上之磁性聯接器4141配合操 作。變更態樣中,機門與驅動器之間之磁性聯接器,及機 門與門框之間之磁鎖可具有任何適#構造。如第8圖所示, 艙室壁部400W將驅動部412與艙室400C内部隔離。在變 更悲樣中(亦見第18-19圖),驅動部412,係線性馬達(例如 t" 線性引流馬達,LIM),在埠門4014,之反應部4141,上操作 以啟動埠門之移動。該LIM亦可設置於搶室壁部外側及盥 〜論隔離。於…圖所示之實施例中,二部; 包括磁性材料部4122,,或永久性磁件形成安全鎖以在艙室 電效時保持埠門4〇14,於開啟狀態。變更態樣中,可將 適田蓄壓器連接於驅動部以供預期控制以將埠門降低至關 閉狀悲。由第8圖及第18_19圖可見,埠門與埠門框之間 之密封部在實施例中係設置以使門重量有助於·密封該介 23 200845274 面。 由第8圖所示實施例可知,磁性聯接之對應部4141亦 可將埠門4014與裝載機門216,互相鎖止。舉例而言,裝载 機門可設有適當磁件(例如永久性磁件)或磁性材料228,, 當被啟動時,與聯接部4141(例如包括電磁或具有變異性電 場之磁件)互相配合以將埠口與裝載機門互相鎖止。在本實 施例中之埠門活動係由與艙室隔離之導引器予以導引。舉 例而言,在所示實施例中,利用風箱4〇〇B連接埠門至艙室 壁部及將埠門移動導引4006與艙室隔離。本實施例中之導 引器一般係伸縮式部件。所示之伸縮式導引器係由中空圓 筒伸縮管所製成,而在變更態樣中可具有任何適當構造。 在另一變更態樣中,調位器亦可具有任何其他預期構造。 舉例而言,可將適當調位馬達設置於艙室壁部,但與艙室 内部隔離,如7/22/03提出申請之美國專利申請案第 1〇/624,987號,以下援引作為本案參考,可不需埠門之機 械導引而啟動埠門之控制性運動。風箱予以施壓可助埠門 關閉。風If 400B /亦可用以圍罩諸如真空線路等之控制系 統,及連接於埠門之動力/信號線路。本實施例中之埠門呈 有連接於真空供源之埠PD1〇,構成艙室泵下埠,以下將; 詳述。 第9圖顯示另一實施例之設在真空室400,上之裝載機 3_〇0’、。實施例所示,裝載機300,係一種底部開口裝載機(與 ^述第3圖所不之裝載機300類似)。於此實施例中,埠門 4〇H開啟時係下降至驗室。調位器(未予圖示)係與第8圖 24 200845274 磁鎖組件4026係永久性磁件或磁性材料。在實施例中之線 圈元件4028,係設置於艙室作為實例說明。而變更態樣中之 線圈元件係設於外側。艙室壁部係與艙室内部隔離。線圈 元件係相對於門框呈岐或靜定。#預期料低磁鎖之磁 及第18-19圖所示者類似,但用以將埠門向下移動。艙室 及埠門具有磁鎖4028’,4026,用以將關閉狀態之門鎖定於 艙室框架上。實施例中之埠門框具有一或多個線圈元件 4028,形成如磁鎖之門框侧部所示者。線圈元件可 如預期設置及產生可操作門鎖組件4〇26,之磁場。機門上之 力及容易移動埠門時可減低磁場強度。變更態樣之線圈元 件係可移動性,例如安裝於驅動系統之穿梭機及形成璋門 與調位器之間之磁性聯接之一部份。在變更態樣中,磁鎖 係與前述將裝載機門鎖定於裝載機者類似。啟動磁鎖於門 框之設在埠門4014,上之永久性磁件或磁性材料亦可提供 與調位器之聯接’與第8圖所示者類似。帛9圖所示本實 加例之艙至亦具有與第8圖所示者類似之風箱及埠門導引 器:該風箱可被施壓以助升起埠門及保持於關閉狀態,尤 $當裝載機門與ϋ盒係坐設於埠門時。在變更態樣中,艙 室具有未設埠門導引器之風箱。將真空連接於埠門以通過 埠門與裝載機Η介面啟動餘室泵。因此在第8圖所示實施 例中,艙室泵埠係設置於埠門處。 再參照第8圖,實施例中可利用諸如與搶室埠連接之 裝載機進行裝載鎖搶室泵,而埠門係由調位器41〇從關閉 狀態移動。由第8圖可知,實施例中通過槔門之真空埠PD10 25 200845274 之裝載鎖艙室之抽取作業係通過裝載機門216,至埠門 "面通過裝载機門至埠門介面之艙室/裝載機氣體之抽吸 流將在介面產生負壓,防止污染物逸入艙室。第1〇圖顯示 另一實施例之通過埠門5014之裝載鎖艙室抽取作業。在此 實知例中,可在裝載鎖搶室抽取之前進行埠門至裝載機門 空間5430,及裝載機艙室2〇2之淨化作業。例如可應用真 =及將埠門肢至埠密封部則(或湘適#閥門)將淨化 氣,引入空間5430。藉助裝載機門216之裂化以供裝載鎖 艙至5400氣體進入裝載機,或藉助適當閥門即可淨化裝載 機200。例如源自艙室(如第1〇圖中虛線所示)之氣體供應 可提供予裝載機以引進預期氣體樣本進人裝載機跡如^ 10A圖所示,係顯示裝載鎖艙室54〇〇及裝載機門之裝載機 2〇〇及負荷鎖艙室5400,具有如預期設置於裝載鎖壁部之 通孔(或氣體樣本供應)5440。因此實施例中之淨化線係用於 甲化,而艙室之排氣可獨立進行而不受裝載機門至 面所影響。 “ f U圖顯示裝載機門316A與埠門6414具有相對機械 故P早防濩鎖之實施例,用以將裝載機門鎖止於裝載機 3140及將埠門鎖止於埠口 6412或艙室64〇〇d。裝載機 314D,裝載機門316D,埠6412及埠門6414係被動式(沒 有關節鎖件)。於此實施例中,調位器可同時用於埠門之z 軸調位及用於旋轉埠門(例如沿着Z軸)以將鎖片接合/脫接 於埠門及裝載機門。變更態樣中,埠門之2軸移動°及旋轉 可通過不同驅動軸予以提供。第12Α.12Β圖分別顯示裝載 26 200845274 機外殼314D及裝載機門316D之底面圖。第13A-13B圖分 別顯示在(裝載鎖)艙室6400與埠門6414之埠口 6412之頂 面圖。實施例中之裝載機外殼之底面具有接合片/表面 360D,由接合面362D接合於裝載機門316D。由此可知, 接合面360D,362D之間之接合/脫接可通過裝載機間相對 於裝載機314D之旋轉予以啟動。裝載機門之旋轉係由埠門 6414所提供,以下將予詳述。變更態樣中埠門與裝載機之 間之接合可具有任何預期構造。裝載機門3 16D具有凹/凸 扭矩聯接部位365D以與裝載機門6414T上之扭矩聯接構 件進行配合。圖示實施例中,埠口 6412及埠門6414具有 與裝載機及裝載機門之接合部位大致類似之互鎖或接合 面。由第13A,13B圖所示,埠口具有接合面6460(例如向 内突出),而埠門6414具有互補之接合面6462以重疊及接 合埠口表面6460。由此可知,實施例中之裝載機上之接合 面3600,3620,及埠口上之接合面6460,6462係彼此相對 設置以供在埠門旋轉時裝載機與裝載機門及埠口與埠門之 間之同時接合/脫接。Without reference to the PCT box 21〇 in the 'Examples (may also refer to the first nest bracket 2_ to provide the work piece supported by the bracket 0: to the limit. Each bracket can be one or more outside the second Congzhi Composition). As shown in Fig. 1A, the t-supporting fiber t-piece is supported (four) for straddle mounting. Each bracket 21GV has a lifting table = 2 and the working piece s on the bracket provides a restricted perimeter. The lifting surface can be inclined (relative to the vertical plane) to form a positioning guide for the seated guard s: the second blocking is 1 with respect to the bottom surface of the workpiece. Beveled to ensure contact with the work-face, such as in the surrounding area. Variations = The housing can have any suitable t-configuration to form a passive workpiece limit. In the other-changing aspect, the outer casing is not provided with passive working parts. Refer to Figure 7A-7B's similar to the loader 2Μ shown in the second section. ~The actual loader 2G()C system respectively Shown in the off and on state. In this example, the positive box 21GB has a varying height. When the loader is turned off, the box 2_ has the lowest height, and when the loader door (wall part 2ΐ6Β) ▲, the gain can be expanded to the highest height. When the box is expanded from the lowest to the most H, the bevel angle between the work pieces/brackets of the E-box will increase and the ::loader height' will provide the maximum space between the work pieces when entering and exiting. In the example, the m-bearing 2 bile has a bellows structure. The support is made of aluminum foil or any other suitable material (e.g., shape memory material) that provides sufficient flexibility without joint engagement. As shown, the E-box support 21 200845274 is attached to the top opening of the loader wall 216 仏 loader at the top (as removed from wall 216B in Figure 7B) or at the bottom opening (like removal as shown in Figure 2B). The outer casing 214B) will cause the cassette (windbox) to support 21 〇 SB to expand under the force of gravity. The cassette bellows can be compressed by closing the loader door. As shown in Fig. 7c, the bellows 210SB has a work piece support 210VB on which the work piece can be placed. The workpiece support 21 in the embodiment is formed into a shape corresponding to the joint portion 210PB of the bellows, and maintains a substantially fixed radial position when the bellows expands/folds (thus preventing between the work piece and the work raft support) Relative radial movement). It can be seen that the bellows g box can be pressed so that the work piece in the g box is effectively clamped between the adjacent folds of the bellows. It can be seen that the upper side tight portion only contacts the periphery of the workpiece. As shown in Fig. 7B, one of the devices or loaders in the embodiment is a beam-transparent pairer 2〇6〇B or other suitable device to determine the position of the workpiece s when the II cartridge is expanded. The workpiece is automatically manipulated and installed. No sensor is also provided to detect the proximity of the workpiece to ensure proper positioning of the workpiece. As described in the rain, the load-carrying machine with passive loader door and seal = the direct interface of the vacuum chamber such as the load lock. Fig. 8 shows a vacuum carrier (shown as a load lock) of another embodiment, which is directly matched to the t-carrier. The loader shown in Fig. 8 is thin, and the two-character loader (10)' fan is roughly similar. The load lock in the embodiment and =: V10 can be used to open/close the door 4014, so that the loader H can be turned on/off in this embodiment, and the top/bottom box 21〇. In the second two:: "The low or minimum interpolator 410 designed to provide a load lock grab room is placed on the side of the load lock compartment 400C 22 200845274 side, and arranged along the load lock compartment to reduce the cabin and load In the embodiment, the positioner 410 has a driving portion 412 and a coupling portion 41. The driving portion 412 shown in the embodiment has a motor drive system with a Trent drive belt or a bolt driver for raising/lowering. Shuttle 416. In this embodiment, the coupling portion 414 is a magnetic coupling that couples the shuttle 4^ on the drive portion to the cardia 4014. The pedal has a magnetic member (permanent or electromagnetic) or is placed thereon. The magnetic material forms the inner portion 414i of the magnetic coupling. The magnetic f-portion portion 4141 of the door can also lock the door to the door frame 4012. For example, the door frame 4012 can have a suitable magnetic member (as shown in FIG. 2B). The magnetic member 2〇28, similarly) is arranged to cooperate with the operation of the magnetic portion/magnetic member 4141 on the card door and lock the door and the mouth when the door is in the closed state. In the embodiment, the frame is 埠^ The magnetic lock component can be coupled to the magnetic coupling 4 on the door 4014 141 cooperate with the operation. In the modified aspect, the magnetic coupling between the door and the drive, and the magnetic lock between the door and the door frame can have any configuration. As shown in Fig. 8, the cabin wall 400W will drive The portion 412 is internally isolated from the compartment 400C. In the altered sadness (see also Figures 18-19), the drive unit 412 is a linear motor (e.g., t" linear drainage motor, LIM), in the reaction section 4141 of the Tuen Mun 4014. The operation is performed to initiate the movement of the cardia. The LIM may also be disposed outside the wall of the chamber and is separated from the wall. In the embodiment shown in the figure, two parts; including the magnetic material part 4122, or permanent The magnetic member forms a safety lock to maintain the shackle 4 〇 14 when the cabin is electrically activated. In the modified state, the Optimum accumulator can be connected to the driving portion for desired control to lower the slamming door to the closed state. Sadly, it can be seen from Fig. 8 and Fig. 18_19 that the seal between the cardia and the frame of the door is provided in the embodiment so that the weight of the door helps to seal the face of the face 23 200845274. For example, the magnetic coupling portion 4141 can also load the card 4014 with loading The door 216 is interlocked with each other. For example, the loader door may be provided with a suitable magnetic member (such as a permanent magnetic member) or a magnetic material 228, when activated, with the coupling portion 4141 (including, for example, electromagnetic or The magnetic field of the variability electric field cooperates to lock the cornice and the loader door. In this embodiment, the movement of the card is guided by an introducer that is isolated from the compartment. For example, as shown In the embodiment, the bellows 4B is used to connect the cardia to the cabin wall and the cardia movement guide 4006 is isolated from the cabin. The introducer in this embodiment is generally a telescopic component. The introducer is made of a hollow cylindrical bellows and may have any suitable configuration in a modified form. In another variation, the positioner can also have any other contemplated configuration. For example, a suitable locating motor can be placed in the wall of the cabin, but is isolated from the interior of the cabin, as described in U.S. Patent Application Serial No. 1/624,987, the entire disclosure of which is incorporated herein by reference. The mechanical guidance of the cardia initiates the controlled movement of the cardia. Apply pressure to the bellows to help the door close. Wind If 400B / can also be used to surround control systems such as vacuum lines, and power/signal lines connected to the door. The trick in this embodiment is provided with a 埠 PD1 连接 connected to a vacuum source to form a chamber pump squat, as will be described below. Fig. 9 shows a loader 3_〇0' provided on the vacuum chamber 400 of another embodiment. As shown in the embodiment, the loader 300 is a bottom open loader (similar to the loader 300 of Fig. 3). In this embodiment, the door 4 〇H is lowered to the laboratory when it is opened. The positioner (not shown) is attached to Fig. 8 24 200845274 The magnetic lock assembly 4026 is a permanent magnetic or magnetic material. The coil element 4028 in the embodiment is provided in the cabin as an example. The coil component in the modified aspect is provided on the outer side. The wall of the cabin is isolated from the interior of the cabin. The coil element is serpent or static with respect to the door frame. # Expecting the magnetic of the low magnetic lock is similar to that shown in Figures 18-19, but is used to move the card downward. The cabin and the door have magnetic locks 4028', 4026 for locking the closed door to the cabin frame. The door frame of the embodiment has one or more coil elements 4028 formed as shown on the side of the door frame of the magnetic lock. The coil elements can be placed as desired and produce a magnetic field of the operable door lock assembly 4〇26. The force on the door and the easy movement of the door can reduce the magnetic field strength. The coil elements of the modified aspect are movable, such as a shuttle mounted to the drive system and a magnetic coupling between the trick and the positioner. In a variant, the magnetic lock is similar to the aforementioned locking of the loader door to the loader. The permanent magnetic member or magnetic material on the door 4014, which is activated by the magnetic lock on the door frame, can also provide a coupling with the positioner, which is similar to that shown in Fig. 8. The cabin of the actual example shown in Figure 9 also has a bellows and a door guide similar to that shown in Figure 8: the bellows can be pressurized to help raise the door and remain closed. , especially when the loader door and the box are located in Tuen Mun. In a variant, the cabin has a bellows without a door guide. Connect the vacuum to the door to start the chamber pump through the door and loader jaw interface. Therefore, in the embodiment shown in Fig. 8, the cabin pumping system is provided at the cardia. Referring again to Fig. 8, in the embodiment, a load lock chamber pump such as a loader connected to the grab chamber can be used, and the trick door is moved from the closed state by the positioner 41. As can be seen from Fig. 8, in the embodiment, the loading operation of the loading lock chamber of the vacuum 埠 PD10 25 200845274 through the door is passed through the loader door 216 to the door of the door to the door of the door through the loader door/ The suction flow of the loader gas will create a negative pressure at the interface to prevent contaminants from entering the chamber. Figure 1 shows another embodiment of the loading lock chamber extraction operation through the door 5014. In this embodiment, the door-to-loader door space 5430 and the loading of the loader compartment 2〇2 can be performed before the loading lock chamber is extracted. For example, it is possible to apply true = and to introduce the purifying gas into the space 5430 from the door to the stern seal (or the Xiang Shi # valve). The loader door 216 is cracked for loading the lock chamber to 5400 gas into the loader, or the loader 200 can be cleaned by means of a suitable valve. For example, a gas supply from a cabin (as indicated by the dashed line in Figure 1) can be supplied to the loader to introduce the expected gas sample into the loader track as shown in Figure 10A, showing the load lock compartment 54〇〇 and loading The door loader 2 and the load lock compartment 5400 have a through hole (or gas sample supply) 5440 disposed as desired in the load lock wall portion. Therefore, the purge line in the embodiment is used for the nailing, and the exhaust of the cabin can be independently performed without being affected by the loader door. The f U diagram shows an embodiment of the loader door 316A and the door 6414 having a relatively mechanical tamper lock to lock the loader door to the loader 3140 and lock the shackle to the cornice 6412 or the cabin. 64〇〇d. Loader 314D, loader door 316D, 埠6412 and 646414 are passive (without joint lock). In this embodiment, the positioner can be used for both z-axis adjustment of the door and Used to rotate the card (for example, along the Z axis) to engage/disengage the lock plate to the door and loader door. In a variant, the 2-axis movement of the door and the rotation can be provided by different drive shafts. The 12th and 12th drawings respectively show the bottom views of the loading 26 200845274 casing 314D and the loader door 316D. The 13A-13B diagrams show the top views of the (loading lock) compartment 6400 and the door 6414 of the door 6414, respectively. The bottom surface of the loader housing has an engagement piece/surface 360D joined to the loader door 316D by the engagement surface 362D. It can be seen that the engagement/disengagement between the engagement surfaces 360D, 362D can be achieved by loader-to-load loading. The rotation of the machine 314D is started. The rotation of the loader door is controlled by the trick. Provided by 6414, as will be described in more detail below. The engagement between the stern and the loader can be of any desired configuration. The loader door 3 16D has a concave/convex torque coupling location 365D to be associated with the loader door 6414T. The torque coupling member is mated. In the illustrated embodiment, the jaw 6412 and the flap 6414 have interlocking or mating faces that are substantially similar to the joints of the loader and the loader door. As shown in Figures 13A, 13B, the cornice There is a joint surface 6460 (e.g., inwardly projecting), and the flap 6414 has a complementary joint surface 6462 to overlap and engage the jaw surface 6460. Thus, it can be seen that the joint faces 3600, 3620, and 上 on the loader in the embodiment The joint faces 6460, 6462 on the mouth are disposed opposite each other for simultaneous engagement/disengagement between the loader and the loader door and the jaw and the sill during rotation of the cardia.

第14圖顯示裝載鎖艙室400E及調位器6410E與裝載 機300E。於此實施例中之調位器係與裝載鎖艙室呈軸向串 聯設置。類似艙室200,300,3000,第4圖所示實施例中 之艙室300E係具有類似前述部位之真空相容性頂部或底 部開口艙室。艙室6400E係與前述艙室類似。第15圖顯示 具有減低抽取體積構造之一種裝載鎖艙室及裝載機300C。 圖示實施例中,裝載機門316F具有用於裝載機外殼314F 27 200845274 350F及底部32lF門。如第15圖所示,當裝載 封327GF(_密封部⑵)係與外殼 D。δ裝載機門開啟時,頂部密封 載機外殼(例如㈣W Ρ 封35ίΚ:係封閉裝 35m。— 、ίσΡ 350f係座設及密封於裝載機座表面 葬此㈣心# 35〇F係將裝載機艙室與裝載鎖餘隔離, 吏取I載鎖餘室為真空時可減低抽取體積。 -nrf 16B圖分別顯不另一實施例之在進站與離站狀 =之裝载機300G及裝載鎖艙室64嶋。裝載機則〇具 有底壁^16G,環形部314G及頂壁部η·。在此實施 M百:开^ ^ 3UG或一或多個部份係操作作為裝載機 門。頂壁部及底壁部316G,314PD可㈣在—起,而形成 機門之移動部314G分別具有頂部及底部密封35〇(},321(} 用以始、封頂壁部及底壁部。裝載室艙室64〇〇g具有一開口 埠6402G,如第ι6Β圖所示,裝載機3〇〇g可通過該開口埠 嵌套入裝載鎖艘室。裝載鎖艘室64嶋具有凹槽料观以 將裝載機門314G降低以提供裝載機之進取開口。裝載機之 頁土邓314PD可雄封裝載鎖搶室埠,藉此密封裝載鎖赌室 及提供艙室之抽取。可提供適當之升降器/調位器以升/降裝 載機門314G。第17-17C圖顯示另一實施例之另一種頂部 密封裝載機300H及裝載鎖艙室64〇〇H。裝載機3〇〇H呈^ 頂部密封凸緣314H及側開口 304H(沿着工作件之裝卸^裝 載機緣設置)。實施例中之裝载機頂部密封凸緣314η係座 設及密封於如第17Β圖所示之艙室埠之邊緣6412Η。装載 機門314DR可作第17C圖之箭頭〇所示般之徑向朝外及旋 200845274 轉運動,裝载機開口係對 然所示實施例係參照—種::艙至中之槽口閥門。雖 用於諸如第18圖所示^至,所述部位亦同樣適 具有控制性圍氛,但可室。裝載埠艘室之内部係 參照第29A及29B圖,係 化Γ操持系統10,10,之示意平面圖在第1一 Γ動 圖中所示之自動化材料操持系統…及2: 個隔艙内輸送系統部15,一 叙上八有一或多 隔艙排位部35,輸送支魂弋:夕隔艙間輸送系統部2〇, 送機。隔 =:=Γ之配置(文中“間”-詞通常_== 個群狀縣’而“内”―朗常係 份)。輸送系統部15,20,25,35 ^山h 且内之 迴路在另一鈐、广25 35可肷套在一起(即一輪送 Ά 之),並係排列以供諸如2〇〇mm晶 :等之::圓,平面顯示屏幕及類似物件,及/或其裝載 機專之+導體卫作件以高速傳輸進出加項搶似在加工 设施中之㈣連加工裝置3G。在變更態樣中,任何適當材 料均可在自動化材料操持系統中傳輸。輸送系統1〇亦可提 仏工作件再導引自一輸送站至另一輸送站。具有隔驗間及 隔艘内支線之用以輸送工作件之自動材料操持系統之一實 施係見述於美國專射請案第屬97,528號及授權公文第 390-011338-US(PAR)號之“自動化材料操持系統”,以下將 援引作為本案參考。 第29A及29B圖所示之自動化材料操持系統1〇,1〇, 29 200845274 2構仏係代表性構造,而自動化材料操持系統10, 10,可被 π置於任何適當構件以容納加工設施中之加工隔艙及/或 ,工裝^之任何預期佈局。由第29Α圖可知,隔艙内輸送 邛15可5又置於任一側及由任何數目之對應於一或多個加工 …輸邛20予以互相連接。變更態樣中之外側或側 於^ ^㈣隔艙内部份,而其間橫貫之部份可將隔搶内部 "於同隔艙内之加工裝置之群組或排列。第29Α圖Figure 14 shows the load lock compartment 400E and the positioner 6410E and the loader 300E. The positioner in this embodiment is axially coupled in series with the load lock compartment. Similar to the compartments 200, 300, 3000, the compartment 300E of the embodiment shown in Fig. 4 has a vacuum compatible top or bottom open compartment similar to that previously described. Cabin 6400E is similar to the aforementioned cabin. Figure 15 shows a load lock compartment and loader 300C having a reduced extraction volume configuration. In the illustrated embodiment, loader door 316F has a loader housing 314F 27 200845274 350F and a bottom 32l door. As shown in Fig. 15, when the load seal 327GF (the seal portion (2)) is attached to the outer casing D. When the δ loader door is opened, the top seal carrier shell (for example, (4) W Ρ seal 35 Κ: is closed 35m. — ίσΡ 350f seat and seal on the surface of the loader seat. (4) Heart # 35〇F will load the cabin The chamber is isolated from the load lock, and the extraction volume can be reduced when the I-load lock chamber is vacuumed. -nrf 16B shows another loader 300G and load lock in the inbound and outbound positions respectively. The compartment is 64. The loader has a bottom wall ^16G, a ring portion 314G and a top wall portion η. Here, M: open ^ ^ 3UG or one or more portions are operated as loader doors. The bottom and bottom wall portions 316G, 314PD can be (four) in the same manner, and the moving portion 314G forming the door has a top and bottom seal 35 〇, 321 (} for the beginning, the top wall portion and the bottom wall portion. The compartment 64〇〇g has an opening 埠6402G, through which the loader 3〇〇g can be nested into the loading lock chamber. The loading lock chamber 64嶋 has a groove view to The loader door 314G is lowered to provide the access opening of the loader. The page of the loader is 314PD, which can be packaged and locked. Thereby the load lock gambling chamber is sealed and the extraction of the cabin is provided. A suitable lifter/positioner can be provided to raise/low loader door 314G. Figures 17-17C show another top seal loader 300H of another embodiment And loading the lock chamber 64〇〇H. The loader 3〇〇H is the top seal flange 314H and the side opening 304H (set along the loading and unloading edge of the workpiece). The top seal flange of the loader in the embodiment The 314η is seated and sealed to the edge 6412Η of the compartment 如 as shown in Figure 17. The loader door 314DR can be moved radially outward and rotated at 200845274 as shown by the arrow 第 in Figure 17C. The opening system is described with reference to the embodiment: a tank-to-center notch valve. Although used for example, as shown in Fig. 18, the portion is also suitable for a controlled atmosphere, but may be a chamber. The interior of the loading chamber is referred to in Figures 29A and 29B, and the schematic operating system 10, 10 is schematically illustrated in the automated material handling system shown in the first map... and in 2: compartments. The system unit 15 has one or more compartments arranging portions 35 for transporting the souls: The inter-flight transport system unit 2〇, the delivery machine. The interval =:=Γ configuration (in the text "between" - the word is usually _== group county] and "inside" - Lang Chang). Transportation system department 15, 20,25,35 ^Mountain h and the inner loop is nested together in another 钤, 广 25 35 ( ( 即 即 ( ( ( ( ( ( ( ( ( ( ( ( ( ( ( ( : : : : : : : : : : : , flat display screen and the like, and / or its loader special + conductor manufacturing parts to high-speed transmission in and out of the addition to the processing facilities (4) continuous processing device 3G. In the variant, any suitable material can be transferred in the automated material handling system. The transport system 1 can also be used to guide the work piece from one transfer station to another. One of the implementations of an automated material handling system for transporting workpieces with compartments and internal branches is described in US Patent No. 97,528 and Authorized Document No. 390-011338-US (PAR) "Automated Material Handling System", which will be cited below as a reference for this case. The automated material handling system shown in Figures 29A and 29B is a representative construction of the structure, and the automated material handling systems 10, 10 can be placed in any suitable component to accommodate the processing facility. Process any desired layout of the compartment and/or tooling. As can be seen from Figure 29, the compartments 15 can be placed on either side and connected to each other by any number corresponding to one or more processing ports 20. The outer side of the change pattern or the inner part of the ^^(4) compartment, and the traversing part of it may be separated from the group or arrangement of the processing devices in the same compartment. Picture 29

^實施例中之隔㈣輸送部15亦可由交接分路5〇所連 可供工作件直接移動於隔艙内輸送部Η之間而不需通 2工或製程隔艙45。在另_變更態樣中,輸送部Η可藉 搶内輸送部(未予圖示)予以互相連接。在另一變更 =曰,如第29Β圖所示’隔搶間輸送部15可設置於任何 45之艙45之間,藉此在分叉部伺服隔艙或裝置組 任付數央島或輸送中央幹道。在另—變更態樣中, 任何數目之嵌套迴路部,諸 29B®路-. 渚如N數目之系統(如第29A及The partition (four) conveying portion 15 in the embodiment may also be connected by the transfer branch 5〇 for the workpiece to be directly moved between the transport portions 隔 in the compartment without the need for the work or the process compartment 45. In another embodiment, the transport unit 互相 can be interconnected by means of an internal transport unit (not shown). In another change = 曰, as shown in Fig. 29, the inter-segment transport unit 15 can be disposed between any 45 compartments 45, thereby serving the central compartment or transporting the fork compartment servo compartment or device group. Central trunk road. In the alternative-changing aspect, any number of nested loops, 29B®-- such as the number of N systems (eg, 29A and

犯::斤不之糸統1〇 ’ 1〇,)係由輸送部予以並聯連 =連接=隔艙間輸送部15。在另—變更態樣中 裝置可具有任何適當構造。此外,任何數目 之隔驗内/隔搶間系統可以任 成嵌套加工排列。 7週田構&連接在—起以形 隔艙間輸送部15係、可提供任何適當工 之模組執道系統。各個軌道 勒、動 (例如聯鎖切面,機有/當配對裝置Offense:: 斤不不糸1〇 〇 1〇,) is connected in parallel by the transport unit = connection = compartment transport unit 15. In other variations, the device can have any suitable configuration. In addition, any number of inter-inspection/interstitial systems can be arranged in a nested process. The 7-week field structure & connection is in the form of a compartment transport unit 15 to provide any suitable module system. Each track is pulled or moved (for example, interlocking cut surface, machine with/when paired device

時提供模組端對端連接在—起女裝隔艙内輸迗部U 起。軌道模祖可作成任何適當 30 200845274 長度,諸如數尺長,或作成任何適當形狀,諸如直條或曲 線形’以提供安裝及構造彈性之便利操持m統可支 件從底部輸送或在變更態樣中,該執道系統係懸掛 式執、糸統。執道系統可設有滾輪支承或任何其他適當支 承面使工作件輸送可沿着執道移動而不經滾輪之阻力^袞 輪支承係呈截錐面或該執道係向曲線或彎角内側呈斜角以 提供工作件$器在執道上㈣時之附加方向穩定性\ 隔艙内輸送部15係傳動帶式輸送系統,履帶與滾筒式 或鍵▼與星輪式輸送系統,輪驅動系統或磁力引動式輸送 系統二用以驅動輸送系統之馬達係具有無限制衝程可使工 作件容器沿着隔艙内輸送部15移動之任何適當線型馬達。 該線型馬達係沒有活動組件之固態馬達。舉例而言,線型 馬達係整流或非整流AC或DC馬達,線型引動馬達,或線 型步進式馬達。線型馬達可被加入隔艙内輸送部15或加入 本身之工作件輸送或容器中。在變更態樣中,可加入任何 適當驅動裝置以驅動通過隔艙内輸送系統之工作件。在另 一變更態樣中,隔艙内輸送系統係無執導輪自發性輸送機 之路徑。 以下將予說明,利用排序部與分路,隔搶内輸送部i 5 一般可提供工作件沿着隔艙内輸送部15之路徑作無中斷式 兩速移動或流動。與在輸送線上加入或移除輸送容器時需 要停止材料流動之傳統輸送系統相比之下,本實施例較為 可取。 _ 如前所述,實施例中之隔艙内輸送部20可形成加工或 31 200845274 二私rf45 ’可通過隔搶排序部35 ·接於隔艙間輸送部 “隔艙排序部35可設置於諸如隔艙間或隔搶内輸送部 20,15之任何—側,並提供卫作件或卫作件容器進入/離開 隔搶内輸送部20 W需停止或減賴_輸送部Η之材 料流或隔搶間輸送部2G之材料流。實施射之排序部% 係不意為與輸送部15,2〇之分離部。變更態樣中之排序部 或輸运4 15,2G之間之排序路徑係與輸送部聯合為一,但 在輸送部之間形成分離之排序輸祕彳f。變更態樣中之排 序部可視需要設在隔搶間或隔㈣。具有行程路線及進取 或排序路線以供選擇性進取以開關行程路線而不致減損行 進路線之輸送系統之一實例係見述於美國專利申請案第 u/211’236號之“輸送系統”_案,以下係、援引作為參考。隔 搶間輸送部2G及隔_序部35具有與前述應用於隔艘内 輸送。卩15者相當類似。在變更態樣中,隔艙内輸送部及連 繫隔搶内與隔艘間輸送部之排序部具有任何適當構造,形 狀或型式,並可藉任何適當方式予以驅動。由第29A圖可 見,實施例中之隔艙排序部35具有輸入部35A及輸出部 35B,係對應於隔艙内及隔艙間輸送部15,2〇之移動方向 R1 R2。在此作為貫例說明之傳統係設定部件作為部 件20之輸入(出自部件15)及部件35B作為部件20之出口/ 輸出(部件15之輸入)。變更態樣中之排序部之行進方向係 視所系予以達成。以下將予詳述,工作件容器可通過輸入 邛35A離開隔艘間輸送部15,並通過輸出部35B進入隔艘 間輸送部35B。排序部35具有任何適當長度以提供工作件 32 200845274 輸送進出輸送部15, 20之進出。 隔艙内輸送部20可在連接任何數目之加工裝置30至 輸送系統10,10’之通道之内延伸。如第29A圖及前文所 述,隔艙内輸送部20亦可將二個或以上之隔艙間輸送部15 互相連接。如第29A及29B圖所示之隔艙内輸送部20具 有封閉迴路形狀,然而在變更態樣中可具有任何適當構造 或形狀及可應用於任何製程設施佈局。實施例中之隔艙内 輸送部20係通過輸送支線或分路25連接至加工裝置30, 與排序部35者類似。變更態樣中,分路係以類似方式設在 隔艙間輸送部。分路25可有效抓取工作件輸送“離線”,並 具有諸如輸入部25A及輸出部25B對應於隔艙間輸送部20 之行進方向R2,如第29A圖所示。分路25可通過輸入及 輸出部25A,25B供工作件輸送離開及進入隔艙内輸送部 20而大致不會中斷工作件在隔艙内輸送部20上之大致固 定行進速度。而在分路25中,工作件容器可在對應於加工 裝置站之工作介面站(未予圖示)上停止,使工作件及/或容 器本身被轉移進入加工裝置裝載琿,或通過任何適當轉移 裝置進入任何其他適當工作件堆疊區,例如設備前端模 組,排列器或任何其他適當轉移自動操縱裝置。變更態樣 中,工作件輸送部係被指引至預定分路以啟動輸送器在特 定輸送部上之重新排序(重組)。 工作件裝載機在不同部件15,20,25,35之間之輸送 或轉換作業可由連接至控制器(未予圖示)之導引系統(未予 圖示)予以控制。該導引系統包括定位裝置以供確定沿着部 33 200845274 — 3 5上輸送之位置。定位裝置係任何適當類 型者:諸如連續或分配式裝置,如光學,磁性,條碼或基 2條等/σ着部件15,20,25,35而設。該分配式裝置可 5貝取或由设在輪送部上之適當讀取裝置予以查詢,以供控 制器確定輸送部在部件15,2G,25,35上之位置及輸送部 之動能狀態。另—方面,該裝置可感應及/或查詢輸送部, 工作件裝載機或卫作件上之感應項目,諸如rfid(快速頻 率$別裝置)以識別位置/動能。該定位裝置包括單獨或組合 之刀配裝置可偵測移動輸送部之位置之離散式定位裝置 (例如i田射测距裝置,超音波測距裝置,或與内部GPS或内 广逆1 GPS聯繫之内部定位系統)。控制器可將來自導引系 統之貝喊來自輸送部之位置回饋資訊^以組合以確定及 維持輸送部沿着部件15,20,25,35及在部件之間之輸送 路控。 、變更態樣中,導引系統可包括具有凹槽,執道,執道 f任何其他適當結構以構成與工作件輸送部上之機械導引 4位,互配合之結構性或機械性導引面。在另—變更態樣 中4件12,20,25,35亦可包括電子線,諸如印刷條或 ,體以提供王作件輸送部之電子導引(例如傳送適當電磁 釔號由輸送部上之適當導引系統所檢測之電子線)。 。再參照第29A及29B圖以說明一種輸送系統1〇,1〇, 之操作實例。⑨置於諸如分路25之玉作件容器可進入輸送 系統10 ’ 1G,。為了保持隔制輸送部2G之流向大致不中 斷及以固定速度移動,卫作件容器可通過分路25進入隔餘 34 200845274 内輸送部20。工作件輸送機在分路25中加速使輸送機以隔 艙内輸送部20中之材料流向之相同速度行進。由於分路25 可允許工作件輸送機加速,因此該輸送機將合併入隔艙内 輸送部20之流向中而不致阻礙流向或與在隔艙内輸送部 20中行進之任何其他輸送機產生碰撞。在與隔艙間輸送部 20合併時,工作件輸送器將在分路25中等待適當推進,於 是可自由進入隔艙間輸送部之向而不致與任何其他工作件 裝載機或輸送機產生碰撞或導致橫貫隔艙内部件之輸送器 之減速。工作件輸送機將沿着隔艙間輸送部20以固定速度 持續行進,並根據通行權轉換成輸出排列區或部35B以轉 換隔艙間部件15。於一實施例中,如果在輸出排列部35B 中沒有空間,輸送機將沿着隔艙内輸送部20持續行進直至 輸出排列部35B有空間為止。變更態樣中可提供交接分路 以連接輸送部之相對行進路徑,以供輸送器在輸送路徑之 間轉換至諸如回復繞道站而不需行進輸送部之整個迴路。 輸送機可在隔艙輸出排列部35B中等待作適當推進,然後 加速及合併入隔艙間輸送部15之大致持續性固定速度流 向,與前述參照隔艙内輸送部20之合併大致類似。輸送機 以持續性速度沿着隔艙間輸送部15持續行進至預定隔艙, 並轉換入相關連之隔艙排列輸入部35A以進入預定之隔艙 内部件20。於一實施例中,如果在輸入排列部35A内沒有 空間,與前述方式類似,輸送機將持續沿着隔艙内輸送部 15行進直至輸入排列部35A有空間為止。輸送機可在隔艙 輸入排列部35A中等待適當推進及加速以合併入第二隔艙 35 200845274 内輸送部20,第二隔艙内輸送部2〇將再具有持續性固定速 度流向。輸送機係關閉第二隔艙内輸送部2〇及進入輸送介 面與加工裝置30之輸送分路25。如果輸送機在分路乃中 沒有空間,由於分路25中之其他輸送器,輸送機有通路權 將沿着隔艙内輸送部20持續行進直至分路25有空間為 止。由於隔艙間輸送部15及隔艙内輸送部2〇中之材料流 向係大致非中斷及以固定速率行進,於是系統可保持很高 之工作件生產率在加工隔艙與加工裝置之間輸送。 於第29A圖所示實施例中,輸送機可通過直接連接隔 艙排列部35,加工裝置,隔艙内輸送部2〇或隔艙間輸送部 15之延伸部40而在加工隔艙之間直接行進。舉例而言,如 第29A及29B圖所示,延伸部40係將排列部35連接在一 起。在變更態樣中,延伸部4〇可藉將各裝置之與分路25 類似之輸送分路連接在一起而提供由一加工裝置至另一加 工裝置之存取。在另一變更態樣中,延伸部可直接連接任 何數目或任何組合之自動化材料操持系統之構件在一起以 提供短程存取路徑。在較大型嵌套網路中,由延伸部40所 產生之輸送機之目的地之間之較短路徑將可縮短輸送機之 行進時間’進一步增加系統之生產率。 在又;更悲樣中,自動化材料操持系統10,1〇,之流 向係雙向者。輸送部15,20,25,35,4〇,5〇具有側對側 之平行行進道,各以相反方向移動並具有出口斜道及行進 =道圍繞及連接相反之行進道。輸送部之各個平行道可被 指定予特定行進方向並可個別或同時轉換使各個相對平行 36 200845274 二係:據輸送運算而逆轉以配合輸送裝載條件。例 f达機流向係依循其相對方向流動。然而,如果在:後有 輸送機係設置於設施中及將要被 時,則平行道之行進方向將更有效率移動之位置 =變更態樣中,雙向行進道可被堆疊設置(在彼此之 =加工裝置與輸送分路25之間之介面具㈣降機 W將輸送機從分路升高或降低至加卫 鐘方向材料流向之分路係設在具有=二 送部亦可具有任何適當構f雙向分路及其他輸 第20圖顯示另-實施例之用以將裝載機輸送於裝置站 β之輸送系統之輸送系統執道5〇〇之一部份。該且 有固態傳動系統’與前述美國專利申請案帛1〇/69^號 所述者類似。㈣道具有與在裝載機外殼/罩箱—體成型^ 相互配合之靜定強制段件。於是該裝载機可由輸送 =直接輸送。顯示於異步輸送系統中之輸送系統跡 機之輪送與輸送线上之其他裝賴之行動脫接^道 ^係經設計可免除測定因其他裝載機之行動而影響特定裝 ^機之輸送速率之因素。傳動軌道係採用具有開/關分 =(亦見第297-298圖)之主輸送道,可將裝載機導引離開 主輪送道以啟動路徑改變及/或與裝置站(諸如緩衝器,堆垛 機等)之介面而不致影響對主輸送道之輸送。且有分又門、 37 200845274 關路徑之輸送系統之適當實例係見述於前述之美國專利申 請案第11/21 1,236號。於此實施例中之段件500A,C,D 具有應用於A1-D線性馬達之捲動組以導致沿着主行進路 徑500M之移動(示於第20A圖)。諸如第20圖所示之段件 500B係具有關閉/出口,可代表為存取路徑500S。在此段 件500M中之強制器之捲動可設計以提供啟動2-D平面馬 達以提供沿着主路徑500及當裝載機之預期啟動移動沿着 路500S(見第20B圖)之兩者運動。馬達控制器係與7/11/05 提出申請之美國專利申請案第11/178,615號所述之分配控 制設計者類似,以下援引作為參考。在此實施例中,驅動 器八馬達係分區,由具有適當分區控制之分區控制器予以有 效控制。輸送機500具有適當支承以提供移動式支承裝載 機。舉例而言,在段件500A,500C及500D中,支承(例 如滾輪,滾筒)可提供裝載機沿着路徑500M之1-度自由度 移動。 在段件500B中之支承可允許裝載機之2-度自由度移 動。在其他實施例中,支承可設在裝載機上。在另一實施 例中,可使用空氣支承以將裝載機移動式支承於執道上。 裝載機在路徑500M之間之導引及被引至路徑500M可由諸 如裝載機上之可操縱式或活節輪,軌道上之活節導引執 道,或第20B圖所示之磁性操縱器等之適當導引系統予以 啟動。 第20A圖顯示系統500之輸送元件500A之實施例。 實施例顯示具有單一行進路線或路徑(例如路徑500M)之段 38 200845274 件。如第20A圖所示,實施例中之段件具有線性電動機部 份或強制器502A及支承面504(A)作為輸送器上之行動支 承。如前所述,變更態樣中之輸送段件可具有任何其他預 期構造。實施例中之導執506A係用以導引輸送器。變更態 樣中輸送段件具有磁件或磁性支承以替代輸送導引之執 道。可利用裝載機上之電磁體以輔助將裝載機從執道上脫 接。第20B圖顯示另一種實施例之輸送系統500另一輸送 段件。段件500A’具有多個行進路線(例如類似第20圖所示 C 段件500B之交接路線)或大致平行之主要行進路線(類似路 線500M),其間設有轉換器。如第20B圖所示實施例中, 行進路線(類似路線500M,500S)係大致由1-D電動機部份 500A1及對應之裝載機行動支承面/面積504A’所形成。行 進路線之間之交接或轉換係由可在輸送器上產生2-D作用 力以啟動行進路線500M’,500S’之間之橫越之2-D電動機 元件之排列所形成。 第21圖顯示另一實施例之傳動輸送系統之交接部或轉 彎段件。該圖示實施例中,輸送段件500A”形成交接之多 ( 個行進路線500M”,500S”。行進路線係大致類似路線 500M(見第20A圖)。於實施例中,輸送車可橫越特定路線 500S”,500M”直至與交接路線大致對齊為止。對齊時預定 路線之1-D電動機將使輸送器沿着交接路線移動。變更態 樣中,交接部可能非定向於90度。第20C圖顯示裝載機 1200之底部及其中之反應元件。相對之反應元件可用以與 交接部(見第.21圖之實施例)之相對強制器部件之定向相 39 200845274 合。此舉可供裝載機在交接部改變執道而大致不需停止。 第20D圖顯示設置在裝載機1200A之樞轉部之反應元件 1202FA,如另一實施例所述可旋轉至預期位置。第22圖顯 示與第21圖中之交接部大致類似之具有側執儲存位置 500S”之執道段件500H”。第23-23A圖顯示具有切割或開 口 15000之執道段件500以提動裝載機升降機或穿梭機(未 予圖示)之臂部,以下將予詳述。實施例中,該開口 15000 可允許裝載機之側向進取以將裝載機從傳動執道之底側抓 取。第24圖顯示具有設在箭頭2500M所示之偏離裝載機/ 軌道中心線之強制器(諸如線性電動機)2502A之執道段件 2500A。 第25A-25B圖顯示一種線性電動傳動機3500(具有埋 設在裝載機3200内之着地強制器段件及反應元件)用以輸 送基板於半導體FAB。如圖示實施例中,該傳動機3500係 逆轉(例如裝載機係懸掛及位於傳動機下方)使裝載機可從 底側直接進取。傳動機3500亦可類似前述之輸送系統段件 500A,500A”,500A’”。實施例中可採用磁性持留強制器 3502以維持傳動機3500與裝載機3200之間之聯接。此作 用力係源自線性馬達線圈(例如在線性同步設計中)及/或通 過個別電磁及/或指定用途之永久性磁件(未予圖示)。裝載 機與輸送機之聯接及脫接係迅速及最好係在不移動部件 (例如電磁開關)之情況下達成。通過裝載機與傳動機之間之 磁通路徑及/或被動式機械持留部位可確保故障防護作業。 實施例中,可通過線圈轉換達成交接部及支線點(即類 40 200845274 似第20圖中之段件500B之合併-分支位置)位置。變更態 樣中可採用轉動式或其他路徑導引裝置以轉移裝載機於傳 動機3200之行進路徑之間。 實施例中之裝載機3200係經設計使反應元件係設在頂 部,而基板係從裝載機底部進取。實施例中之裝載機3200 具有設置以與傳動機3500之強制器配合之磁性平板。該裝 載機平板或平板部包括滾輪,支承或其他行動支承面(例如 傳動機中之空氣支承之反應面)。該平板亦包括一電磁聯結 部,可供在工作件容器部裝載於加工裝置3030時將裝載機 之容器部份從保持連接於傳動機之平板部脫接。 實施例中,裝載裝置時,傳動機3200係將裝載機設置 於裝置裝載埠上,並利用諸如指定垂直轉移機制3040(可見 第26A-26B圖)以將裝載機從傳動機高度降低至(控制器環 境)裝置3030之裝載介面3032。垂直轉移裝置亦可被用作 調位器,藉以設置晶圓以供晶圓操持自動操縱裝置之存 取。垂直轉移裝置之一適當實例係見述於8/25/05立案之美 國專利申請案第11/210,918號,並已援引作為本案參考。 變更態樣中,傳動機係作逆向設置之動力輪累積傳動 機,具有適當磁引作用力以支持裝載機於傳動機輪上。另 一變更態樣中,一般設計可作成逆式使輸送機係位於裝載 埠以下,裝載機具有反應部位於頂部。 第26A-26B圖顯示將裝載機從輸送系統直接降低/升起 至裝載埠/裝置介面之另一實例。於第26A-26B圖所示之實 施例中之裝載機可與反應平板一體成型。在另一實施例中 41 200845274 輸::了:: ί:分:心:當移:_機時保持聯接於 載機呈大致為"1之關了之各平板係與FAB中之裝 之裝實施例之具有傳動機機動車混合構造At the same time, the end-to-end connection of the module is provided in the armpit of the women's compartment. The track die ancestor can be made to any suitable length of 30, 2008, 274, such as a few feet long, or made into any suitable shape, such as a straight strip or curved shape, to provide ease of installation and construction flexibility. The support can be transported from the bottom or in a modified state. In the sample, the obstruction system is a hanging type and a system. The obeying system may be provided with a roller bearing or any other suitable supporting surface for the workpiece to be transported along the road without the resistance of the roller. The wheel bearing system is a truncated cone or the obstruction is curved or curved. Inclined to provide additional direction stability for the work piece $ on the road (4) \ compartment conveyor belt 15 series belt conveyor system, track and drum type or key ▼ with star wheel conveyor system, wheel drive system or The magnetically actuated delivery system 2 is a motor for driving the delivery system having any suitable linear motor that has an unrestricted stroke to move the workpiece container along the delivery portion 15 within the compartment. The linear motor is a solid state motor without moving parts. For example, linear motors are rectified or non-rectified AC or DC motors, linear pilot motors, or linear stepper motors. The linear motor can be incorporated into the transport portion 15 of the compartment or into the workpiece transport or container of itself. In a variant, any suitable drive can be added to drive the work piece through the transport system in the compartment. In another variation, the in-cabin transport system is the path of the self-contained conveyor without the steering wheel. As will be explained below, by means of the sorting portion and the branching, the inner conveying portion i 5 can generally provide a non-interrupting two-speed movement or flow of the workpiece along the path of the conveying portion 15 in the compartment. This embodiment is preferred in comparison to conventional delivery systems that require material flow to be removed when the delivery container is added or removed on the conveyor line. _ As described above, the compartment inner conveying portion 20 in the embodiment can be formed into a processing or 31 200845274 two private rf45 'can be passed through the compartment sorting section 35 · connected to the compartment transport section "the compartment sorting section 35 can be set in For example, any compartment on the compartment or between the inner conveyors 20, 15 and providing the guard or guard container into/out of the transport unit 20 W needs to stop or deplete the material flow of the transport section Or the material flow of the inter-segment transport unit 2G. The sorting unit % of the shot is not intended to be a separate unit from the transport unit 15, 2, and the sorting path between the sorting unit or the transport 4-15G in the modified aspect. The system is combined with the conveying unit, but a separate sorting and conveying secret f is formed between the conveying portions. The sorting portion in the changing aspect can be set in the smashing room or the partition (four). It has a travel route and an aggressive or sorting route. An example of a delivery system for selectively advancing to switch the travel path without detracting from the travel route is described in the "Transport System" of U.S. Patent Application Serial No. U/211,236, the disclosure of which is incorporated herein by reference. The inter-strip transport unit 2G and the inter-sequence portion 35 have the same application as described above The transport is carried out in separate compartments. The 卩15 is quite similar. In the variant, the sorting section of the transport section in the compartment and the transport section between the compartment and the compartment has any suitable structure, shape or type, and can borrow any It can be seen that it can be driven in a suitable manner. As can be seen from Fig. 29A, the compartment sorting unit 35 in the embodiment has an input unit 35A and an output unit 35B corresponding to the moving direction R1 R2 in the compartment and the inter-compartment conveying unit 15, 2〇. Here, as a conventional example, the conventional setting member is used as the input of the component 20 (from the component 15) and the component 35B as the outlet/output of the component 20 (the input of the component 15). The direction of travel of the sorting section in the modified aspect is This will be achieved as follows. As will be described in more detail below, the workpiece container can exit the inter-tank transport portion 15 through the input port 35A and enter the inter-tank transport portion 35B through the output portion 35B. The sorting portion 35 has any suitable length to provide The working piece 32 200845274 is fed in and out of the conveying parts 15, 20. The inter-chamber conveying part 20 can extend within the passage connecting any number of processing devices 30 to the conveying system 10, 10'. As shown in Fig. 29A and the foregoing As described above, the inter-chamber transport unit 20 may also connect two or more inter-chamber transport units 15 to each other. The inter-chamber transport unit 20 as shown in FIGS. 29A and 29B has a closed loop shape, however, in a modified form There may be any suitable configuration or shape and may be applied to any process facility layout. The inter-chamber transport portion 20 in the embodiment is coupled to the processing device 30 by a transfer leg or branch 25, similar to the sorting portion 35. In the sample, the branching system is disposed in the inter-compartment conveying portion in a similar manner. The branching 25 can effectively grasp the workpiece conveying "offline", and has such that the input portion 25A and the output portion 25B correspond to the inter-compartment conveying portion 20. The traveling direction R2 is as shown in Fig. 29A. The branch 25 can be transported away from the workpiece and into the compartment transport section 20 through the input and output sections 25A, 25B without substantially interrupting the substantially fixed travel speed of the workpiece in the compartment transport section 20. In branch 25, the workpiece container can be stopped at a working interface station (not shown) corresponding to the processing station, such that the workpiece and/or the container itself is transferred into the processing device, or by any suitable means. The transfer device enters any other suitable work piece stacking area, such as a device front end module, an aligner or any other suitable transfer automatic handling device. In a variant, the workpiece transport section is directed to a predetermined split to initiate reordering (recombination) of the conveyor on a particular conveyor. The transfer or conversion of the workpiece loader between the various components 15, 20, 25, 35 can be controlled by a guidance system (not shown) that is coupled to a controller (not shown). The guiding system includes positioning means for determining the position to be transported along portion 33 200845274 - 35. The positioning device is of any suitable type: such as a continuous or distributed device such as optical, magnetic, bar code or base 2/sigma components 15, 20, 25, 35. The dispensing device can be queried by a suitable reading device provided on the wheeling portion for the controller to determine the position of the conveying portion on the components 15, 2G, 25, 35 and the kinetic energy state of the conveying portion. Alternatively, the device can sense and/or query sensing items on the conveyor, work loader or guard, such as rfid (Frequency Frequency Device) to identify position/kinetic energy. The positioning device comprises a discrete positioning device capable of detecting the position of the moving conveying unit by a single or combined knife-aligning device (for example, an i-field ranging device, an ultrasonic ranging device, or an internal GPS or an internal GPS 1 contact Internal positioning system). The controller can combine the feedback information from the guidance system from the guidance system to determine and maintain the transport path along the components 15, 20, 25, 35 and between the components. In the modified aspect, the guiding system may include any other suitable structure having a groove, an obstruction, and an obstruction to form a mechanical or guiding position on the workpiece conveying portion, and interacting structurally or mechanically. surface. In another variation, the four pieces 12, 20, 25, 35 may also include an electronic wire, such as a printed strip or body, to provide an electronic guide for the kingpiece transport portion (eg, to deliver an appropriate electromagnetic nickname from the transport portion) The electronic line detected by the appropriate guidance system). . Referring again to Figures 29A and 29B, an example of the operation of a delivery system 1 〇, 1 〇 is illustrated. 9 placed in a jade container such as shunt 25 can enter the conveyor system 10' 1G. In order to keep the flow direction of the barrier conveying portion 2G substantially uninterrupted and to move at a fixed speed, the container of the garnish can be passed through the branch 25 into the conveying portion 20 in the compartment 34 200845274. The work piece conveyor is accelerated in the branch 25 to cause the conveyor to travel at the same speed as the material in the transport portion 20 in the compartment flows. Since the branch 25 can allow the workpiece conveyor to accelerate, the conveyor will merge into the flow direction of the conveyor 20 within the compartment without obstructing the flow or colliding with any other conveyor traveling in the conveyor 20 within the compartment. . When combined with the inter-compartment transport unit 20, the work piece conveyor will wait for proper advancement in the shunt 25 so that it can freely enter the direction of the inter-chamber transport unit without colliding with any other work loaders or conveyors. Or causing a deceleration of the conveyor across the components in the compartment. The work piece conveyor will continue to travel at a fixed speed along the compartment transport portion 20 and be converted into an output arrangement area or portion 35B according to the right of way to convert the compartment member 15. In one embodiment, if there is no space in the output aligning portion 35B, the conveyor will continue to travel along the compartment inner conveying portion 20 until the output aligning portion 35B has a space. In the modified aspect, a transfer branch can be provided to connect the relative travel paths of the transport portion for the conveyor to switch between the transport paths to the entire circuit, such as the return bypass station, without traveling the transport portion. The conveyor can wait for proper advancement in the compartment output aligning portion 35B, and then accelerate and merge into the substantially constant fixed velocity flow of the compartment transport portion 15, substantially similar to the combination of the reference compartment inner transport portion 20. The conveyor continues to travel along the compartment transport portion 15 to the predetermined bay at a sustained speed and is diverted into the associated bay alignment input portion 35A for entry into the predetermined compartment component 20. In one embodiment, if there is no space in the input array portion 35A, the conveyor will continue to travel along the compartment inner conveying portion 15 until the input array portion 35A has space, similarly to the foregoing. The conveyor can be appropriately advanced and accelerated in the compartment input arranging portion 35A to be merged into the second compartment 35 200845274 in the conveying portion 20, and the second compartment inner conveying portion 2 will continue to have a constant fixed speed. The conveyor closes the transport portion 2 in the second compartment and the transport branch 25 into the transport interface and processing device 30. If the conveyor has no space in the shunt, due to the other conveyors in the shunt 25, the conveyor has access rights that continue along the inter-carriage transport 20 until the shunt 25 has space. Since the material flow in the compartment transport section 15 and the compartment inner transport section 2 is substantially uninterrupted and travels at a fixed rate, the system can maintain high workpiece productivity between the processing compartment and the processing apparatus. In the embodiment shown in Fig. 29A, the conveyor can be placed between the processing compartments by directly connecting the compartment alignment portion 35, the processing device, the compartment inner conveying portion 2, or the extension portion 40 of the inter-compartment conveying portion 15. Go straight. For example, as shown in Figs. 29A and 29B, the extending portion 40 connects the aligning portions 35 together. In a variant, the extensions 4 can be accessed by a processing device to another processing device by connecting together the delivery branches of the devices similar to the shunt 25. In another variation, the extensions can be directly coupled to any number or combination of components of the automated material handling system to provide a short range access path. In larger nested networks, the shorter path between the destinations of the conveyors created by extensions 40 will reduce the travel time of the conveyor' further increasing the productivity of the system. In addition, more sadly, the automated material handling system 10,1〇, the flow to the two-way. The conveying sections 15, 20, 25, 35, 4, 5, have side-to-side parallel traveling lanes, each moving in the opposite direction and having an exit ramp and a travel = lane surrounding and connecting opposite lanes. Each of the parallel passages of the conveyor portion can be assigned to a particular direction of travel and can be individually or simultaneously converted to cause each of the relative parallels. 36 200845274 The second system: reversed according to the conveying operation to match the conveying loading conditions. Example f The flow direction of the machine follows its relative direction. However, if there is a conveyor system installed in the facility and will be when it is, then the direction of travel of the parallel lanes will move more efficiently. In the modified aspect, the two-way travel lanes can be stacked (in each other = The mask between the processing device and the conveying branch 25 (4) The lowering machine W is to raise or lower the conveyor from the branching path to the branching direction of the material in the direction of the reinforcing clock. f bidirectional branching and other transmissions Fig. 20 shows a portion of the transport system of the transport system for transporting the loader to the plant station β in another embodiment. The solid state drive system 'and The above-mentioned U.S. Patent Application No. 〇 1〇/69^ is similar. (4) The track has a statically forcible segment that cooperates with the loader casing/hood box. The loader can be transported directly = Conveying. The transfer of the conveyor system traces in the asynchronous conveyor system and other detaching actions on the conveyor line are designed to eliminate the need to determine the impact of other loaders on specific loading machines. Transport rate factor The main system uses a main conveyor with on/off points = (see also Figures 297-298) to guide the loader away from the main wheel to initiate path changes and/or to station stations (such as buffers, stacks) The interface of the machine, etc., without affecting the transport of the main conveyor. A suitable example of a conveyor system with a branch and a door, 37 200845274 is described in the aforementioned U.S. Patent Application Serial No. 11/21, No. 1,236. The segments 500A, C, D in this embodiment have a scrolling set applied to the A1-D linear motor to cause movement along the main travel path 500M (shown in Figure 20A). Such as the segment shown in Figure 20 The piece 500B has a closure/outlet that can be represented as an access path 500S. The scrolling of the forcing device in this segment 500M can be designed to provide a 2-D planar motor to provide along the main path 500 and when the loader is It is expected that the start-up movement will be moved along the way of the road 500S (see Figure 20B). The motor controller is similar to the distribution control designer described in U.S. Patent Application Serial No. 11/178,615, the entire disclosure of which is incorporated by reference. Citation is for reference. In this embodiment, the driver eight motor system Zones are effectively controlled by zone controllers with appropriate zone control. Conveyor 500 is suitably supported to provide a mobile support loader. For example, in segments 500A, 500C and 500D, supports (eg, rollers, rollers) The loader can be provided to move along a 1-degree degree of freedom of the path 500M. The support in the segment 500B can allow the 2-degree degree of freedom of the loader to move. In other embodiments, the support can be provided on the loader. In another embodiment, an air bearing can be used to movably support the loader on the road. The guiding of the loader between the paths 500M and the routing to the path 500M can be by an steerable or articulated wheel such as on a loader. The guide system on the track, or the appropriate guidance system of the magnetic manipulator shown in Fig. 20B, is activated. Figure 20A shows an embodiment of a transport element 500A of system 500. The embodiment shows a segment 38 200845274 with a single travel route or path (eg, path 500M). As shown in Fig. 20A, the segment in the embodiment has a linear motor portion or a compensator 502A and a bearing surface 504 (A) as a motion support on the conveyor. As previously mentioned, the delivery segments in the modified aspect can have any other desired configuration. The guide 506A in the embodiment is used to guide the conveyor. In the modified state, the conveying section has a magnetic member or a magnetic support instead of the conveying guide. An electromagnet on the loader can be utilized to assist in detaching the loader from the way. Figure 20B shows another delivery section of the delivery system 500 of another embodiment. The segment 500A' has a plurality of travel routes (e.g., a handover route similar to the C segment 500B shown in Fig. 20) or a substantially parallel main travel route (similar to the route 500M) with a converter therebetween. In the embodiment illustrated in Fig. 20B, the travel route (similar to route 500M, 500S) is formed substantially by the 1-D motor portion 500A1 and the corresponding loader motion bearing surface/area 504A'. The transition or transition between the travel routes is formed by an arrangement of 2-D motor elements that can create a 2-D force on the conveyor to initiate a traverse between the travel paths 500M', 500S'. Figure 21 shows the interface or turning section of the transmission system of another embodiment. In the illustrated embodiment, the delivery section 500A" forms a plurality of intersections (routes 500M", 500S". The route of travel is substantially similar to route 500M (see Figure 20A). In an embodiment, the carriage can be traversed The specific route 500S", 500M" is until it is substantially aligned with the handover route. The 1-D motor of the predetermined route will cause the conveyor to move along the handover route. In the modified aspect, the interface may not be oriented at 90 degrees. The figure shows the bottom of the loader 1200 and the reaction elements therein. The opposite reaction element can be used in conjunction with the orientation phase 39 200845274 of the compensator part of the interface (see the embodiment of Fig. 21). There is substantially no need to stop at the interface change. Figure 20D shows the reaction element 1202FA disposed at the pivot of the loader 1200A, which can be rotated to the desired position as described in another embodiment. Figure 22 shows and 21st The interface in the figure is substantially similar to the obstruction section 500H" having a side storage location 500S". Figures 23-23A show the obstruction section 500 having a cut or opening 15000 to lift the loader lift or shuttle The arm of the machine (not shown) will be described in more detail below. In the embodiment, the opening 15000 allows the lateral advancement of the loader to grab the loader from the bottom side of the drive lane. Figure 24 shows There is a segment 2500A with a forcing device (such as a linear motor) 2502A offset from the loader/track centerline shown at arrow 2500M. Figures 25A-25B show a linear electric conveyor 3500 (with a buried loader 3200) The grounding force member and the reaction element are used to transport the substrate to the semiconductor FAB. In the illustrated embodiment, the conveyor 3500 is reversed (eg, the loader suspension and under the conveyor) to allow the loader to be bottomed. The side is directly advanced. The conveyor 3500 can also be similar to the aforementioned conveyor system segments 500A, 500A", 500A'". The magnetic retention forcer 3502 can be employed in the embodiment to maintain the coupling between the conveyor 3500 and the loader 3200. The force is derived from a linear motor coil (for example in a linear synchronous design) and/or by a separate magnetic component (not shown) by individual electromagnetic and/or specified use. The loader is connected to the conveyor. The disengagement system is quickly and preferably achieved without moving parts (such as electromagnetic switches). Fail-safe operation is ensured by the flux path between the loader and the conveyor and/or the passive mechanical holding position. The position of the intersection and the branch point (ie, the merge-branch position of the segment 40 200845274 like the segment 500B in Fig. 20) can be converted by the coil. In the modified aspect, a rotary or other path guiding device can be used to transfer the load. The machine is positioned between the travel paths of the conveyor 3200. The loader 3200 of the embodiment is designed such that the reactive elements are attached to the top and the substrate is advanced from the bottom of the loader. The loader 3200 of the embodiment has a magnetic plate that is configured to mate with the actuator of the conveyor 3500. The loader plate or flat portion includes a roller, support or other moving support surface (e.g., a reaction surface for air support in the conveyor). The plate also includes an electromagnetic coupling portion for detaching the container portion of the loader from the flat portion that remains attached to the conveyor when the workpiece container portion is loaded on the processing device 3030. In an embodiment, when loading the device, the conveyor 3200 places the loader on the device loading cassette and utilizes, for example, a designated vertical transfer mechanism 3040 (see Figures 26A-26B) to reduce the loader from the conveyor height to (control) The load interface 3032 of the device 3030. The vertical transfer device can also be used as a positioner to set up the wafer for wafer handling of the automated handling device. A suitable example of a vertical transfer device is described in U.S. Patent Application Serial No. 11/210,918, the disclosure of which is incorporated herein by reference. In the variant, the drive is a reverse-set power wheel accumulating drive with appropriate magnetic attraction to support the loader on the drive wheel. In another variation, the general design can be reversed so that the conveyor is below the loading raft, and the loader has a reaction section at the top. Figures 26A-26B show another example of reducing/lifting the loader directly from the conveyor system to the loading port/device interface. The loader in the embodiment shown in Figures 26A-26B can be integrally formed with the reaction plate. In another embodiment, 41 200845274 loses:::: ί: points: heart: when moving: _ machine keeps connected to the carrier and is generally "1" of the flat panel and the FAB Mixed structure of a conveyor vehicle with an embodiment

載(諸如且有半導體載 =機動車侧係用以自動傳送有效負 量:料機)。職料可《儲存能 二=Γ 縱系統,至少一個馬達動力驅動輪, 兮機物之感應器,及相關控制電子裝置。此外 1動車裝§χ有-或多個反應元件(類似前述之 傳動機系、统500(亦可見第20圖)之傳動機4500板之) 靜疋線性馬達強制器段件互相配合。Load (such as with semiconductor load = motor vehicle side system for automatic transmission of effective load: feeder). The material can be “storage energy two=Γ vertical system, at least one motor power drive wheel, the sensor of the machine, and related control electronics. In addition, the 1 motor vehicle is equipped with or a plurality of reaction components (similar to the aforementioned transmission system, the system 500 (see also Figure 20) of the conveyor 4500 plate). The static linear motor forcing segment members cooperate with each other.

實&射’當機動車侧沿着由_或多個強制器段件 形成之路徑(類似路徑500M,500J)行進時,驅動馬達可 與驅動輪脫接,而機動車係通過與傳動機4500中之反應元 件之電磁聯接而沿着純作㈣促進。如果在機動車中之 館存能量裝置(例如電池,超電容器,飛輪等)需要充電,沿 着導路之髮引輪之運動將可用以將線性馬達之能量轉化^ 機動車儲存。在電氣能量儲叙場合,可藉重新連接作為 發電機之機動車驅動馬達與適當監測電子裝置。該種“飛擊 式充電具有簡化及耐久之效益,且該種設計具備顯著之彈 性與錯誤容限。舉例而言,機動車4200可用以驅動自發性 W經故障之輸送機段件或沿着障礙物或在傳動機未服務之 工作區域之間(見第27A,27B圖)。傳動機強制器段件之數 目及長度可量身訂做為操作方案作為隔艙間輸送機之傳動 42 200845274 機,,及利用諸如隔艟内進行之自發性機動車運動。 引式操縱可應用於彈性路徑選擇4行指引式轉彎可應^ 以^免使用曲線強制器段件。高速行進可沿着傳動機^ :動,並視需要可利用安全障礙與操作員隔 件可應詩長賴作,諸如鏈接於_之論 ^ 用於等級改變,利較㈣殊儲存能量以減緩機動^戶^ 遇之困難。 kReal & Shooting' When the motor vehicle side travels along a path formed by _ or multiple forcing segments (similar to path 500M, 500J), the drive motor can be disengaged from the drive wheel, and the motor vehicle passes through the conveyor The electromagnetic coupling of the reaction elements in 4500 is promoted along the pure work (4). If the energy storage device (e.g., battery, supercapacitor, flywheel, etc.) in the motor vehicle needs to be recharged, the movement of the pilot wheel along the guide path can be used to convert the energy of the linear motor to the motor vehicle for storage. In the case of electrical energy storage, the motor drive motor and appropriate monitoring electronics can be reconnected as generators. This type of "flying-type charging has the benefit of simplification and durability, and this design has significant flexibility and fault tolerance. For example, the motor vehicle 4200 can be used to drive a spontaneous W-faulted conveyor section or along Obstacle or between working areas not supported by the conveyor (see Figures 27A, 27B). The number and length of the conveyor forcing sections can be tailored to the operating scheme as a transmission between the compartment conveyors 42 200845274 Machines, and the use of spontaneous motor vehicle movements such as in the compartment. Pilot maneuver can be applied to the flexible path selection 4-line guided turn can be used to avoid the use of curve forcing segment. Motivation ^: Move, and use security barriers and operator compartments as needed to respond to the poem, such as the link to _ theory ^ for level change, benefit (4) special storage energy to slow down the mobile ^ household ^ Difficult. k

第28圖顯示一體成型之裝載機與輸送機動車之另 例。與傳統機動車型半導體自動化中機動車係用以調度輪 送FAB中之晶圓裝載機者相比較之下,本實施例中各個裝 載機5200係單-機動車。實施例中之-體成型之裝載機/ 機動車52GG係與前述機動車4扇類似。變更態樣中之機 動車测包括一體成型之裝載機5202及機動車5204部 份。第28圖所示之裝載機52〇2係前側/側面開口。變更態 樣中之裝載機係頂部開口或具有其他適當卫作件轉移開 二。機動車可直接驅動至欲轉移工作件之裝載埠,或可由 諸如裝置緩衝器等另-自動化組件所接合。大致永久固定 =載機5202與機動車52〇4可免除在需要大量轉移時調度 空車之等待時間,同時亦可免除相關之傳送時間差異。此 外,裝載機機動車5200可免除空車移動,於是可減少輸送 、周路之總流量,藉此改良系統性能。在變更態樣中,裝載 機與機動車具有聯接器以將裝載機與機動車脫接。雖然系 統中之機動I與裝載機< 比例係、! ·· !之關係U免除在等待 .機動車時裝載機輸送之延時,亦可使用適當控制器中之系 43 200845274 統確認以允許限制情況下之分離(例如機動車或工作件裝 載機部件之維修/保養)。另一方面,裝載機及機動車係在輸 送或接合裝置裝載站或FAB之其他自動組件時係保持一 體。 第29C圖顯示另一實施例之可在傳動系統500(或任何 其他預裝載機輸送系統)與裝置站1000之間之介面之平面 配置之緩衝系統6000之平面圖。該緩衝系統可設置於裝置 站或其部件以下,或設置於裝置站以上。該緩衝系統可設 置偏離(即在其上或其下)操作員進出道。第30圖係緩衝系 統之立面圖。第29C-30圖顯示設置於輸送機500之一側之 緩衝系統。該緩衝系統可視需要延伸以儘量覆蓋FAB平面 之部份。圖示實施例中,操作員走道可設在緩衝系統之高 架位置。同理,緩衝系統可架空延伸在FAB上任何位置。 如第29C-30圖所示,實施例中之緩衝系統6000具有至少 可進行3-D移動之穿梭系統6100(可具有適當裝載升降機 或調位器)及配列之緩衝站ST。穿梭系統一般包括提供一或 多個可在導引系統上作至少2-D橫越之穿梭機6104之導引 系統(例如導執)6102。第29C-30圖所示之穿梭系統之配置 僅作為實例說明用,而在變更態樣中之穿梭系統可具有任 何其他預期配置。在實施例中,穿梭系統係穿梭或介置於 傳動機500,緩衝站ST及裝置裝載站LP(見第29C圖)之 間。該穿梭機6102可在水平設置傳動機500(例如通過傳動 機之段件600之間之存取道602)及裝置站上之缓衝儲存8丁 或-裝載位置LP之間穿梭以使裝載機200在其間穿梭。由第 44 200845274 30圖所示,實施例中之穿梭機6104可包括調位器6106以 抓取/放置裝載機於傳動機600,或緩衝站ST或裝置裝載埠 LP上。緩衝系統係設計成模組形式以方便系統之擴充或縮 減。舉例而言,各模組具有相對儲存位置ST及穿梭執道及 聯接關節以備連接緩衝系統之其他安裝模組。在變更態樣 中,系統具有缓衝站模組(具有一或多個一體成型之緩衝站) 及穿梭軌道模組以供進行穿梭執道之模組式安裝作業。如 第31圖顯示與傳動機500之合併/分支路線連通之緩衝系 統6000之立面圖。實施例中之緩衝系統穿梭機6104可進 取被導引至傳動機進取路線之裝載機。止動(或缺乏類似第 29C圖中之路線602之進取道)將限制穿梭機進取或干擾傳 動機之行進路線。第32圖係另一立面圖,顯示緩衝站之多 個排列。緩衝系統具有以任何預期列數排列之任何預期數 目之缓衝站。橫越之穿梭機(如第32圖中之箭頭Y所示方 向)可藉橫向導引61087之模組變位予以調整。在另一變更 態樣中,緩衝站係作多個水平面或層次之排列(即二或多個 層次-可垂直分開以允許裝載機高度通過層次之間)。多層次 緩衝可應用於縮減性能之裝載機。第33圖顯示具有與導引 機動車裝載機V形成介面之緩衝系統之另一平面圖。第34 圖顯示與前述下裝置缓衝系統6000者類似之架空缓衝系統 7000之立面圖。該架空緩衝系統7000可連同下裝置緩衝系 統(類似系統6000)使用。圖示之架空緩衝系統係與架空傳 動機500形成介面。在變更態樣中,架空系統可與地板傳 動系統或地板型機動車形成介面。可提供適當之控制聯鎖 45 200845274 (例如硬件)㈣止具有減财效貞狀穿錢之水平棋行 心染走道垂直清淨度。設在走道上方之頂部護罩可用以 防止懸掛負載通過走道空間。 第35圖顯示-種迴路緩衝系統麵。系統之緩衝站 st係移動自如,安裝於軌道81〇〇(圖示實施例中係封密迴 路型)上使緩衝站ST移動於裝載尺位置之間,其中裝載機 可被裝載入緩衝站ST(例如具有架空裝載者)與裝置介面之 裝載站LP。職置介面可具有調㈣以將裝載機裝載於裝 麦參照第36A-36C圖所示之另一實施例之基板裝載機 2000之透視圖,側面圖及底面圖。裝載機2〇〇〇係一典型裝 載機並不為具有貫施例構造者。圖示實施例之裝載機細〇 係底部開口裝韻以作為說明,而變更態樣巾之裝載機可 具有諸如頂部開π或側面開口等之任何其他預期構造。第 36A-36C圖所示實施例之裝載機2〇〇〇係與第1-3圖所示之 裝載機200, 200, ’ 300類似,而類似之部位係以相似代號 表示。因此該裝載機2000具有外殼或殼體2〇12,具有一或 多個開口 2004(在第36A_36C圖中僅示有一開口作為實例) 可供晶圓輸入/出裝載機。裝載機外殼具有可卸除式壁部或 部件2016以形成蓋體或門用以開關相對之開口 2〇〇4。如前 所述,實施例所示之外殼2012具有卸除式底壁2〇16藉以 開關該開口 2004。變更態樣中之裝載機之任何其他部件或 壁部係可_除式以供將晶圓輸入/出裝載機。該卸除式部件 2016可如同前述者一般密封殼體2〇14之其餘部份,而該殼 46 200845274 體可用以維持隔離圍氛,諸如惰氣,與大氣圍氛有壓力差 之局度潔淨空氣或真空等。該外殼2014與卸除式壁部2〇16 係類似前述之壁部216及外殼214為被動式結構體,並可 藉磁力或任何其他預期被動鎖方式互相鎖止。實施例中之 壁部2016可包括磁性元件2〇16C(例如鋼鐵材料)而外殼 2014可具有磁性開關2〇14S用以啟動壁部與外殼之開鎖與 解鎖。壁部之磁性元件及外殼之操件性磁件2〇14S可設計 【 以供磁鎖與埠門介面(以下將予詳述)配合,於是當裝載機門 (壁部或外殼,見第36A及36C圖)鎖止於埠門時可導致裝 載機門與裝載機之其餘部份解鎖。變更態樣中,壁部與外 殼之間之磁鎖可具有任何其他預期構造。金屬被動式裝載 機2000與裝載機門2〇16,2〇14提供真空相容性之潔淨可 洗滌式裝載機。 於第36A-36C圖所示之實施例中,裝載機2〇〇〇係示為 可裝載多個晶圓者。變更態樣中之裝載機可作為預期尺寸 以裝載具有或未具有整合式晶圓緩衝器之單一晶圓,或任 I 何預期數目之晶圓。與前述實施例之裝載機200, 200,,3〇〇 類似,相對於傳統13至25個晶圓裝載機,裝載機2〇〇〇係 縮減或小批量尺寸之裝載機。如第36A-36B圖所示,裝載 機外殼具有一輸送系統介面部2060。裝載機2000之輸送系 統介面部2060可配置與諸如與第2(K3〇圖所示實施例類似 之傳動系統等之任何預期輸送系統連接。舉例而言,裝載 機可包括活性元件,諸如鋼鐵磁性材料墊片或構件,設置 ,或連接於裝載機殼體,並可與傳動系統輸送機之線性或平 47 200845274 性或平面^以推動裝載機沿着傳動機行進。線Figure 28 shows another example of an integrally formed loader and transport vehicle. In contrast to the conventional motor vehicle type semiconductor automation in which the motor vehicle system is used to schedule the wafer loader in the FAB, each of the loaders 5200 in the present embodiment is a single-motor vehicle. The body-formed loader/motor vehicle 52GG in the embodiment is similar to the aforementioned motor vehicle 4 fan. The motor vehicle in the modified aspect includes an integrally formed loader 5202 and a motor vehicle 5204. The loader 52〇2 shown in Fig. 28 is a front side/side opening. In the modified state, the loader is open at the top or has other suitable guards. The motor vehicle can be driven directly to the load magazine to which the work piece is to be transferred, or can be engaged by another automated component such as a device buffer. Substantially permanently fixed = Carrier 5202 and Motor Vehicle 52〇4 eliminates the waiting time for dispatching empty vehicles when a large number of transfers are required, and also eliminates the associated difference in transmission time. In addition, the loader motor vehicle 5200 eliminates the need for empty vehicle movement, thereby reducing the total flow of the conveyor and the road, thereby improving system performance. In a variant, the loader and the motor vehicle have couplings to disengage the loader from the motor vehicle. Although the maneuver I and the loader < ratio system in the system,! ·· ! The relationship U is exempt from waiting. The delay of the loader transport during the motor vehicle can also be confirmed using the appropriate controller 43 200845274 to allow for separation under limited conditions (eg repair/maintenance of motor vehicle or workpiece loader components) ). On the other hand, the loader and the motor vehicle are maintained in one piece when transporting or engaging the device loading station or other automated components of the FAB. Figure 29C shows a plan view of a buffer system 6000 of a planar configuration of another embodiment of the interface between the transmission system 500 (or any other preloader delivery system) and the plant station 1000. The buffer system can be placed below the device station or components thereof or above the device station. The buffer system can be set to deviate (i.e., above or below) the operator access to the exit. Figure 30 is an elevational view of the buffer system. Fig. 29C-30 shows a buffer system provided on one side of the conveyor 500. The buffer system can be extended as needed to cover as much of the FAB plane as possible. In the illustrated embodiment, the operator walkway can be located in the elevated position of the buffer system. For the same reason, the buffer system can be extended overhead anywhere on the FAB. As shown in Fig. 29C-30, the buffer system 6000 of the embodiment has a shuttle system 6100 (which may have a suitable loading elevator or positioner) capable of at least 3-D movement, and a buffer station ST arranged therein. The shuttle system generally includes one or more guidance systems (e.g., guides) 6102 that provide at least 2-D traverse of the shuttle 6104 on the guidance system. The configuration of the shuttle system shown in Figures 29C-30 is for illustrative purposes only, and the shuttle system in the modified aspect may have any other contemplated configuration. In an embodiment, the shuttle system is shuttled or interposed between the conveyor 500, the buffer station ST and the equipment loading station LP (see Figure 29C). The shuttle 6102 can shuttle between the horizontally disposed conveyor 500 (e.g., via the access path 602 between the segments 600 of the conveyor) and the buffer storage 8 or the loading position LP on the station to load the loader 200 shuttles between them. As shown in Fig. 44 200845274 30, the shuttle 6104 in the embodiment may include a positioner 6106 to grab/place the loader on the conveyor 600, or the buffer station ST or the unit load 埠 LP. The buffer system is designed in a modular form to facilitate expansion or reduction of the system. For example, each module has a relative storage location ST and a shuttle way and a joint to be connected to other mounting modules of the buffer system. In a variant, the system has a buffer station module (with one or more integrated buffer stations) and a shuttle track module for modular installation of the shuttle. An elevational view of the buffer system 6000 in communication with the merge/branch path of the conveyor 500 is shown in FIG. The buffer system shuttle 6104 of the embodiment can access the loader that is directed to the conveyor's aggressive route. A stop (or lack of an access lane similar to route 602 in Figure 29C) will limit the shuttle's aggressive or disruptive travel path. Figure 32 is another elevational view showing multiple arrangements of buffer stations. The buffer system has buffer stations of any expected number arranged in any desired number of columns. The traversing shuttle (as indicated by arrow Y in Figure 32) can be adjusted by the module displacement of the lateral guide 61087. In another variation, the buffer station is arranged in a plurality of horizontal planes or levels (i.e., two or more levels - vertically separated to allow the loader height to pass between the levels). Multi-level buffering can be applied to loaders that reduce performance. Figure 33 shows another plan view of a buffer system having an interface with the guided vehicle loader V. Figure 34 shows an elevational view of an overhead buffer system 7000 similar to the aforementioned lower unit buffer system 6000. The overhead buffer system 7000 can be used in conjunction with a lower device buffer system (similar to system 6000). The illustrated overhead buffer system interfaces with overhead engine 500. In a variant, the overhead system can interface with a floor drive system or a floor-type motor vehicle. Appropriate control interlocks can be provided. 45 200845274 (for example, hardware) (4) The horizontal chess line with the effect of reducing money and money. A top shroud above the aisle can be used to prevent the suspended load from passing through the aisle space. Figure 35 shows the type of loop buffer system surface. The buffer station st of the system is freely movable, and is mounted on the track 81 (the closed circuit type in the illustrated embodiment) to move the buffer station ST between the loader positions, wherein the loader can be loaded into the buffer station. ST (for example with overhead loader) and the loading station LP of the device interface. The job interface may have a perspective view, a side view and a bottom view of the substrate loader 2000 of another embodiment shown in Figures 36A-36C. The loader 2 is not a typical loader. The loader of the illustrated embodiment is a bottom opening arrangement for illustration, and the loader of the modified embodiment can have any other desired configuration such as top opening π or side opening. The loader 2 of the embodiment shown in Figures 36A-36C is similar to the loaders 200, 200, '300 shown in Figures 1-3, and like parts are indicated by like numerals. The loader 2000 thus has a housing or housing 212 having one or more openings 2004 (only one opening is shown as an example in Figures 36A-36C) for the wafer input/output loader. The loader housing has a removable wall or member 2016 to form a cover or door for opening and closing the opening 2〇〇4. As previously described, the housing 2012 shown in the embodiment has a removable bottom wall 2 〇 16 for opening and closing the opening 2004. Any other component or wall of the loader in the modified aspect can be used to feed the wafer into/out of the loader. The detachable member 2016 can seal the rest of the housing 2〇14 as in the foregoing, and the housing 46 200845274 can be used to maintain an isolation atmosphere, such as inert gas, and a pressure difference from the atmospheric atmosphere. Air or vacuum, etc. The outer casing 2014 is similar to the removable wall portion 2 〇 16 in that the wall portion 216 and the outer casing 214 are passive structures and can be locked to each other by magnetic force or any other intended passive locking. The wall portion 2016 in the embodiment may include a magnetic element 2〇16C (e.g., steel material) and the outer casing 2014 may have a magnetic switch 2〇14S for initiating unlocking and unlocking of the wall and the outer casing. The magnetic components of the wall and the operating part of the housing 2〇14S can be designed [for the magnetic lock and the interface of the door (described in more detail below), so when the loader door (wall or casing, see section 36A) And 36C) Locking the door can cause the loader door and the rest of the loader to be unlocked. In a variant, the magnetic lock between the wall and the outer casing can have any other desired configuration. The metal passive loader 2000 and the loader doors 2〇16, 2〇14 provide a vacuum compatible clean washable loader. In the embodiment illustrated in Figures 36A-36C, the loader 2 is shown as being loadable with multiple wafers. The loader in the modified aspect can be used as a desired size to load a single wafer with or without an integrated wafer buffer, or any desired number of wafers. Similar to the loaders 200, 200, and 3 of the previous embodiment, the loader 2 is a reduced or small batch size loader compared to conventional 13 to 25 wafer loaders. As shown in Figures 36A-36B, the loader housing has a delivery system interface 2060. The conveyor system interface 2060 of the loader 2000 can be configured to interface with any desired delivery system, such as a transmission system similar to the second embodiment shown in Fig. 3. For example, the loader can include active components such as steel magnetic Material gaskets or components, set, or attached to the loader housing and may be linear or flat with the drive train conveyor to drive the loader along the conveyor.

作為參考。1第10/697,528號,已援引 ΟΛ 、第36A_36C圖所示實施例中,裝載棬八AW 2060亦具有可與輸送系統 ,丨面4 J:,統上時用以支承裝載機於 該支承面係非接觸式或接觸:支承 ( ^. --B) 於輸送“?:期置或方向以穩固支承裝載機 於輸圪糸統上。堵如大致為平面,表面或墊面之 支承面係連接於或設置於殼體,並由任何適當方式^ =與輸送系統之空氣支承(未予圖示)連接以穩固支持裝載 獨以空氣支承為基礎或由輸送系統電動機施加^裝 載機上之行動作用力’諸如磁力等之組合)。變更態樣中: 裝載機殼體具有一或多個(活性)空氣支承以導引空氣(戋任 何預期氣體)朝向(被動式)輸送系統結構以提供=力(二: 非接觸式)但仍將裝載機穩定支承於輸送系統結構上。 施例中可將一適當空氣/氣體供源(例如風扇或氣系)連接於 裝載機以饋送裝載機之空氣支承。於另一變更態樣中,穿 載機殼體與輸送系統均具有空氣支承及被動式空氣支承^ (例如在輸送系統中之提升空氣支承及装載機中之水 引空氣支承)。裝載機2000可具有如同第36B圖所示之操 持突緣2068等之其他操持構件,突緣或表面。 呆 實施例中之裝載機2000具有裝置介面2〇7〇可供裝載 48Reference. 1 No. 10/697,528, which has been incorporated by reference to the embodiment shown in Fig. 36A-36C, the loading 棬AW 2060 also has a transportable system, the 丨4J:, when used to support the loader on the support surface Non-contact or contact: support ( ^. --B) in the transport "?: period or direction to firmly support the loader on the conveyor system. Blocking the surface of the plane, the surface or the surface of the support surface Connected or placed in the housing and connected by any suitable means to the air bearing (not shown) of the conveyor system to securely support the loading on the air bearing or by the conveyor system motor. A force 'such as a combination of magnetic forces, etc.) In a variant: the loader housing has one or more (active) air supports to direct air (戋 any desired gas) toward the (passive) transport system structure to provide = force (2: non-contact) but still stably support the loader on the structure of the conveyor system. In the example, a suitable air/gas supply source (such as a fan or gas system) can be connected to the loader to feed the air support of the loader. On another In a more aspect, both the carrier housing and the delivery system have an air bearing and a passive air bearing (eg, a lift air bearing in the conveyor system and a water-inducing air bearing in the loader). The loader 2000 can have the same 36B shows other operating members such as flanges 2068, flanges or surfaces. The loader 2000 of the embodiment has a device interface of 2〇7〇 for loading 48

200845274 機與加工裝置之裝載部(例如裝載埠)連接。該加工裝置係任 =類型者。實施例中,介面2㈣係設在裝載機底部。變更 匕樣中之裝置介面係設於裝載機之任何其他預期側面。而 另-變更態樣令之裝載機可具有多個裝置介面(例如底部 與側面)可供裝載機與裝置作不同構造之介面。實施例中之 裝載機2000之裝置介面部2〇7〇係詳示於第36(:圖。第 圖所示之裝置介面部2070之構造僅作為實例說明用,而在 變更態樣巾之輯機可具有任何其他構造之裝置介面 部。於實施例中之裝置介^ 2〇7〇具有裝載機之適當s鍾 標準所設定之條件(諸如SEMIE。47。i及E57及任何其他 適當SEMI或其他標準),全部將被援引作為本案參考。因 此在實施例中之裝載機介面部2㈣可包括符合§職標準 E。47。1及E57之條件所設置之動能聯結(KC)插座,用以 承接設置於傳統裝載埠介面中之主要及/或次要κ c銷(未予 圖示)。裝載機介面2070亦具有符合裝載機之SEMI標準之 -或多個資訊墊之部份。變更態樣中之裝載機介面音:可能 未設有一或多個SEMI指定部件(例如該介面部可能未設有 動,能聯結部件)然而在對應該部件之殼體之介面側具^保 留區域。實施例之裝載機介面部期可使裝載機雇與 傳統加工裝置之傳統裝載介面連接。由此可知,如相對於 前述實施例所述,為了將裝載機配對於將裝載機聯結於加 工環境(或例如維持加工裝置内之真空)之裝載埠,需要配對 裝載機使裝載機内部係大致密封於加工環境,而所神之穿 載機上之不潔淨表面係大致與加工環境隔離及封閉。由^ 49 200845274 面裝載機之諸如前述之裝載機/裝載埠接觸介 埠之間=裝载4之間之動能聯結將對裝载機與裝載 糊之η過ί侷限條件。為了鬆懈過度揭限,裝載機與 2現::聯結可順應提供裝載機在裝载埠介面上 顯示一實施例之順應動能聯==型; 釘⑵ΓΙ之不意斷面圖。聯結介面部2072 一般具有一銷 方向(諸如^插銷2276之配置,可提供一或多個預期 方向(4如4 χ,ζ所示)之職度 :=由度之―裝載機之斜機: =\_^1_ 2274具備順應度(諸如利用彈性 以載;:如:性安裝之圓形鎖’由彈撓性材料製成之銷 二材料上形成凹槽以在預編時提供凹:表= ^外’實施例中之裝載機介面部2〇7〇係經設計穿 襄置之裝載介面產生非接觸式聯結介面; 對於以^裝載機薦等之晶圓裝載機可設置相 精密對準工。晶圓裝載機與裝置之裝載埠需要 係η / 輸送進入裝置。傳統定位方法一般 1、傳統機械聯結方式以接觸裝載機之底面。舉例而 :輔=:=式提供引人或凸輪以補償失準總值 南助導引曰曰回至對準位置。很遺懷的是此部件係仰賴於 200845274200845274 The machine is connected to the loading part of the processing unit (eg loading cassette). The processing device is any type of person. In the embodiment, the interface 2 (four) is attached to the bottom of the loader. The device interface in the change sample is attached to any other desired side of the loader. In addition, the loader can have multiple device interfaces (e.g., bottom and side) for different configurations of the loader and device. The apparatus of the loader 2000 in the embodiment is shown in Fig. 36 (the figure is shown in Fig. 36. The structure of the device interface 2070 shown in the figure is only used as an example, but in the case of the modified state towel The machine may have any other configuration of the device interface. The device in the embodiment has the conditions set by the appropriate s clock standard of the loader (such as SEMIE. 47.i and E57 and any other suitable SEMI or Other standards), all of which are incorporated by reference in the present application. Therefore, the loader interface 2 (4) in the embodiment may include a kinetic energy coupling (KC) socket provided in accordance with the conditions of the standard E. 47. 1 and E57. The main and/or secondary κ c pins (not shown) are installed in the traditional loading and unloading interface. The loader interface 2070 also has a part of the loader's SEMI standard or a plurality of information pads. Loader interface sounds in the sample: One or more SEMI designation components may not be provided (for example, the interface may not be provided with a movable component, but the components may be coupled). However, the interface side of the housing corresponding to the component has a reserved area. Loader interface The loader can be employed to interface with a conventional loading interface of a conventional processing apparatus. It will thus be appreciated that, as described with respect to the previous embodiments, in order to couple the loader to the processing environment (or, for example, to maintain processing equipment) After the loading of the vacuum, the loader is required to make the interior of the loader substantially sealed in the processing environment, and the unclean surface on the wearer's machine is substantially isolated and sealed from the processing environment. ^ 49 200845274 Surface loader For example, the kinetic energy coupling between the loader/loading 埠 contact = 装载 装载 装载 装载 装载 装载 装载 装载 装载 装载 装载 装载 装载 装载 装载 装载 装载 装载 装载 装载 装载 装载 装载 装载 装载 装载 装载 装载 装载 装载 装载 装载 装载 装载 装载 装载 装载 装载 装载 装载The coupling can be adapted to provide a loader to display an embodiment of the kinetic energy of the embodiment on the load port interface == type; the pin (2) is not a cross-sectional view. The joint face 2072 generally has a pin direction (such as the configuration of the pin 2276, Provide one or more expected directions (4 such as 4 χ, ζ shown): = by the "loader's oblique machine: = \ _ ^ 1_ 2274 with compliance (such as the use of elasticity to carry;: such as :Sexual installation The circular lock' is formed by a groove made of a flexible material to form a groove on the pin material to provide a concave shape in the pre-compilation: Table = ^ outside the embodiment of the loader interface 2〇7〇 is designed to pass through the device The loading interface creates a non-contact bonding interface; for wafer loaders recommended by the loader, phase precision alignment can be set. The loader of the wafer loader and device needs to be η / transport entry device. Traditional positioning method General 1. The traditional mechanical coupling method is to contact the bottom surface of the loader. For example: the auxiliary =:= provides the introduction or cam to compensate for the misalignment and the south guide to return to the aligned position. This part is based on 200845274

裝載機之引入面以達至與裝載埠之配對銷之滑動接觸,因 此有可能造成磨損及產生污染物。有關傳統機械聯結之應、 用之第二項問題係需要裝載機粗略設置於傳統聯結之有效 功能之抓取範圍内。裝載機輸送系統係用以達成增加輪送 系統之複雜度及/或增加啟動正確定位(例如重試)之時間。 因此裝載機輸送系統之設計必須充份複現性以設置裝載機 於傳統機械聯結之抓取範圍内或在傳統應用中之標稱對準 位置以防止磨損。然而,裝載機輸送系統在經過多個周期 後無法達成複現性,於是產生滑動接觸引致微粒。裝載機 2000之介面可提供相同複現性以藉助非接觸式(例如磁性) 聯結以設置晶圓裝載機於加工裝置。此項性能可供輸送系 統70全貫現引入特徵以放鬆設置容限及繼後加速裝載機之 裝/卸步驟。其次,補償設置誤差之所有行動可在裝載機與 裝載埠之間沒有實質接觸之情況下進行而可減少任何相 滑動作為清潔考量。 由第36C圖可見,實施例中之襄載機介面部期可且 f一非接觸性聯結則用以將裝載機作非接觸式介面及聯 非接觸性聯結2071可包括大致為非接觸性支 承或美升面2072及非接觸性聯結部2〇74 二二?致呈平滑面用以與裝載淳之空氣支核^ i升承料制倾雜及穩定之 扣升於裝㈣中1施例中之裝载 =態樣“裝載機可包括-或多個有效I:體: 51 200845274 可具有大致互相類似及分佈於裝載機殼體之介面(例如底 侧之三個部件,於是由裝载埠空氣支承施加於裝載機之 提升作用大致係由壓力所產生,從空氣支承施加於提升面 部件,而所產生之提升係與裝載機之質量重心重合。 第36C圖所示之提升面部件2〇72之形狀及數目僅作為實例 說明,而在變更態樣中之提升面可具有任何預期形狀及數 目。舉例而言,提升面可為一單一連續體(或大致為沿着裝 載機介面之周邊延伸之大致非巾斷式料)。實施例中之提 升面係位於裝載機介面2_以致不與符合semi介面部件 (例如動能聯結插座,資料墊片等)連接。提升面2〇72之位 置係在介面之限制下儘量遠離CG,並視需要作成預期尺寸 以產生預期壓力分佈及儘量容許裝載機與裝載埠之間之 例如x-y平面)失準。實施例中之提升面2〇72係相 =早一軸(示為第36C圖中之X軸,例如雙侧向基準軸) 、、稱配置,但對裝載機介面之任何其他軸則不然。因此梦 ί機t面2070係被極化使與裝置裝載介面之非接觸性介面 可猎早-適當定向予以達成。裝載機^正確定向 機提升時之不穩定性,可由設置裝載機之輸送 偵:則之=應盗予以偵測,或由裝載機本身或裝載埠所 二右㈣並傳送以停止不正確之設置。提升面2072 ,可料預期斜面或偏位以輔助將裝載機適當對 埠。貫施财之提升面係可藉機械, 任何其他適當方式予以移動或偏移,藉以在二^ 撞擊時產生不同尺度及不同方向之預期水平;二= 52 200845274 機藉以對準裝载機與裝载埠。The introduction surface of the loader is in sliding contact with the mating pin of the loader, which may cause wear and contaminants. The second problem with traditional mechanical coupling is that the loader needs to be roughly placed within the gripping range of the effective function of the traditional coupling. The loader conveyor system is used to increase the complexity of the wheeling system and/or increase the time to initiate a correct positioning (e.g., retry). Therefore, the design of the loader conveyor system must be sufficiently reproducible to set the loader within the gripping range of conventional mechanical coupling or in a nominally aligned position in conventional applications to prevent wear. However, the loader conveyor system cannot achieve reproducibility after several cycles, thus producing sliding contact-induced particles. The interface of loader 2000 provides the same reproducibility to provide a wafer loader to the processing apparatus by means of a non-contact (e.g., magnetic) coupling. This capability allows the conveyor system 70 to introduce features throughout to ease the set tolerance and subsequently accelerate the loading/unloading steps of the loader. Second, all actions to compensate for set errors can be made without substantial contact between the loader and the load port, reducing any phase slip as a cleaning consideration. As can be seen from Fig. 36C, the embodiment of the carrier can be used for the non-contact interface and the non-contact coupling 2071 of the loader can include substantially non-contact support. Or Meisheng No. 2072 and non-contact joints 2〇74 22? The smooth surface is formed to be used with the air nucleus of the loading ^ i 升 承 承 及 及 及 及 及 及 及 及 及 ( ( ( ( ( ( ( ( ( ( 装载 装载 装载 装载 “ “ “ “ “ “ “ “ “ “ “ “ “ “ Body: 51 200845274 may have interfaces that are substantially similar to each other and distributed over the loader housing (eg, the bottom side of the three components, so that the lifting action applied to the loader by the load 埠 air bearing is generally caused by pressure, from The air bearing is applied to the lifting surface member, and the resulting lifting system coincides with the mass center of gravity of the loader. The shape and number of the lifting surface members 2〇72 shown in Fig. 36C are only illustrated as an example, and in the modified aspect The lifting surface can have any desired shape and number. For example, the lifting surface can be a single continuous body (or substantially non-woven material extending substantially along the perimeter of the loader interface). It is located at the loader interface 2_ so that it is not connected to the semi-interface components (such as kinetic energy connection sockets, data gaskets, etc.). The position of the lifting surface 2〇72 is as far as possible from the CG under the restriction of the interface, and is made as expected. In order to produce the expected pressure distribution and tolerate, for example, the xy plane between the loader and the load weir. In the embodiment, the lifting surface 2〇72 is phase = early one axis (shown as the X axis in Fig. 36C, for example The two-sided reference axis), called the configuration, but not for any other axis of the loader interface. Therefore, the 2070 system is polarized so that the non-contact interface with the device loading interface can be hunt early - proper orientation This can be achieved. The instability of the loader ^ correct orientation machine can be detected by the loader: then it should be detected by the thief, or by the loader itself or the loader (four) and transmitted to stop The correct setting. The lifting surface 2072 can be expected to be inclined or offset to assist the proper alignment of the loader. The lifting surface can be moved or offset by mechanical means, in any other suitable way, so as to impact in the second The expected level of different scales and different directions; 2 = 52 200845274 machine to align the loader and load 埠.

再參照第36C圖,實祐也丨士 L 有一或多個永久性磁件接觸式聯結部2074具 2074A鐵以作為 :4C(圖中顯示三個磁件 多或更少數目之磁件)1 1磁杜而在灸更態樣中可提供更 線性/平面電動機之反4: I:4A-2°74C係、輸送系統 獨立分Hf 卩份,或與㈣動機反應部 =:,置。聯結磁件2074A侧c係有足夠尺寸以属 之間之預期生進香 將予砰述)作為裝載機與裝載埠 π着單=1 施例中顯示聯結磁件2074A- 2〇74C係 一軸(:如第36c圖中之乂_稱設置,但對裝載 L介:之所有其他轴呈非對稱。於是裝載機之非接觸性: 在裝載機不在相對於裝載蜂之預期定向時防 ==於裝載淳。換言之,裝載機之非接觸性聯結 =正確疋向之‘鍵接”於I載埠’而聯結部將不會接合所 有八他^向因而無法嚐試裝載。可在裝 應器以侦測褒載機不正破設置於裝載璋 =適纽動,並傳送適當信號導致輸送系統移除或將裝載 重新疋位於適當定向。變更態樣中之非接觸性聯結部及/ 或㈣面可沿着裝載機介面之多個軸作對稱性設置。 差參照36D圖顯示與前述裝載機测類似之另 例裝載機2GGG,之底面圖,類似部件仙類似編號表示 載機2000,具有-裝載機介面部·,,具有大致與前” 第36A-36C圖所述之非接觸式聯結期類似之非接 結207i ’。第36D圖所示之實施例中,非接觸式聯結部Μ%, 53 200845274 具有鋼鐵磁性材料部2074A’,2074B’,2074C’(可能係裝載 機中之輸送系統電動機反應組件之一部份或獨立部份)以 取代永久性磁件。鋼鐵材料部2074A’,2074B’,2074C’係 具有任何預定形狀,諸如長方形,圓柱形或圓球形。各該 部份2074A’,2074B’,2074C’係彼此類似,而在變更態樣 中不同共用部形成預期之磁性聯結及各部份所使用之方向 部件。該部份具有可容納於裝載埠聯結點之磁場内之足夠 尺寸,並可容許裝載機最初設置於裝載埠上時裝載機與裝 載埠之間之初始失準。聯結部份2074A’,2074B’,2074C’ 係經過尺寸設計及設置於裝載機介面上使作用於裝載機之 磁力可使裝載機偏入相對於裝載璋之對準位置。由第36D 圖可知,實施例中之聯結部份2074A’,2074B’,2074C’可 分佈於裝載機介面上形成單一對稱軸(軸X),並鍵合於裝載 機之非接觸式聯結2071’以供以單一方位聯結於裝載埠。在 變更態樣中之聯結部可具有任何其他預期配置。 第37A-D圖分別顯示另一實施例之裝置裝載站或裝載 埠2300之透視圖,端部及側面立面圖及頂面圖。圖示實施 例之裝載埠具有可連接及從類似前述裝載機2000,200’, 300之裝載機之底部開口裝載晶圓之構造。變更態樣中之裝 載埠可具有任何其他預期構造。裝載埠2300具有諸如SEMI STD等之適當安裝介面。包括BOLTS介面以供將裝載埠配 對於任何預期加工裝置或工作站。例如裝載埠可安裝/配對 於諸如加工裝置之EFEM等之控制圍氛部(以下將予詳 述),或可(以類似第14圖所示之方式)配對於加工裝置之大 54 200845274 == 真空轉移艙室)或加工裝置之大氣開放驗 _ -般:系二一=::似前述之裝載槔。裝载埠 職機裝载介面2302,及裝載腔室或驗 返至裝載機中個二或盒中之晶圓可接收自裝載機或回 ^機)m3G4可用以維持隔離圍氛(可供裝載璋 載機置之裝載鎖)或控制性(高度潔淨技氣圍氛。裝 、;1面2302具有裝載平面2302L·,在連接裝載埠時 載機’與傳統裝載埠所不同在於它在裝載機設 置&内係大致完全沒有突出部。纟37Α圖可見,裝 在f載設置區外側之防撞部或減震部以在裝載機與 、埠之父叉失準時代替裝載機移動。裝載埠之裝載介面 2302可具有裝載開口及(或埠口 2308)(與裝載艙室2304連 通)及埠門關閉類似前料口之蜂口。實施射之璋門231〇 係大致扁平及與裝載介面之裝載平面同等高度。埠門231〇 係以類似前述參照第4A-4B圖所示之密封配置密封於埠 緣由此可知,^連接及結合裝載埠之裝載璋介面⑽時, 裝載機殼體與裝載機門係相對密封於裝載埠緣23〇8R及埠 門2310,可參照具有類似第4A_4B圖所示之配置之大致 “零體積洗滌”密封。變更態樣中琿緣,埠門,裝載機殼體 及裝載機門之間之密封可具有任何其他預期構造。實施例 中之埠門2310可藉被動式磁性聯結或閂鎖以類似前述之方 式連結於埠口。實施例中,設於埠門與埠口之間之磁性聯 結/閂鎖元件可設置及設計以在啟動璋門與埠口之間之閂 鎖之同時啟動裝載機門與殼體之間之被動式磁性閂。因此 55 200845274 舉例而言,埠門與埠口之解鎖亦將導致裝載機門與裝載機 解鎖,而將埠門鎖鎖止於裝載機。實施例中之裝載埠可包 括類似第8-14圖所示之調位器2306及洗滌/排氣系統 2314 〇 參照第37D圖,實施例之裝載埠之裝載機裝載介面具 有可與裝載機2000之非接觸式介面部2071配合之大致非 接觸式介面部2371,例如將裝載機2000連接至裝載埠 2300。如圖所示,實施例中之介面部2371可具有一或多個 空氣支承2372及一非接觸式聯結部2374。裝載淳之空氣支 承2372係任何適當類型及構造者,可設置成諸如“鍵接”配 置,一般係對應於裝載機介面上之提升區2072之配置。因 此該空氣支承2372係相對於參考基準X呈對稱配置,當聯 結於裝載埠時該基準X係設定裝載機2000之對準。適當空 氣/氣體供源(未予圖示)係支援空氣支承。可採用適當調節 器(未予圖示)以維持來自空氣支承之預期氣流。空氣支承之 氣體供應及調節器係按照要求設置。例如設在裝載埠之裝 載艙室2304之外側或内側,但與艙室之内部圍氛隔離,空 氣支承2372之氣體供應2372S(見第37C圖)可延伸於風箱 内或空氣支承之其他撓性密封套管以將氣體供應與裝載艙 室隔離。另一實施例中,空氣支承之氣體供應可以類似第 14圖所示之洗滌與排氣管線之方式延伸於隔離調位器之風 箱密封中。實施例中之裝載機之空氣/提升區係位於裝載機 門,因此實施例中之裝載埠之空氣支承2372(位於提升區下 側)係設在埠門2310之界限.内。變更態樣中之空氣支承係 56 200845274 β又在埠框架或埠凸緣,而空氣支承之氣體供應係設於裝載 埠之裝載餘室之外側。貫施例中之空氣支承2372係孔口支 承(具有局部排出口)或具有大致均勻分佈排出口之多孔介 質空氣支承。各空氣支承2372之排流之壓力,質量流及方 向(第37C目中僅以ΑΒ垂直表示作為實例說明)係固定(大 致保持不變)。變更態樣中之空氣支承具有變化之排流以供 改變排流特性(例如壓力,質量或方向等)以偏移裝載機相對Referring again to Figure 36C, there is one or more permanent magnetic member contact joints 2074 with 2074A iron as: 4C (the figure shows three or more magnetic pieces of magnetic parts) 1 1 Magnetic Du can provide a more linear/planar motor reverse in the moxibustion pattern: I: 4A-2°74C system, the transport system is divided into Hf parts, or (4) Motive reaction part =:, set. The coupling magnetic member 2074A side c is of sufficient size to be expected to be scented between the genus. As the loader and the loading 埠π单单=1, the coupling magnetic member 2074A- 2〇74C is one axis (: As shown in Figure 36c, the setting is symmetrical, but it is asymmetrical to all other axes of the loading L. The non-contact of the loader: when the loader is not in the expected orientation relative to the loading bee, the anti-== loading In other words, the non-contact coupling of the loader = the correct keying of the 'keying' to the I-loading' and the coupling will not engage all the eight and can not attempt to load. It can be detected in the loader. The loader is not placed in the load 璋 = suitable for the movement, and the appropriate signal is transmitted to cause the conveyor system to be removed or the load to be re-positioned in the proper orientation. The non-contact joint and/or (four) face in the modified aspect may be along The plurality of axes of the loader interface are symmetrically set. The difference reference 36D shows a bottom view of a different loader 2GGG similar to the loader described above, similar components are similarly numbered to indicate the carrier 2000, with a loader face ·,, with roughly and front" 36A-36C The non-contact coupling period is similar to the non-joining junction 207i'. In the embodiment shown in Fig. 36D, the non-contact coupling portion ,%, 53 200845274 has a steel magnetic material portion 2074A', 2074B', 2074C' ( It may be part or a separate part of the conveyor system motor reaction assembly in the loader to replace the permanent magnet. The steel material parts 2074A', 2074B', 2074C' have any predetermined shape, such as rectangular, cylindrical or Each of the portions 2074A', 2074B', and 2074C' is similar to each other, and in the modified aspect, the different common portions form the desired magnetic coupling and the directional members used in the respective portions. Sufficient size in the magnetic field loaded at the junction point and allows for initial misalignment between the loader and the loading ram when the loader is initially placed on the loading raft. The coupling sections 2074A', 2074B', 2074C' are dimensioned And being disposed on the loader interface such that the magnetic force acting on the loader biases the loader into an aligned position relative to the load magazine. As shown in FIG. 36D, the joint portion 20 in the embodiment 74A', 2074B', 2074C' may be distributed over the loader interface to form a single axis of symmetry (axis X) and bonded to the contactless coupling 2071' of the loader for attachment to the load port in a single orientation. The junctions in the example can have any other desired configuration. Figures 37A-D show perspective, end and side elevation and top views, respectively, of the device loading station or loading magazine 2300 of another embodiment. The loading cassette has a configuration for attaching and loading wafers from the bottom opening of a loader similar to the aforementioned loader 2000, 200', 300. The loading cassette in the modified aspect can have any other desired configuration. The load cassette 2300 has a suitable mounting interface such as a SEMI STD. The BOLTS interface is included for the loading of the loading unit to any intended processing unit or workstation. For example, the loading cassette can be installed/equipped with a control enclosure for EFEM, such as a processing device (described in more detail below), or can be (in a manner similar to that shown in Figure 14) for a large processing unit 54 200845274 == Vacuum transfer chamber) or atmospheric open inspection of processing equipment _ General: Department 2 =:: like the aforementioned loading 槔. Loading the loader loading interface 2302, and loading or retrieving the wafer into the loader or the wafer in the cassette can be received from the loader or the machine) m3G4 can be used to maintain the isolation enclosure (available for loading) Load lock on the loader) or controllability (highly clean and technical atmosphere. Mounted; 1 side 2302 has a loading plane 2302L·, when the loader is connected to the loader' is different from the conventional loader in the loader The setting & internal system has almost no protrusions at all. As can be seen from the figure, the anti-collision or shock-absorbing portion mounted on the outside of the f-loading area is used to move in place of the loader when the loader and the parent fork are out of alignment. The loading interface 2302 can have a loading opening and/or a mouth 2308 (in communication with the loading compartment 2304) and a door opening similar to the front opening. The shooting door 231 is generally flat and loaded with the loading interface. The plane is of the same height. The Tuen Mun 231〇 is sealed to the rim by a sealing arrangement similar to that shown in the above-mentioned reference 4A-4B. It can be seen that the loader housing and loader are connected and bonded to the loading port (10). The door system is relatively sealed to the loading port埠For the edge 23〇8R and the trick 2310, reference may be made to a substantially "zero volume wash" seal having a configuration similar to that shown in Figure 4A_4B. In the modified aspect, between the flange, the door, the loader housing and the loader door The seal may have any other desired configuration. The trick 2310 in the embodiment may be coupled to the fistula in a manner similar to that described above by a passive magnetic coupling or latch. In an embodiment, the magnetic coupling between the cardia and the fistula/ The latching element can be arranged and designed to activate a passive magnetic latch between the loader door and the housing while actuating the latch between the flap and the cymbal. Thus 55 200845274 For example, unlocking the slamming door and the cornice The loader door and the loader will also be unlocked, and the door lock will be locked to the loader. The load magazine of the embodiment may include a positioner 2306 and a washing/discharging system 2314 similar to those shown in Figures 8-14. Referring to FIG. 37D, the loading loader loading interface of the embodiment has a substantially non-contacting dielectric surface 2371 that can be mated with the non-contacting dielectric surface 2071 of the loader 2000, such as to connect the loader 2000 to the loading magazine 2300. as the picture shows, The interface portion 2371 of the embodiment may have one or more air bearings 2372 and a non-contact coupling portion 2374. The air bearing 2372 of the load cassette may be of any suitable type and configuration, such as a "keyed" configuration, generally Corresponding to the configuration of the lift zone 2072 on the loader interface. The air support 2372 is thus symmetrically disposed relative to the reference datum, which sets the alignment of the loader 2000 when coupled to the load weir. Appropriate air/gas The source (not shown) supports air support. Appropriate regulators (not shown) may be employed to maintain the desired airflow from the air support. The air supply and regulator of the air support are provided as required. For example, it is located outside or inside the loading compartment 2304, but is isolated from the interior enclosure of the compartment. The gas supply 2372S of the air bearing 2372 (see Figure 37C) can extend into the bellows or other flexible seals of the air bearing. The casing is used to isolate the gas supply from the loading compartment. In another embodiment, the air bearing gas supply may extend into the bellows seal of the isolation positioner in a manner similar to the wash and exhaust lines shown in FIG. The air/lifting zone of the loader in the embodiment is located in the loader door, so that the air bearing 2372 of the loading raft (in the lower side of the lifting zone) is disposed within the boundary of the stern 2310. The air bearing system in the modified aspect 56 200845274 is again in the 埠 frame or the 埠 flange, and the air supply of the air bearing is provided on the outside of the loading chamber of the loading raft. The air bearing 2372 in the embodiment is an orifice support (having a partial discharge port) or a porous medium air support having a substantially uniform discharge port. The pressure, mass flow and direction of the discharge of each air bearing 2372 (indicated by the vertical representation of the ΑΒ37C as an example) are fixed (substantially unchanged). The air bearing in the modified aspect has a varying drainage for changing the drainage characteristics (such as pressure, mass or direction, etc.) to offset the loader relative

於裝載琿之移動及輔助裝載機與裝載埠之對準。由此可 知,空氣支承2372與裝載機上之提升墊2〇72之尺寸係經 叹相提供裝載機最初設置於裝載蟑之預期失準限度或設 置區域。 爰參照第37Ε圖顯示另—實施例之裝載琿23⑼,之平 面圖。裝載埠2300’係與裝載埠23〇〇 _,相似之部件係 以相似代號表示。本實施例中之__或多個线支承加, =有-排管嘴。賴管叙排出σ細_娜餘合以提供 導引性總排出口。作為實例說明,該排之各個管嘴具有可 ::於另一管嘴排出口呈-角度之排出口。從-或多個管 之排出口係固定或變化者。#—排空氣管嘴係在整 ΓΙ ’所產生之排氣具有第—預期方向(即大致呈垂 方向之rmr管嘴停止或減低流量將導致最終排出 文,產生在裝載平面之方向性組件。變更離樣中 ,支承管嘴係移動性(例如安裝於可傾斜平台:;! 氣官嘴),或可改變形狀(例如使 二 料)《作方向性導购口。由此可知,在裝載; 57 200845274 氣支承排出口之方向性組件給予安裝在裝載平面之空氣支 承上之裝載機沿着排出口之方向性組件之相反方向之衝 擊,並產生在裝載平面中之裝載機之側向運動。 再參照第37A-37D圖,裝載埠之非接觸式聯接部2374 具有磁性部2374A-2374C,設置以配合磁件2074A-2074C(見第36C圖)或裝載機之磁性材料2074A,-2074C,以 形成裝載機與裝載埠之間(例如裝載機門2016與埠門2310 之間及裝載機殼體與裝載埠框架之間)之磁性鎖死/解鎖聯 結。在實施例中之裝載埠之磁性部2374A-2374C亦與裝載 機之磁件2074A-2074C或磁性材料部2074A,-2074C,配合 以形成裝載機位置補助裝置,可用以調整裝載機在裝載部 上之位置以達至以下將述之定位。圖示之磁性部 2374A-2374C之配置僅作為實例說明,而在變更態樣中之 裝載埠非接觸式裝載機聯結部之磁性部係可依預期方式予 以配置/構築。磁性部2374A-2374C係操作式磁件可啟動磁 性開關,當啟動時會產生預期磁場以對裝載機中之磁件或 磁性部作預期方向之偏移(例如產生裝載機與裝載埠之鎖 止/聯結)及/或給予裝載機之校正作用力。由第37A及37D 圖可見,實施例中之裝載埠介面具有非接觸式對準系統 2380以教示裝載機輸送系統有關裝載埠之位置/定位及提 供裝載機在裝載埠介面上之初始設置。如前所述,裝載埠 之設置區係大致沒有突緣,而裝載機在設置區上之初始設 置在裝載機與裝載埠之間係大致沒有接觸(即沒有摩擦接 觸)。圖示實施例中,對準系統2380具有可由適當感應器 58 200845274 成像之記號排列或圖案。第37D圖中所示 為實例說明,而在變更態樣中可使用二D⑥>、 :供=感應夫器予以成像及設定所有預期= i 未予@不)係設置於輪送系統之裝載機支 如CCD或CMOS成像感應器等可形成圖像及其空 據Li裝置。該圖案之成像數據可藉作為㈣機定位數 據之讀及聯狀適料理諸 (Align the loading and loading of the load and the loader. Thus, it can be seen that the dimensions of the air bearing 2372 and the lifting pad 2 〇 72 on the loader are slanted to provide an expected misalignment limit or set area of the loader initially placed on the load ram. Referring to Figure 37, there is shown a plan view of another embodiment of the loading cassette 23 (9). The load 埠 2300' is attached to the load 埠 23 〇〇 _, and similar components are indicated by similar codes. In this embodiment, __ or a plurality of wire supports are added, and there is a - discharge nozzle. Lai Guanxu discharged σ 细_娜余合 to provide a guided total discharge. As an example, each nozzle of the row has a discharge port that can be angled at an angle to the other nozzle discharge port. Fixed or changed from the outlet of - or multiple tubes. #—The exhaust nozzle is connected to the exhaust. The resulting exhaust has the first-expected direction (ie, the rmr nozzle that is generally in the vertical direction stops or reduces the flow and will result in a final discharge, resulting in a directional component at the loading plane. In the case of changing the sample, the support nozzle is movable (for example, mounted on a tiltable platform: ;! gas official mouth), or the shape can be changed (for example, making two materials) "for the directional shopping guide. It can be seen that at the loading 57 200845274 The directional component of the gas bearing discharge port gives the loader mounted on the air bearing of the loading plane an impact in the opposite direction of the directional component of the discharge port and produces lateral movement of the loader in the loading plane Referring again to Figures 37A-37D, the non-contact coupling portion 2374 of the loading cassette has magnetic portions 2374A-2374C disposed to match the magnetic members 2074A-2074C (see Figure 36C) or the magnetic materials 2074A, -2074C of the loader, To form a magnetic lock/unlock connection between the loader and the loader (eg, between the loader door 2016 and the door 2310 and between the loader housing and the load frame). The magnetic properties of the load in the embodiment unit The 2374A-2374C also cooperates with the magnetic parts 2074A-2074C of the loader or the magnetic material parts 2074A, -2074C to form a loader position assisting device that can be used to adjust the position of the loader on the loading portion to achieve the positioning described below. The arrangement of the illustrated magnetic portions 2374A-2374C is described by way of example only, and the magnetic portion of the loaded non-contact loader coupling portion in the modified aspect can be configured/constructed as intended. Magnetic portion 2374A-2374C The operating magnetic member can activate the magnetic switch to generate a desired magnetic field when starting to shift the magnetic or magnetic portion of the loader in a desired direction (for example, to generate a lock/join between the loader and the loading cassette) and / Or the corrective force imparted to the loader. As can be seen from Figures 37A and 37D, the load port interface of the embodiment has a non-contact alignment system 2380 to teach the loader conveyor system about the position/positioning of the load port and to provide the loader at The initial setting of the loading port interface. As mentioned above, the setting area of the loading cassette is substantially free of flanges, and the initial setting of the loader on the setting area is between the loader and the loading cassette. There is substantially no contact (i.e., no frictional contact). In the illustrated embodiment, the alignment system 2380 has a mark arrangement or pattern that can be imaged by a suitable sensor 58 200845274. An illustration is shown in Figure 37D, and in a modified form Can use two D6>, : for the sensor to image and set all expectations = i not @不) is installed in the wheeling system of the loader such as CCD or CMOS imaging sensor can form images and their empty According to the Li device. The imaging data of the pattern can be used as (4) machine positioning data reading and joint cooking (

置=相對於㈣機輸職之位置及教示㈣機輸送^ 位置。 實施例中之裝載機2_係由輸送系統設置於在設置區 =2P内沒有突緣之裝載平面上。實施例中之設置區係由 裝載機仏之尺寸所形成之區域,例如相對於裝載埠之對準 軸之大約20麵。實際之設置定位誤差係任何數值而非取決 於前述數值,並可以相對於定位裝載機後之補助機制之比 例予以指定。因此此制結之對準複現性係與傳統聯結方 式者大致相同,同時增加可容許裝載機輸送定位誤差。當 裝f機被裝載琿感應到之後,將啟動空氣薄膜(空氣支承) 以提升裝載機及消除裝載機與裝載埠介面之間之摩擦。此 時$用於裝載機上之作用力係其質量及相對於水平參考面 與提升^本身之重力之相對位置。裝載機提升面與裝載埠 上之空氣墊片連接以提升裝载機及達至裝載機相對於裝載 埠之複現性定位(角向及橫向)。浮動於空氣薄膜上之裝載機 將作相對於裝載埠之對準定位。如前所述,可利用磁性聯 結以施加作用力於裝載機以使裝載機平移或旋轉 。磁性方 59 200845274 式以外之任何方法均可採用以施加作用力予裝載機,只要 具備充份衝擊及可預測目標位置。完成裝載機與裝載槔之 聯結係將二物件夹在一起及抓持定位。 >參照第36A-36C圖所示之實施例,當裝載機2〇〇〇係在 設置區時’永久性磁件2〇74a_2〇74C係疊合於裝載璋介面 上之磁件2374A.2374C。线支承係被激化及裝載埠磁性 係藉電子或機械裝置所啟動以展示相對磁極予裝載機磁 r 件。介面沒有摩擦將使裝載機可自由移動於X,Y及ΘΖ轴 直至磁極自然對準但沒有產生實質接觸。在整個過程中之 空氣支承係由裝載機及裝載料之磁件預先施加磁作用 力。預先施力可有效維持裝載機之控制及增加空氣支承之 靱度。經過預定時段或利用感應器回饋後可停止空氣支 承,使裝載機降低至裝載埠之埠門。此時之磁件係完全接 觸及提供夾持力以將裝載機支承於琿門。 第36D圖所示之實施例中,裝載機2〇〇〇具有鋼鐵材料 塾片2074A及2074C(見第36D圖),其尺寸足以設於(由裝 I 載機輸送系統)定位後之襞載埠聯結點之磁場範圍内。啟動 空氣支承及裝載琿上之磁件係以電子或機械方式啟動以引 祕場至裝載機之鐵墊片上。介面處沒有摩擦力將使磁件 與鐵墊片之間產生吸引力以將裝載機平移或旋轉至對準位 置。空氣支承係被預先施加磁力。預先施力可有效維持褒 載機之控制及增加空氣支承之叙度。經過預定時段或利用 感應器回饋後’可知止空氣支承,使裝載機降低至裝載淳 之埠門。在鐵墊片上之磁力將提供史持力以將裝載機支承 60Set = relative to (four) machine position and teaching (four) machine transport ^ position. The loader 2_ in the embodiment is disposed by the transport system on a loading plane having no flanges in the set area = 2P. The set area in the embodiment is an area formed by the size of the loader ,, for example, about 20 faces with respect to the alignment axis of the load 埠. The actual set positioning error is any value and is not dependent on the aforementioned values and can be specified relative to the ratio of the subsidy mechanism after positioning the loader. Therefore, the alignment reproducibility of this combination is substantially the same as that of the conventional coupling method, while increasing the allowable loader positioning error. After the f-machine is loaded and sensed, an air film (air bearing) is activated to lift the loader and eliminate friction between the loader and the load port interface. At this time, the force applied to the loader is the relative position of its mass and the gravity relative to the horizontal reference plane and the lift itself. The loader lifting surface is connected to the air shims on the loading raft to lift the loader and achieve reproducible positioning (angular and lateral) of the loader relative to the loading raft. The loader floating on the air film will be positioned relative to the loading jaw. As previously mentioned, a magnetic coupling can be utilized to apply a force to the loader to translate or rotate the loader. Magnetics 59 Any method other than 200845274 can be used to apply force to the loader as long as it has sufficient impact and predictable target position. The connection between the loader and the loading raft is completed to clamp the two objects together and grasp the positioning. > Referring to the embodiment shown in Figs. 36A-36C, when the loader 2 is tied in the setting area, the permanent magnetic member 2〇74a_2〇74C is superimposed on the magnetic member 2374A.2374C of the loading cassette interface. . The wire support is energized and the load is magnetically activated by an electronic or mechanical device to display the magnetic pole relative to the magnetic preloader. The absence of friction in the interface will allow the loader to move freely over the X, Y and ΘΖ axes until the poles are naturally aligned but do not make substantial contact. The air bearing system is pre-applied with magnetic force by the loader and the magnetic material of the load during the entire process. Pre-stressing can effectively maintain the control of the loader and increase the air support. After a predetermined period of time or feedback from the sensor, the air bearing can be stopped and the loader can be lowered to the door of the loader. The magnetic member at this time is in full contact and provides a clamping force to support the loader to the cardia. In the embodiment shown in Fig. 36D, the loader 2 has steel slabs 2074A and 2074C (see Fig. 36D), which are of sufficient size to be positioned (by the I carrier conveyor system). Within the magnetic field of the junction point. The magnetic components that activate the air bearing and the loading cassette are electronically or mechanically activated to direct the field to the iron shim of the loader. The absence of friction at the interface will create an attractive force between the magnetic member and the iron shim to translate or rotate the loader to the aligned position. The air bearing system is pre-applied with a magnetic force. Pre-stressing can effectively maintain the control of the loader and increase the air bearing. After a predetermined period of time or after feedback from the sensor, the air bearing is known to lower the loader to the door of the load port. The magnetic force on the iron shim will provide a history to support the loader 60

200845274 於埠門。 實施例中之裝載機可由導引空氣管嘴η 37E圖)所驅動,如第37E圖所示 a 去是而敕人主一- 導引二軋管嘴係與空氣 加二 中之空氣管嘴2372係提供側向施 =力予底面而產生裝載機之運動。該運動係由控制器所 裝載機上之磁件對準裝載璋。變更態樣4管二= ^於:板上’可激化平板之轉動/傾斜以提供管嘴之預期方 二二嘴係導引排出σ相反於裝載機之運動方向。此項動 =將提供侧向作用力以平移裝載機直至對準磁件。諸如來 之實際位置及比較對準位置以❹j裝載機 =方向及如何以空氣管嘴施加作用力予裝載機。變更離 樣中之管嘴與魏聯結係組合使肋將裝賴對準於其^ 期位置。 〃 第37F圖顯示另一實施例之裝載淳介面之平面圖。本 實施例令之裝載埠2300”係與前述者類似,除了設置於裝載 埠之磁件2374,係連接於可作第37ε圖之箭頭所示移動方 ^移動性Χ-Υ平台上。於此實施例中之裝載機係設置於 裝載埠而空氣支承被啟動時裝載機磁件係被吸引至聯結於 平台2374S”之裝載埠磁件。該1丫平台2374s,,係諸如 空氣圓筒’無螺紋螺栓或電子線圈,係線性編碼以提供平 移位置之報告。聯結裝載機磁件與裝載相件係被驅動回 返對準位置。.當抵達目的地點時該支承將被停止而裝載機 61 200845274 此方法可適用於現存動 X-Y平台。此實施例中 Y及ΘΖ。雖然無法在非 磨損下增進裝載機設置 係被降低至埠門及被夾持。同理, 能聯結法,其中各動能銷係聯結於 之二個動能銷係被驅動以對準X, 接觸之前提下操作,但係一種最低 限度之可行性方法。 - 第3 7G圖顯示類似裝栽埠2扇A之另—實施例,盆差 機由機械啟動推手2374M所驅動以定位裝載 2及將裝載機之聯結點對準裝解。圖示實施射 =相對於収驗作框轉安裝(如箭頭R,p所示 =;空氣支承之組合可用以使裝載平面傾斜以轉移裝載 而產生相對於枢轉角方向之平移。此項方法利; 準μΪΓΓ縱裝載平面於適當裝載機方向以使裝載機對 卓裝載埠磁件。當裝載機就位 担 達至適當對準蜂門係樞動回返原始位置以使 與裝:機前=之;於前項程序及晶圓 之梦哉撒&羞。於是聯結於裝載埠或裝載站 境(例如氣體種類,潔淨度,或壓力)係與現 力工您兄有所不同。舉例而言,裝載機之晶圓之特定 序可採m因此«機與特定裝置之裝載埠之 面Γ允許適當氣體種類如預期被輸入或排出以使壓 :為取小或在裝載機開啟時引進非預期氣體種類。另 载機係裝置裱境係真空,而配對裝置之裝載埠之| ’、、义"面被抽取成低壓以供來自裝載機之晶圓係被 62 200845274 二載於真i裝載鎖。裝載機與裝載埠之間之介面及環 =1糸統可提供裝載機與裝置之間之環境配合,大致與 二ϋ 1(M〇A圖與第14圖所示者類似。裝載機裝載埠 ;1 〃環境配對系統之另一適當實施例係見述於 8/25/05 :之^專射請案第11/21(),918號,已援引作為本案 \ 。^參照第38A圖係顯示將裝載機之環境配合具有不 ^控制環境之裝載埠之程序之流程圖。於第38A圖所示之 %例中’震載機㈣載埠兩者均财相同氣體種類(例如 ^同種類之惰氣)。於此實施例中,如果裝載機係比處理壓 力具有更高壓力,㈣機將(通過介面)排㈣裝載埠餘室 (或其他適當空腔)直至達至平衡為止,若裝載機係在較低壓 下則來自裝載埠或其他適當供源之氣體可(通過介面) 引入裝載機直至裝載機與裝埠/裝置環境之間達至平衡為 ^於第38B圖所示實施例中,裝載埠可具有圍氛環境(例 ^度潔淨空氣)’而裝載機與裝載璋之間之平衡可藉類似 第38A圖所述方式達成。第38c圖顯示裝載埠具有真 空環境之實_之㈣。變更態樣巾之裝韻與裝載蜂具 有初始差異氣體種類,裝載機之初始環境係經過抽取铁後 係在埠Η開啟之前將裝載料之氣體種類輸人(例如經由 裝載埠)裝載機。 再參照第37Α圖,及如前所述,實施例中之裝載埠具 有可升降埠門2310(以開啟及關閉埠口)及升降 ς 晶圓ϋ盒至裝載㈣室中之預期高度以進行晶圓加工之調 位益2306。該調位器2306係與前述第8,9, ι〇_ι〇Α,14 63 200845274 及18圖所不之實施例者類似,而調位機制係與晶圓所佔據 之體積/環境隔離。總結而言,調位機制之適當實例係具有 下列配置: 、丨·具有風箱之導螺桿-此種機制係採用由附揍於裝 載埠之埠板上之電動機所驅動之導螺桿。進入潔淨區之導 螺桿之部份係由風箱所圍封。該風箱係諸如金屬,塑膠或 纖織布等在操作期間可保持清潔及撓性而不致疲乏之任何 材料。風箱提供污染源機制與設置晶圓之潔淨區之間之屏 障。風箱之撓性特質提供傳動器之整個衝程之隔離。機制 之回饋係通過電動機上之轉子編碼器,或導螺桿;或沿着 運動路徑之線性編碼器。(見第14圖) 2·具有風箱之壓縮氣缸—類似前述實施例,唯一差別 在於驅動機制係壓縮氣缸。可應用於諸如在二位置間移 動;例如關閉及降低之分離艙。(見第9圖) 3·壓縮氣缸遠程驅動器之導螺桿—類似前項實施 W ’唯-不同在於驅動機制係遠程設置於晶圓體外側(見第 ί 10圖)。裝載埠之埠板係藉支承結構連接於驅動器。驅動器 係曝置於深淨區但係通過空氣流徑或迷宮環控制污染。使 用空氣流必需將驅動器設置於晶圓下游致使可能產生之污 染物係位於晶圓下側並被掃除。添設迷宮環或其他“非摩 擦式後封可進一步限制微粒侵入,在驅動器與潔淨區之間 提供固體屏障。其次,驅動器可遠程設置於加工裝置環境 以外此舉可將潛在性污物機制設置於較不潔淨fab環境 但使用迷宮環以防護加工裝置環境。· 64 200845274 4.具有磁性聯結璋板之區動機制_此實施例採用磁 性聯結料板與鶴機制之間(見第8圖但反置之㈣Μ 性聯結可藉通過空氣隙之非鐵質壁部以允許驅動區被隔離 在潔淨區以外《驅動方式係任何前述類型者,例如導螺桿, 壓縮氣缸或線性電動機。後者係設在潔淨區内,因其傳干承 性能可與空氣支承導引組合操作以限制運動方向。、 第39圖顯示裝載埠23〇〇Α與所連接之裝載機2〇嫩, 及另一實施例之晶圓空氣流管理系統之斷面圖。裝 0Α與裝載埠2綱Α係與前述實施例之裝載機與裝載蜂 類似。於第39圖所示之實施例中,埠門係開啟及匿 調位至裝解艙室並定位以進行加L當裝載機開啟及晶 囡,定位進行加工時,空氣流通於晶圓周圍可保持晶圓之 :淨度。舉例而言’取決於加工程序,晶圓可長時間保持 2低位置而增加微粒殿積在晶圓表面上之風險。除此之 外虽沒有適當空氣流時,由裝斜機制所產生之任何污染 =會殿積在晶圓表面。如實施例所示,至少部份在加工 =竟^之空氣流將會被“截留”及重新導引以流過晶圓。然 後空氣係被排返加工環境之日日日圓轉移平面(WTP)之下游。實 ::列I,空氣流形式係以平行於晶圓頂面之方向水平通過 g丨圓匣盒背面排出。當離開匣盒時排出路徑將垂直曳 二孔及導引它通過排料口流向地面。此項方法可在開 坦=或#封環境下保持潔淨固定空氣流通晶圓表面。舉 夕4載埠在諸如氮氣或氬氣等空氣種類依附程序 A中#作時’如圖示之重新導引現存空氣流及重新回 65 200845274 流至主流係支援控制氣體種類所用之密封迴路環境。 如第39圖所示’實施例中之空氣供應薄膜係被安裝於 晶圓存取之區域上側至加工微環境之垂直面。此位置係在 現有SEMI E63標準之F0UP開門器之保留空間。空氣薄膜 係設計以截留來自微環境之現存空氣層流之體積及使空氣 流從垂直被轉彎至水平方向。實施例中,當裝載淳之外侧 表面之内部被降低時係將擴散器元件設在晶圓【盒背面。 擴散器係諸如由取決於流動部件而作局部開口之固體平板 所構成。擴散器係設計以管理通過晶圓之水平空 勻度,同時在空氣進入管道之排氣端之前提供壓力差距。_ 實施例中之迴路之排氣端係被強制引流以確保穩定及 之空氣流流過晶圓。舉例而言,在排氣端管道之内側安裝 軸向風扇以將輸出導引至加工裝置微環境埠口。另一方 面,該單元可在不設風扇及空氣供應薄膜之情況下使用, 可配置擴散H及排氣管道以確保較均勻线流流經晶 圓。 第40A-40D圖顯示相對實施例之裝載機之晶圓限動器 之不意斷面圖。第概圖所示之實施例係顯示一種徑 晶圓限動器。可藉平移匿盒側壁以提供夹緊作用。機制係 存留在E盒内及由裝載埠或吊臉外殼傳動至匡盒介 轴)。變更態樣係平移側壁内部至吊搶外殼。機制係存 吊搶外殼及由裝載璋’.外殼至埠H(〇HT之Z轴)或吊 艙至Ε盒(裝载埠之Ζ軸)所傳動。使用進階材料以傳動(即 形狀記憶金屬或磁限動器等)。第_圖所示之實施例係顯 66 200845274 示採用大致正切晶圓頂面之夾緊力之晶圓限動器。實施例 中之垂直平移指狀物係與匣盒一體成型。機制係存留在匣 盒中。機制係由裝載埠,吊艙至埠門(OHT之Z軸)或吊艙 至匣盒(裝載埠之Z軸)所傳動。變更態樣中之偏離軸平移 指狀物係與吊艙外殼或匣盒一體成型。指狀物以偏離水平 角平移向晶圓(見第40C圖)。機制係由裝載埠,吊艙至埠 門(OHT之Z軸)或吊艙至匣盒(裝載埠之Z轴)所傳動。在 另一實施例中之2DOF指狀物係與吊艙外殼或匣盒一體成 型。指狀物係旋轉然後垂直平移以接合晶圓(見第40D圖)。 機制係由裝載埠,吊艙至埠門(OHT之Z軸)或吊艙至匣盒 (裝載埠之Z軸)所傳動。變更態樣中受侷限於裝載機之晶 圓可具有任何其他適當構造。舉例而言,在晶圓邊緣接觸 支承之間係作成楔形,諸如在匣盒上形成與晶圓接觸之線 性邊緣之支承指狀物。 爰參照第41-41b圖,分別顯示具有另一實施例之加工 裝置PT與輸送系統之代表性加工配備之示意透視圖,端部 立面圖及頂面圖。加工裝置PT係如同排列於FAB加工隔 艙之裝置列。實施例中之輸送系統3000可提供服務予加工 隔艙之裝置,例如輸送系統3000係FAB寬輸送系統之隔 艙内部份。實施例中之輸送系統3000係大致類似前述第 29A-29D圖所示之AMHS系統之一部份。輸送系統3000 可通過第41圖所示之適當輸送介面與FAB AMHS系統之 其他(例如隔艙間)部份3102連通。如前所示,圖示裝置列 中之加工裝置PT之配置僅作為實例說明,具有多排裝置列 67 200845274 (圖不貫施例係顯示二排R1,R2,而變更態樣中可具有更 多或更少裝置列)。圖示實施例中,裝置列係配置成大致平 行(幾何形式,但可互相呈—肖度配置)及可形成大致平行之 加工方向。在不同裝置列之加工方向可相同或互相對向。 同時沿着特定排列之加工方向可逆轉以使沿着裝置列之一 部份或區域之加工方向係單向,而相同裝置列之另一部份 或區域之加工方向係相反方向。R1,R2行之加工裝置係分 配以設定不同加工區ΖΑ-ZC(見第41圖之實例)。各加工區 ZA-ZC係包括有在R1 ’ R2行之—或多個加工裝置。變更 態樣中之加工區具有設置於單排之裝置。由此可知,特定 區之加工裝置係具有相關性加工者,例如具有互補加工及/ 或具有類似裝置生產量速率。舉例而言,裝置區ZA具有 南生產量之裝置(例如每小時大約5〇〇個晶圓(wpH)),具有 中等生產量之裝置(例如大約75WPH至低於5〇〇wpH)可設 置於裝置區ZB,而具有低生產量之裝置(例如大約15WpH 至100WPH)可設置於裝置區ZC。須知裝置設定任何特定區 不疋相同,而特定區内之一或多個裝置可具有與特定區 内之其他裝置具有不同之生產量或加工程序,但區域内之 裝置之間存有關係以致至少相對於輸送目的有組織性適當 度,在同一區内之裝置係經過管理。第41圖所示之裝置區 僅作為貫例說明’而在變更態樣中之裝置區可具有任何其 他預期配置。 由第41圖可見,輸送系統3〇〇〇可用以輸送裝載機往 返於裝置。輸送.系統3000係與前述第29-35圖所示之實施 68 200845274 例者大致類似。第41 ζη ΰ ® 〜 Θ ^ B圖所不之貫施例中之輸送系統 八有尚架構造(例如輸送系統係設置於裝置上側/頭 部)。變更態樣中之輸送系統具有任何其他適當構造,例如 具有地底構造(例如輸送系統係設置於裝置下側,盘第 30-33圖所示之輸送系統類似)。由第4i_4ib圖可見,輸送 系統-般具有數個輸送次线或部份。實_中之輸送系 H一般^有批量材料/快速輸送部删,例如傳動器(例 如與剛述第20_25B圖所述之„傳動器或任何其他適當傳 動益)。傳動II可延伸通過所有裝置區,並可以大致固定輸 送速率以輸送裝載機而不致在裝載機設置/卸離傳動㈣ 停止/變緩。實施例中之輸送系統3_亦包括有健存站/位 置30_(亦見第41B圖),具有可進取一或多個儲存站/位 置之穿梭機3202之穿梭系統部3細(見第42圖),及介面 ,送系統部3300。實施例中之介面輸送系統部可進取由批 量輸送傳動部31GG所輸送或在健存站之裝載機,並將裝載 機轉移至加工裝置之裝載部。實施例中之儲存站,穿梭系 統部3200及介面輸送系統部可成型於可選擇性安裝於輸送 系統上之選擇性安裝部。實施例中之輸送系統部3刚, 3300, 3200可模組化以便安裝選擇安裝於輸送系統中之系 統部之部份。選擇沿着輸送系統安裝之輸送系統穿梭系 統,介面系統,及儲存系統部之部份係對應於加工裝置^ ^域ZA_ZC。由此可知’輸送系統3 _可設計以對應加工 裝置或加工裝置^此外’實施例中之輪送系統可構築於 區域TA-TC,一般係相稱及相應於加工梦番 双夏(he ZA-ZC。因 69 200845274 之 系統具有不同系統部構造之 錯存系統與穿H 0貫知例中 再者,f絲加士 傅采於翰达系統之各區域TA-TC。 中之介面輸送系統部係構築 ^200845274 Yu Tuen Mun. The loader in the embodiment can be driven by the guide air nozzle η 37E), as shown in Fig. 37E, and the one is the main one-guided two-roller nozzle system and the air-filled air nozzle The 2372 system provides a lateral application of force to the bottom surface to create a loader motion. The motion is aligned with the load on the loader on the loader of the controller. The change pattern 4 tube two = ^ on: the board 'enables the rotation/tilt of the plate to provide the intended side of the nozzle. The two-two nozzle guide discharge σ is opposite to the direction of movement of the loader. This action will provide a lateral force to translate the loader until the magnets are aligned. For example, the actual position and the comparative alignment position are ❹j loader = direction and how the force is applied to the loader with the air nozzle. The combination of changing the nozzle in the sample and the Wei link makes the rib align the rig to its position. 〃 Figure 37F shows a plan view of another embodiment of the load port interface. The loading cassette 2300" of the present embodiment is similar to the foregoing, except that the magnetic member 2374 disposed on the loading cassette is connected to the movable side movable platform shown by the arrow of Fig. 37ε. The loader in the embodiment is disposed on the loading cassette and the loader magnet is attracted to the load jaws coupled to the platform 2374S" when the air bearing is activated. The 1丫 platform, 2374s, is an air cylinder 'unthreaded bolt or electronic coil' that is linearly coded to provide a report of the displaced position. The coupling loader magnet and the loading phase are driven back to the aligned position. The support will be stopped when the destination point is reached and the loader 61 200845274 This method is applicable to the existing mobile X-Y platform. In this embodiment, Y and ΘΖ. Although it is not possible to improve the loader setting without being worn out, it is lowered to the door and clamped. Similarly, the coupling method, in which the kinetic energy pins are coupled to the two kinetic pin systems, is driven to align with X, and the operation is performed before the contact, but is a minimum feasible method. - Figure 3G shows an alternative embodiment of loading a fan A. The differential is driven by a mechanical starter 2374M to position the load 2 and align the point of attachment of the loader. The illustrated embodiment is configured to rotate relative to the inspection frame (as indicated by the arrows R, p =; the combination of air supports can be used to tilt the loading plane to transfer the loading to produce a translation relative to the pivoting angle direction. The quasi-μΪΓΓ longitudinal loading plane is in the direction of the appropriate loader so that the loader can be loaded with the magnetic parts. When the loader is in position, it can be properly aligned with the hi-door system to return to the original position to make it fit: The dreams and shame in the previous procedure and wafer. So the connection to the loading or loading station (such as gas type, cleanliness, or pressure) is different from the current work. For example, The specific order of the wafer of the loader can be used. Therefore, the loading surface of the machine and the specific device allows the appropriate gas species to be input or discharged as expected to make the pressure: to take small or introduce unintended gas when the loader is turned on. Type. The other device system is vacuumed, and the loading device of the matching device is extracted into a low voltage for the wafer system from the loader 62 200845274. Between the loader and the loader The face and ring = 1 system can provide the environment coordination between the loader and the device, roughly the same as the two ϋ 1 (M〇A and 14 are similar. The loader is loaded with 埠; 1 〃 the environment matching system is another A suitable embodiment is described in 8/25/05: ^Special Shots No. 11/21(), No. 918, which has been cited as the case\. ^ Refer to Figure 38A for the environment of the loader. The flow chart of the program for controlling the loading of the environment. In the % example shown in Figure 38A, the 'shock carrier (4) contains the same gas type (for example, the same type of inert gas). In the example, if the loader has a higher pressure than the process pressure, the machine will load (via) the chamber (4) into the chamber (or other suitable cavity) until it reaches equilibrium, if the loader is at a lower pressure. The gas from the loading bowl or other suitable source can be introduced (via the interface) into the loader until the loader and the mounting/device environment are balanced. In the embodiment shown in Figure 38B, the loading cassette can have a circumference. The atmosphere (in the case of clean air) and the balance between the loader and the loader can be borrowed The method described in Fig. 38A is achieved. Fig. 38c shows that the load 埠 has a vacuum environment (4). The change pattern of the towel and the loading bee have the initial difference gas type, and the initial environment of the loader is after the iron extraction. The type of gas of the load is input (for example, via a loading port) before the opening of the crucible. Referring again to Figure 37, and as previously described, the loading cassette of the embodiment has a lifting and lowering door 2310 (to open and Close the mouth) and lift ς the desired height in the wafer cassette to the loading (4) chamber for the wafer processing adjustment 2306. The positioner 2306 is linked to the above 8th, 9th, ι〇_ι〇Α, 14 63 200845274 is similar to the embodiment of Figure 18, and the positioning mechanism is isolated from the volume/environment occupied by the wafer. In summary, a suitable example of a locating mechanism has the following configurations: 丨· Leading screw with bellows—This mechanism uses a lead screw driven by an electric motor attached to a rafter mounted on the raft. Access to the clean area The part of the screw is enclosed by a bellows. The bellows are any materials such as metal, plastic or fiber woven fabric that remain clean and flexible during operation without fatigue. The bellows provides a barrier between the source of contamination and the clean area where the wafer is placed. The flexible nature of the bellows provides isolation of the entire stroke of the actuator. The feedback of the mechanism is through a rotor encoder on the motor, or a lead screw; or a linear encoder along the path of motion. (See Figure 14) 2. Compressed Cylinder with Bellows - Similar to the previous embodiment, the only difference is that the drive mechanism is a compression cylinder. It can be applied to moving compartments such as between two positions; for example, closing and lowering compartments. (See Figure 9) 3. Pilot screw of the compression cylinder remote drive - similar to the previous implementation W ’ only - the difference is that the drive mechanism is remotely placed outside the wafer body (see Figure 10). The loading raft is connected to the drive by a support structure. The drive is exposed to the deep clean zone but is controlled by air flow paths or labyrinth rings. The use of air flow necessitates that the drive be placed downstream of the wafer such that potentially contaminated material is on the underside of the wafer and is swept away. Adding a labyrinth ring or other “non-friction back seal further limits particle intrusion and provides a solid barrier between the drive and the clean area. Second, the drive can be remotely located outside of the processing unit environment. This will set the potential dirt mechanism. In a less clean fab environment but using a labyrinth ring to protect the processing environment. · 64 200845274 4. Zone mechanism with magnetically coupled jaws - This example uses a magnetic coupling plate and a crane mechanism (see Figure 8 but The reverse (iv) 联 coupling can be passed through the non-ferrous wall of the air gap to allow the drive zone to be isolated outside the clean zone. The drive mode is any of the aforementioned types, such as lead screws, compression cylinders or linear motors. In the clean area, the dry bearing performance can be combined with the air bearing guide to limit the direction of movement. Figure 39 shows the loading cassette 23 and the connected loader 2, and another embodiment A cross-sectional view of a wafer air flow management system. The loader and the loader are similar to the loader of the previous embodiment. In the embodiment shown in Fig. 39, The door is opened and locked to the loading chamber and positioned for L. When the loader is turned on and the wafer is machined, the air is circulated around the wafer to maintain the wafer: clarity. For example, In the processing program, the wafer can maintain a low position for a long time and increase the risk of the particles accumulating on the surface of the wafer. In addition, if there is no proper air flow, any contamination caused by the tilt mechanism will be On the surface of the wafer, as shown in the embodiment, at least part of the air flow in the process = will be "trapped" and redirected to flow through the wafer. The air is then returned to the processing environment. Downstream of the transfer plane (WTP). Real:: Column I, the air flow pattern is horizontally discharged through the back of the g丨 round box in a direction parallel to the top surface of the wafer. When leaving the cassette, the discharge path will vertically traverse the hole and Guide it to the ground through the discharge opening. This method can keep the surface of the clean and fixed air flowing through the wafer in Kaitan = or # sealed environment. In the case of air type attachment program A such as nitrogen or argon #作时' as shown in the re-directed existing Airflow and re-entry 65 200845274 Flow to the mainstream system to support the control loop gas environment used in the control loop. As shown in Figure 39, the air supply film in the embodiment is mounted on the upper side of the wafer access area to the processing microenvironment. Vertical plane. This position is reserved in the existing SEMI E63 standard F0UP door opener. The air film is designed to trap the volume of existing air laminar flow from the microenvironment and to make the air flow from vertical to horizontal. When the inside of the outer surface of the loading crucible is lowered, the diffuser element is placed on the wafer [the back of the box. The diffuser is formed, for example, by a solid plate that is partially open depending on the flow member. The diffuser is designed to manage the passage. The horizontal air level of the wafer provides a pressure differential before the air enters the exhaust end of the pipe. The exhaust end of the circuit in the embodiment is forced to drain to ensure a steady flow of air through the wafer. For example, an axial fan is mounted inside the exhaust end conduit to direct the output to the processing device microenvironment port. On the other hand, the unit can be used without a fan and air supply film. The diffusion H and exhaust duct can be configured to ensure a more uniform flow through the crystal. 40A-40D are schematic cross-sectional views showing wafer stoppers of the loader of the embodiment. The embodiment shown in the overview shows a radial wafer limiter. The side wall of the box can be translated to provide a clamping action. The mechanism is retained in the E-box and is driven by the loading or hanging housing to the cassette media. The change pattern is to translate the inside of the sidewall to the sling. The mechanism is stored in the outer casing and is driven by the loading 璋'. casing to 埠H (Z axis of 〇HT) or the hoistway to the ( box (loading Ζ shaft). Use advanced materials for transmission (ie shape memory metal or magnetic limiters, etc.). The embodiment shown in Fig. 6 shows a wafer stopper that uses a clamping force that roughly tangs the top surface of the wafer. The vertical translation fingers in the embodiment are integrally formed with the cassette. The mechanism is kept in the box. The mechanism is driven by the loading raft, the nacelle to the stern (the O-axis of the OHT) or the pod to the cassette (the Z-axis of the loading raft). Off-axis translation in the modified aspect The fingers are integrally formed with the pod housing or cassette. The fingers are translated toward the wafer at a deviation from the horizontal angle (see Figure 40C). The mechanism is driven by the loading raft, the nacelle to the door (the O-axis of the OHT) or the pod to the cassette (the Z-axis of the load). In another embodiment, the 2DOF fingers are integrally formed with the pod housing or cassette. The fingers are rotated and then translated vertically to engage the wafer (see Figure 40D). The mechanism is driven by the loading raft, the nacelle to the stern (the O-axis of the OHT) or the pod to the cassette (the Z-axis of the raft). The crystals that are limited to the loader in the modified aspect can have any other suitable configuration. For example, a wrap is formed between the wafer edge contact supports, such as support fingers that form a linear edge in contact with the wafer on the cassette. Referring to Figures 41-41b, there are shown schematic perspective views, end elevations and top views, respectively, of a representative processing apparatus having a processing apparatus PT and a conveyor system of another embodiment. The processing unit PT is like a column arranged in the FAB processing compartment. The conveyor system 3000 of the embodiment can provide a means of servicing the processing compartment, such as the compartment internal portion of the conveyor system 3000 series FAB wide conveyor system. The delivery system 3000 of the embodiment is substantially similar to a portion of the AMHS system shown in Figures 29A-29D above. Conveying system 3000 can be in communication with other (e.g., compartment) portions 3102 of the FAB AMHS system via a suitable delivery interface as shown in FIG. As shown in the foregoing, the configuration of the processing device PT in the illustrated device column is only described as an example, and has a plurality of rows of devices 67 200845274 (the non-continuous embodiment shows two rows R1, R2, and the modified aspect may have more More or less device columns). In the illustrated embodiment, the array of devices is configured to be substantially parallel (geometric, but mutually configurable) and to form a substantially parallel machine direction. The processing directions of the different device columns may be the same or opposite to each other. At the same time, the direction of processing along a particular arrangement is reversed such that the direction of machining along a portion or region of the array of devices is unidirectional, while the direction of processing of another portion or region of the same array of devices is in the opposite direction. The processing units of R1 and R2 are assigned to set different processing zones ΖΑ-ZC (see the example in Figure 41). Each processing zone ZA-ZC includes one or more processing units at R1 'R2. The processing zone in the modified aspect has a device arranged in a single row. It will thus be appreciated that processing equipment in a particular zone is associated with a processor, for example, having complementary processing and/or having a similar device throughput rate. For example, the device area ZA has a south throughput device (eg, about 5 wafers per hour (wpH)), and a medium throughput device (eg, about 75 WPH to less than 5 〇〇 wpH) can be placed on Device zone ZB, while devices with low throughput (eg, about 15 WpH to 100 WPH) can be placed in device zone ZC. It should be noted that the device sets any particular zone to be the same, and one or more devices in a particular zone may have different production volumes or processing procedures than other devices in a particular zone, but there is a relationship between the devices in the zone so that at least The equipment in the same area is managed with a tissue suitability for the purpose of transport. The device area shown in Fig. 41 is merely illustrative and the device area in the modified aspect may have any other desired configuration. As can be seen from Figure 41, the conveyor system 3 can be used to transport the loader to the device. The transport 3000 system is substantially similar to the implementation shown in Figures 29-35 above. The 41st ζ ΰ ® ~ Θ ^ B The delivery system in the example is not included in the example. (For example, the conveyor system is installed on the upper side/head of the unit). The delivery system in the modified aspect has any other suitable configuration, such as having a substructure (e.g., the delivery system is disposed on the underside of the device, similar to the delivery system illustrated in Figures 30-33). As can be seen from the 4i_4ib diagram, the conveyor system generally has a plurality of delivery secondary lines or sections. The transport system H in the actual system has a batch material/fast delivery section, such as an actuator (for example, the "actuator or any other suitable transmission benefit" as described in the figure 20_25B). The transmission II can be extended through all the devices. Zone, and can substantially fix the delivery rate to transport the loader without setting/unloading the drive at the loader (4) Stop/slow. The transport system 3_ in the embodiment also includes a storage station/location 30_ (see also 41B) Figure 3, the shuttle system portion 3 of the shuttle 3202 with one or more storage stations/locations is fine (see Figure 42), and the interface, delivery system portion 3300. The interface delivery system portion of the embodiment can be aggressively The loader transported by the transmission portion 31GG or at the load station of the storage station is transferred, and the loader is transferred to the loading portion of the processing device. In the embodiment, the storage station, the shuttle system portion 3200 and the interface conveying system portion can be formed in a selective manner. A selective mounting portion mounted on the conveyor system. In the embodiment, the conveyor system portion 3, 3300, 3200 can be modularized to mount a portion of the system portion that is selectively installed in the conveyor system. The transport system shuttle system, the interface system, and the portion of the storage system portion correspond to the processing device ^ ZA_ZC. It can be seen that the 'conveying system 3 _ can be designed to correspond to the processing device or processing device ^ in addition to the embodiment The wheeling system can be constructed in the area TA-TC, which is generally commensurate with and corresponds to the processing of Dream Fan Shuangxia (he ZA-ZC. Because of the system of 69 200845274, the system has different system structure and the faulty system and the wear-through system In the middle, the wire is collected from the TA-TC of the Handa system. The interface of the medium conveying system is constructed ^

:=Γ系統具有可添加,卸除及可以:種不C 於第H ^^難TAM ^之轉·_介面輸送器 可將預定=11貫施例中之高架起重機)部份3310,3320。:=ΓThe system has the ability to add, remove and can: the type does not C in the H ^ ^ difficult TAM ^ turn · _ interface conveyor can be scheduled = 11 through the example of the overhead crane) part 3310, 3320.

介面及存二系統安裝於輸送线區以提供預期裝置 存取速率,例如與對應裝置區ZA_AC之加工裝置之The interface and the storage system are installed in the conveyor line area to provide an expected device access rate, such as a processing device corresponding to the corresponding device area ZA_AC.

匹配。如第41A圖所詳示,介面輸送系統部具有 ""擇生k異數目之輸送器行進平面(例如部份區域TC具有 單一介面輸送ϋ行進平面,見第48目,而其他區域 ΤΒ具有超過一個輸送器行進平面ITC1,ITC2,見第41Α 囷)八有夕個平面之區域中之輸送器可互相跨越對 方。圖不有二個平面,但可提供更多或更少之輸送器平面。 雖然在實施例中之輸送系統係配置有大致水平之行進平 面,而變更態樣中之輸送系統可具有包括垂直行進平面之 任何其他預期配置以供介面輸送器繞道。 高架起重機系統(OGS)可設計於低度,中度,或高度 生產率者。通過現場重建模組化裝配可符合改變因素或加 工性能。該模組化配備可被分成三種類型;低生產率,中 度生產率,及高生產率。各種模組之配置係取決於多項因 素’包括預期移動速率,儲存容量,及隔艙中之預期生產 率之分配等。 低生產率:舉例說明,低生產率裝置或裝置區可充份容 70 200845274 納單㈤架起重機331〇。此項構造可提供所有預期移動而 不⑦使用“饋送器,,自動操縱裝置3320或穿梭系統32〇〇。除 I將裝载機從儲存器轉移至裝置以外,高架起重機可從隔 内傳動ϋ抓取裝載機及轉移謂存位置。為了使裝載機 可移動至晚鄰南架起重機區域,裝載機係設置於隔驗内傳 動器或设置於儲存基座以供她鄰高架起重機之搜索。藉此 構造可供一高架起重機跨越另一高架起重機直至中間^高 帛起重機已被移動為止。當二個或以上高架起重機係一起 操作而其中一個失效時,毗鄰高架起重機將取代失效者之 作業。雖然工作容量將會減低,但不致完全關機。 中度生產率:舉例而言,中度生產率裝置或裝置區可滿 足於添設“饋送器,,自動操縱裝置332〇(例如附加之高架起 重機/輸送器)。此種構造與低生產率配置大致類似,僅添加 一饋运器自動操縱裝置3320及排序器/穿梭機332〇〇。實施 例中之饋送器自動操縱裝置及排序器/穿梭機係用以執行t 隔艙内傳動器至儲存器移動之指定裝置。每一個饋送器自 動操縱裝置可在饋送器之兩側(見第44圖)採用兩個高架起 重機裝載器自動操縱裝置3310,33 12。然而在變更態樣中 之饋送器可與一裝載器自動操縱裝置配對。排序器/穿梭機 之目的在於接收來自饋送器之裝載機及排列以備儲存。藉 此構造該“裝載器,,自動操縱裝置可焦注於儲存器至裝置之 雙向移動而沒有從隔艙内傳動器中抓取裝載機之附加負 荷。該系統可與毗鄰低,中度,或高生產率模組配合操作。 當裝載器自動操縱裝置失效時,毗鄰之裝載器自動操縱裝 71 200845274 置將進入及在失效之自動操縱裝置之區域内操作(見第46 及47圖)。當一饋送器機制失效時,個別之裝載器自 T裝置將以低生產率構造之相同方式操作。在該兩種失效 場合保持有效但容量減低。 高生產率:舉例說明,高生產率制中之高架起重機模 組係重新設計以符合特定裝置或裝置區之需求。高生產率 配備具有設在隔艙之兩侧之裝載器自動操縱裝置,盘中产 生產率區類似之饋送器自動操縱裝置配備,及將裝載機ς 序至儲存器之類似排序器/穿梭機(見第45 操縱裝置係對應於設置在隔搶—側之裝置,可允許較= 移動。裝載機係通過隔艙内傳動器系統進出於高生產率 區。高生產率構造對裝載器自動操縱裝置失效及/或饋送器 自動操縱裝置失效具有失效容許限度。如果其中—個襄載 器自動操縱裝置失效時’另—個裝載器自動操縱裝置將在 失效自動操縱裝置移出區域後操作於祕之兩側。如果饋 送器失效%,裝載器自動操縱裝置將負責從隔艙内傳動器 系統中抓取裝載機。如果裝載II自動操縱裝置與饋送器自 動操縱裝置兩者均失效,其巾—個㈣^自動馳裝置將 負責所有預期移動。 各項構造:低生產率,中度生產率,及高生產率可取決 於預期移動速率而作為單一個體操作或田比鄰於該三種配備 之任何一種。該系統沒有任何單一點失效而使系統中之裝 載機流程完全失去功效者。除了個別或多個組件失效之失 效容許限度之外,該系統可提供裝載機之多重有效移動路 72match. As detailed in Fig. 41A, the interface transport system has a "" a different number of conveyor travel planes (e.g., a partial area TC has a single interface transport ϋ travel plane, see item 48, while other areas ΤΒ The conveyors in the area with more than one conveyor travel plane ITC1, ITC2, see section 41 囷), can span each other. The figure does not have two planes, but more or fewer conveyor planes are available. While the conveyor system in the embodiment is configured with a generally horizontal travel plane, the transport system in the modified aspect can have any other desired configuration including a vertical travel plane for the interface conveyor to bypass. The overhead crane system (OGS) can be designed for low, medium, or high productivity. Modular assembly through on-site reconstruction can be adapted to changing factors or processing performance. The modular equipment can be divided into three types; low productivity, medium productivity, and high productivity. The configuration of the various modules depends on a number of factors including the expected rate of movement, storage capacity, and the distribution of expected production rates in the bay. Low productivity: For example, a low-productivity device or device area can be filled with 70 200845274 nandan (five) cranes 331 〇. This configuration provides all the expected movements without using the "feeder, automatic manipulator 3320 or shuttle system 32". In addition to I transferring the loader from the storage to the device, the overhead crane can be driven from the inside. Grab the loader and transfer the storage location. In order to make the loader moveable to the adjacent souther crane area, the loader is placed in the inter-inspector or on the storage base for the search of her adjacent overhead crane. This configuration allows an overhead crane to span another overhead crane until the middle sorghum crane has been moved. When two or more overhead cranes are operated together and one of them fails, the adjacent overhead crane will replace the operation of the ineffective. The working capacity will be reduced, but not completely shut down. Moderate Productivity: For example, a medium productivity device or device area can be satisfied with the addition of a “feeder, automatic manipulator 332” (eg additional overhead crane/conveyor) ). This configuration is substantially similar to the low productivity configuration, with only one feeder automatic manipulator 3320 and sequencer/shuttle 332 添加 added. The feeder automatic manipulator and sequencer/shuttle in the embodiment are used to perform the designation of the t-chassis actuator-to-reservoir movement. Each feeder automatic manipulator can employ two overhead crane loader robotic controls 3310, 33 12 on either side of the feeder (see Figure 44). However, the feeder in the modified aspect can be paired with a loader automatic manipulator. The purpose of the sequencer/shuttle is to receive the loader from the feeder and arrange it for storage. By constructing the "loader", the automatic manipulator can focus on the two-way movement of the reservoir to the device without the additional load of grabbing the loader from the inter-chassis actuator. The system can be adjacent to the low, medium, Or the high-productivity module cooperates. When the loader automatic control device fails, the adjacent loader automatic control device will enter and operate in the area of the failed automatic control device (see Figures 46 and 47). When a feeder mechanism fails, individual loaders will operate in the same manner as the low-productivity configuration from the T-device. They remain effective but have reduced capacity in both failure scenarios. High Productivity: An example of an overhead crane model in high-productivity systems The system is redesigned to meet the needs of a specific unit or equipment area. High productivity is equipped with automatic loader handling devices on both sides of the compartment, a feeder automatic control unit similar to the yield zone, and a loader ς A similar sequencer/shuttle that is ordered to the reservoir (see section 45. The operating device corresponds to the device set on the side-by-side, allowing = Move. The loader enters the high productivity zone through the inter-vehicle actuator system. The high-productivity construction has a failure tolerance for the loader automatic manipulator failure and/or the feeder automatic manipulator failure. If one of the loaders When the automatic control device fails, the other loader automatic control device will operate on both sides of the fault after the automatic control device is removed from the area. If the feeder fails, the loader automatic control device will be responsible for the drive system from the compartment. Grab the loader. If both the loader II automatic manipulator and the feeder automatic manipulator fail, the wiper will take care of all expected movements. Construction: low productivity, moderate productivity, and High productivity may depend on the expected rate of movement as a single individual operation or any of the three types of equipment. The system does not have any single point of failure and the loader process in the system is completely ineffective. In addition to individual or multiple components In addition to the failure tolerance limits of the failure, the system provides multiple effective movements of the loader 72

200845274 :特= 準移動組合,具有在正常操作條件下 流量中之周期,心衝移===:、:為了解決裝載機 區域。第_ Λ 及分歧裝載额向遠離有問題 多項方法。 施财將裝載機從Α點移至Β點之 系絲ΓΓΓ中之“饋送器’,自動操縱裝置可從隔艙内傳動器 該饋送器自ϋ機及將其設置於適當之儲存位置。視需要 、、主於儲^ #縱裝置可供裝置裝載自動操縱裝置僅只焦 存Μ裝置之移動及增進系統之總移動容量。饋送 益利用快迷短程移動以供隔_傳動时有限度或並中斷 2動(例如當從類似第20圖之存取道進人裝載機時不會 X生,動器中斷)。饋送II機制可減輕高架起重機系統之工 作負荷。可支援各項運動之驅動機制包括線性電動機,球 ^/螺才干’壓、缩驅動器’皮帶驅動器,摩擦驅動器,及磁性 推進器等。以下實施例可根據前述方式實施·· 。饋送器自動操縱裝置係類似高架起重機裝載自動 操縱裝置,不同之處在於它係固定於χ方向(隔舲之長度) 及具有y(隔艙橫向)及ζ(垂直)方向之自由度。饋送器機制 係設置於裝置裝載自動操縱裝置下方之平面上以供裝載器 自動操縱裝置在沒有有效負荷之情況下通過。裝載埠區以 上之區域可供裝載器自動操縱裝置在有效負荷之情況下自 由移動通過饋送器。饋送器系統係垂直設置使當機動車在 升起狀態時可通過隔艙内傳動器及具有足夠空間以移動通 73 200845274 緊裝載機。饋送器從上方進入 直衝程以從隔驗内傳動器系統抓彻短程垂 :r:構r儲存車道係與隔餘内 == 面上。儲存槽具有雙向排序器/穿梭機 =穿梭至下一位置。穿梭機驅動機制 載機&着隔艙長度移動至少一個螺 牟叔翁擤胜罢壯I 螺距者。—個螺距係指高 糸起重機裝置裝載自動操縱裝置沿着 傍邊作無中斷之行進及抓取裝載機之距離:動= 二用:將裝載機輸送㈣鄰之裝載器自動操縱::置 槽之間。例如裝載機之移動順序如下: =:傳動器暫時停止於饋送器自動操縱裝置沿 着隔艙長度之固定X位置。 _ 自動操縱裝置從前項γ位置行進至隔搶内傳 動器上之裝載機之上方。 饋送器自動操縱裝置抓取裝載機。 饋送器自動操縱裝置沿着Y方向(橫向於隔擒)行進 至特定穿梭機道。 _饋送器自動操縱裝置將裝載機設置於穿梭機上及 繼續下一動作。 牙杬機/排序器機制將裝載機驅動於χ方向。 起重機裝載自動操縱裝置移至儲存位置,然後 將裝載機抓取及設置於適當裝置上。 广實轭例之系統之優點包括比傳統系統有增進之晶圓生 產率’有多個移動路徑以完成裝載機.移動 ’及具有增進之 74 200845274 失效容許限度。 根據第48圖所示之另一實施例,饋送器自動操縱裝置 係駐留在穿梭機及隔艙内傳動器系統下方之平面上之線性 機台。該機台具有實施例1之相同自由度,係從下方抓取 裝載機而非上方。當裝載機從隔艙内傳動器中被抓取時係 被橫向驅動至隔艙及被釋放於適當穿梭機上。此項設計之 優點係可供傳動器車道設置於裝備界限之間之任何位置。 舉例而言,隔艙内傳動器可存在於中央而非如實施例i所 示之外側。此設計之另一項優點在於裝載器自動操縱裝置 可沿着隔艙内之任何γ位置通過具有有效負荷之饋送器機 制,而實施例1中之裝載器係限制於僅可在位於裝載埠區 内進行此項移動。此外,裝載器自動操縱裝置無需與饋送 器連通以防止撞擊。該饋送器與裝載器自動操縱裝置兩者 均可佔有相同之具有有效負荷之垂直空間而非彼此互相連 接。此項構造之移動順序係與實施例丨相同,不同之處係 從下方而非從上方抓緊裝載機。 在變更態樣中’下方之高架或機制可沿着χ(隔驗長 度),γ(隔艙橫向),及ζ(垂幻方向移動。在此構造中由於 3軸饋送ϋ可視需要移至特㈣存車道及槽道,因此梭 用穿梭機/排序器。舉例而言,裝載機係從隔搶内傳動 出’定位於適當儲存車道然後垂直平移至儲存器中之^ 機初始排位。如第49圖所示之另一實施例,可藉 ^ 儲存欄以增進儲存容量,該垂直餘存攔可供裝^機儲存 與藉ΟΗΤ系統將削台面延伸至最高抵達點之裝栽機形 200845274 狀相同之容積中,可沿着隔艙整體長度設置。 由此可知,如第41圖所示之實施例,由輸送系統3〇〇〇 所伺服之加工裝置PT之介面或裝卸站(亦見第37A_37C及 第39圖)可具有彼此相對之不同面層。以下將述之裝載站 及/或加工裝置之面層並非特定代表裝載站或加工裝置之 任何側面之位置或方位,而係代表裝載站或加工裝置之特 性以指定裝載站及/或加工裝置相對於配對裝載站及/或從 裝載機載入加工裝置之晶圓之預定方位。由輸送系統3000 輸送進出加工裝置PT之裝載機當配對具有不同面層之不 同裝置裝載站時,將以對應於相對裝載站之不同面層之不 同方位。因此配對由輸送系統3000伺服之不同加工裝置之 裝載站之裝載機可具有彼此相對之不同方位。將裝載機配 對於加工裝置之裝載站之支座介面係經過極化以供以預期 方位配對裝載機,諸如對應於裝載站之面層。裝載機(一般 係類似第1-5圖及第36A_36D圖之裝載機2〇〇)可能不具備 相對以配對加工裝置PT之裝載站之同構型構造。舉例而 i 言,裝載機可具有外形或形狀呈異構性之罩箱或外殼(見第 1圖及第36A圖),但可裝載基板於相對於加工裝置之參考 框架之預期方位。於是當配對於加工裝置ρτ之裝載站時, 裝載機可被載入使其内之基板係在加工裝置指定之預期方 位。在變更態樣巾,裝載鮮箱具有非異構性雜(例如裳 載機係類似FQUP,具有諸如設有基板轉移開口於罩箱之一 預期側面之罩箱)以形成預期方位以將裝載機配對於加工 裝置之裝載站。實施例中之裝.載機CAR 200具有適當鑒別 76 200845274 器或標記(例如結構型或電子型)以指示裝載機之方位。由此 可知,輸送系統3000之控制系統(未予圖示)可予以適當設 計或建入程式以從裝載機之鑒別器或標記識別及/或追蹤 裝載機CAR 200之方位,作為輸送系統3〇〇〇在整體FAB 中在裝置PT之間之裝載機。控制系統亦可被設計或建入程 式以將裝載機CAR 200之方位聯繫至裝載站之面層,使輸 送系統3000可將裝載機載入及配對於裝載站之對應於裝載 站之特定面層之方位。第41及50圖所示之實施例中,輸 送系統3000可包括作為說明用途之用以提供獨立㊀運動 (例如第41Α圖所示用以改變裝載機方位之裝載機旋轉)予 輸送裝載機之Θ驅動系統3600。輸送系統3〇〇〇之驅動系統 3600可啟動裝載機之0運動或旋轉而無關裝載機在任何其 他方向之移動(例如第41圖所示之X,y , ζ方向),以下將 予詳述。因此,實施例中之輸送系統3000可進行輸送裝載 機住復加工裝置之四向度自由度移動(x,y,ζ,㊀)。變更態 樣中,輸送系統可具有更多或更少自由度之裝載機輸送移 動。在實施例中,Θ驅動系統3600可用以啟動裝載機之 獨立Θ運動以進行“on the fly”裝載機,以下將予進一步說 明。 如前所述’加工裝置PT包括具有彼此相對不同面層 之裝載站LSR1,LSR2,可由輸送系統3000將裝載機設置 於裝載站中之不同裝載方位(對應於裝載站之面層)。再參照 第41及50圖所示之實施例中,由輸送系統3〇〇〇所伺服之 加工裝置PT可排列呈ri,r2行(然而如前述在變更態樣 77 200845274 中,加工裝置及其對應裝載站可設置於任何預期配置,可 能不採用行或列而具有任何預期陣列或串聯配置)。由第 41A圖可見,實施例中在相對R1,R2行中之加工裝置可予 以定位使加工裝置之對應裝載站LSR1,LSR2係大致互相 面對。於是由第41A圖可知,在R1行之裝載站LSR1或加 工裝置可面對與R2行之裝載站LSR2之面對方向(由箭頭 LSA2表示)之大致相反(例如距離大約180度)之方向(由第 41A圖之箭頭LSA1表示)。裝載站之各行列之面對方向係 類似(例如在對應裝置行列Rl,R2中之裝載站LSR1,LSR2 係大致分別面對LSR1,LSR2之方向),而在變更態樣中, 一或多行列中之一或多個裝置可具有與相同裝置行列中之 裝置之其他裝載站之不同面層之裝載站。在其他變更態樣 中,裝載站可以超過或低於180度之不同面對方向。在另 一變更態樣中,由輸送系統伺服之裝置之裝載站可具有類 似面對方向。第41A圖例示,CAR 200係配對於裝載站 LSR1。圖示實施例中之裝載站LSR1上之裝載機CAR 200 係對應於裝載站LSR1之面層(由箭頭LSA1表示)之方位(由 方位部件CAR A表示,作為實例說明)。第41A圖亦顯示 裝載機CAR 200’(以虛線表示)當配對於裝載站LSR2係定 向(由部件CARA’表示)對應於裝載站LSR2之面層(由箭頭 LSA2表示)。由第41A圖可知,實施例中配對於裝載站LSR2 之裝載機CAR 200之方位係與配對於裝載站LSR1之裝載 機之方位距離180度。變更態樣中,配對於具有不同面層 之裝載站之裝載機之方位差異可能超過或低於180度。實 78 200845274 施例中,輸送系統3000,例如採用Θ驅動系統3600者,可 旋轉裝載機CAR 200(例如Θ旋轉)使裝載機定向於預期方 位CAR A, CAR A,以配對具有預期裝載站之裝載機。由 第41A圖可知,輸送系統3000之Θ驅動系統3600可啟動 裝載機之180度之Θ旋轉,而在實施例中由0驅動系統3600 啟動之裝載機CAR 200之Θ旋轉係大約270度,以下將予 進一步說明。變更態樣中,Θ驅動系統可啟動裝載機之任 何預期量之e旋轉。 再參照第41圖,實施例中之前述輸送系統3〇〇〇 一般 具有快速輸送部31〇0(諸如具有或不具分離式輸送機動車 之傳動器或其他適當大量或批量材料輸送器)及介面輸送 系統部3300(連接裝載機於快速輸送部與裝置裝載站之間 使輸送部31〇〇可在操作時維持大致持續性之固定輸送速率 而不受裝載站之容器裝卸所影響)。第42圖中,所示之介 面輸送部3300係具有例示之高架起重機331〇,而在變更態 樣介面輸送部可具有任何其他適當構造。第42圖所示 之貫=例中,兩架起重機3310(介面輸送系統係以預期數 目之阿架起重機作模組式組裝)一般具有平移平台33丨2,具 有提供二軸行進(例如X,y軸,如第41圖所示)之橫斷體 3314。忒検斷體3314可具有任何適當構造及可包括一吊機 裝置,使用以擷取及抓持裝載機CAR 2〇〇之高架起重機之 2機夾$可予以升降以啟動2軸行進。冑斷體機動車之 ^田實例係布魯克自動化公司所生產之Aeroloader™輸送 機動車。如月所述,高架起重機3310可設計(見第43-45 79 200845274 圖)以抓取或放置裝載機於加卫裝置之裝載站上。實施例中 亦:提供儲存站3000S(例如見第41B圖),而介面輸送部(例 如同架起重機33 10)可存取容器於任何輸送傳動器部 3100,或在儲存站3000S或在加工裝置之裝載站,並可移 動裝載機CAR 2GG於前述之任何預期序列之間。如前所 述,第50圖顯示諸如在任何傳動器3i〇〇Ri,3i〇〇R2及任 何裝載站LSR1,LSR2之間錢置行列R1,R2或在相同 或不同裝置行列之任何二個裝載站LSR1,LSR2之間,或 、在任何裝載站LSR1,LSR2與儲存站3〇〇〇s之間之部份裝 載機移動之代表性實例,可由介面輸送部33〇〇之高架起重 機3310所啟動。 貫施例中之高架起重機3310包括有θ驅動系統3600, 以下將予詳述。如第50圖所示,實施例中之裝載機CAR 200 可旋轉(如箭頭Θ所示),諸如由介面輸送部3300輸送時, 依隨所需改變裝載機方位。舉例而言,當由傳動器3100R1, 3100R2予以運輸時,裝載機CAR 200可具有任何方位。換 言之,裝載機CAR 200在傳動器輸送器上之方位係與裝載 ί, 機設置於裝載站LSR1,LSR2時之方位有所不同。例如當 裝載機CAR 200係設置於傳動器輸送器3100R2中之方位 (如第50圖中所示部件CAR A)係為裝載機配對於其目的裝 載站之預期方位(如部件CAR A’)之大約270度(順時鐘方 向)。裝載機CAR 200可由介面輸送部3300之高架起重機 3310由傳動器3100R2移動至預期裝載站LSIU,一般係如 第50圖之箭頭C2L1所代表。實施例中,裝載機之方位可 80 200845274200845274: Special = quasi-mobile combination with periodicity in traffic under normal operating conditions, heart shift ===:, in order to resolve the loader area. The first _ Λ and the diverging load are far from the problematic multiple methods. Shicai moves the loader from the defect to the "feeder" in the thread of the defect. The automatic manipulator can self-tapping the feeder from the compartment and placing it in the appropriate storage position. Need, and the main storage device can be used to load the automatic control device, only the movement of the coke storage device and improve the total mobile capacity of the system. The feed benefits the short-distance movement for the _ transmission limited or interrupted 2 moves (for example, when entering the loader from a dock similar to Figure 20, there is no X, the actuator is interrupted.) The Feed II mechanism can reduce the workload of the overhead crane system. The driving mechanisms that support the various movements include Linear motor, ball / screw, 'pressure, shrink drive' belt drive, friction drive, magnetic propeller, etc. The following embodiments can be implemented according to the above-mentioned way. The feeder automatic control device is similar to the overhead crane loading automatic control device The difference is that it is fixed in the χ direction (the length of the barrier) and has the freedom of y (the lateral direction of the compartment) and the ζ (vertical) direction. The feeder mechanism is installed in the device. Loading the plane below the robotic manipulator for the loader automatic manipulator to pass without payload. The area above the loading zone allows the loader robotic manipulator to freely move through the feeder under payload conditions. The system is vertically positioned so that when the vehicle is in the raised state, it can pass through the inter-vehicle actuator and has sufficient space to move the loader 73 200845274. The feeder enters the straight stroke from above to grab from the inter-instrument actuator system. Clear short-range: r: structure r storage lane system and compartment == surface. Storage tank has two-way sequencer / shuttle = shuttle to the next position. Shuttle drive mechanism carrier & A snail 牟 擤 擤 罢 罢 I 。 。 — — — — — — — — — — — — — — — — — — — — — — — — — — — — — — — — — — — — — — — — — — — — — — — The adjacent loader is automatically operated:: between the slots. For example, the loading sequence of the loader is as follows: =: The actuator is temporarily stopped at the feeder automatic control device along the compartment The fixed X position of the length. _ The automatic control device travels from the gamma position of the preceding item to the top of the loader on the inner clutch. The feeder automatic control device grabs the loader. The feeder automatic control device is along the Y direction (laterally The rafter travels to the specific shuttle path. The _feeder automatic manipulator sets the loader on the shuttle and continues the next action. The gum/sequester mechanism drives the loader in the χ direction. Move to the storage location and then grab and set the loader on the appropriate device. The advantages of the wide yoke system include increased wafer productivity over conventional systems. 'Multiple moving paths to complete the loader. Move' and With the enhanced 74 200845274 failure tolerance limit. According to another embodiment illustrated in Figure 48, the feeder automatic manipulator is a linear machine that resides on a plane below the shuttle and the inter-vehicle actuator system. The machine has the same degree of freedom as in Embodiment 1, and the loader is grasped from below instead of above. When the loader is grabbed from the inter-chassis actuator, it is driven laterally to the compartment and released to the appropriate shuttle. The advantage of this design is that the drive lane can be placed anywhere between the equipment limits. For example, the inter-chamber actuator may be present in the center rather than on the outside as shown in embodiment i. Another advantage of this design is that the loader automatic manipulator can pass through a feeder mechanism with a payload along any gamma position within the compartment, while the loader of embodiment 1 is limited to being located only in the load zone. Make this move inside. In addition, the loader automatic manipulator does not need to be in communication with the feeder to prevent impact. Both the feeder and the loader automatic manipulator can occupy the same vertical space with a payload rather than being connected to each other. The movement sequence of this configuration is the same as that of the embodiment, except that the loader is grasped from below rather than from above. In the modified aspect, the underlying overhead or mechanism can be moved along the χ (length of the inspection), γ (the lateral direction of the compartment), and ζ (the vertical direction of movement. In this configuration, due to the 3-axis feed, it can be moved to the special (4) The storage lane and the channel, so the shuttle shuttle/sequencer. For example, the loader is driven out of the compartment to 'position in the appropriate storage lane and then vertically translate to the initial position of the machine. In another embodiment shown in Fig. 49, the storage bar can be used to increase the storage capacity, and the vertical residual storage can be used to store the machine and the borrowing system to extend the cutting table to the highest arrival point. The volume of the same shape can be set along the entire length of the compartment. It can be seen that, as in the embodiment shown in Fig. 41, the interface or loading station of the processing device PT that is servoed by the conveying system 3 (see also 37A-37C and 39) may have different facing layers opposite each other. The surface layer of the loading station and/or processing apparatus to be described below is not specifically representative of the position or orientation of any side of the loading station or processing apparatus, but represents loading Station or processing unit The predetermined orientation of the designated loading station and/or processing device relative to the mating loading station and/or the wafer loaded into the processing device from the loader. The loader transported into and out of the processing device PT by the transport system 3000 when paired with different facings The different device loading stations will have different orientations corresponding to different facing layers of the opposite loading station. Thus the loaders that match the loading stations of the different processing devices that are servoed by the conveyor system 3000 can have different orientations relative to each other. The cradle interface for the loading station of the processing device is polarized for pairing the loader in the desired orientation, such as the surface layer corresponding to the loading station. The loader (generally similar to the loading of Figures 1-5 and 36A_36D) The machine may not have an isomorphous configuration relative to the loading station of the mating processing device PT. For example, the loader may have a casing or casing that is heterogeneous in shape or shape (see Figure 1 and Figure 36A), but the substrate can be loaded with the intended orientation relative to the reference frame of the processing device. Thus, when equipped with a loading station for the processing device ρτ, the loader can be loaded The substrate therein is in the intended orientation specified by the processing device. In the modified state towel, the loading fresh box has non-heterogeneous impurities (for example, the carrying machine is similar to FQUP, and has one such as a substrate transfer opening in the cover box expected The side cover box) to form the intended orientation to match the loader to the loading station of the processing apparatus. The carrier CAR 200 of the embodiment has an appropriate identification 76 200845274 or mark (eg structural or electronic type) to indicate loading The orientation of the machine. It can be seen that the control system (not shown) of the conveyor system 3000 can be appropriately designed or built into the program to identify and/or track the orientation of the loader CAR 200 from the loader's discriminator or indicia. The conveyor system 3 is a loader between the devices PT in the overall FAB. The control system can also be designed or built into the program to link the orientation of the loader CAR 200 to the surface of the loading station so that the conveyor system 3000 can load the loader and match the specific surface of the loading station corresponding to the loading station. The orientation. In the embodiments illustrated in Figures 41 and 50, the conveyor system 3000 can include, for illustrative purposes, a separate motion (e.g., loader rotation to change the orientation of the loader as shown in Figure 41) to the conveyor. Θ Drive system 3600. The drive system 3600 of the conveyor system can initiate zero movement or rotation of the loader regardless of the movement of the loader in any other direction (eg, X, y, ζ directions as shown in Fig. 41), as will be detailed below. . Thus, the conveyor system 3000 of the embodiment can perform a four-dimensional degree of freedom movement (x, y, ζ, one) of the conveyor loading and unloading device. In the variant, the conveyor system can have more or less freedom of loader transport. In an embodiment, the ram drive system 3600 can be used to initiate independent squat movement of the loader for an "on the fly" loader, as will be further described below. As previously described, the processing apparatus PT includes loading stations LSR1, LSR2 having relatively different facing layers from each other, which can be placed by the conveyor system 3000 in different loading orientations (corresponding to the surface layer of the loading station) in the loading station. Referring again to the embodiments shown in Figures 41 and 50, the processing device PT that is servoed by the transport system 3 can be arranged in ri, r2 rows (however, as described above in the modified aspect 77 200845274, the processing device and The corresponding load station can be set to any desired configuration, and may not have rows or columns but have any desired array or series configuration. As can be seen from Fig. 41A, in the embodiment, the processing means in the row R1, R2 can be positioned such that the corresponding loading stations LSR1, LSR2 of the processing apparatus substantially face each other. Thus, as can be seen from Fig. 41A, the loading station LSR1 or the processing device at the R1 row can face the direction opposite to the facing direction of the loading station LSR2 of the R2 row (indicated by the arrow LSA2) (e.g., a distance of about 180 degrees) ( This is indicated by the arrow LSA1 of Fig. 41A). The orientation direction of each row of the loading station is similar (for example, in the corresponding device row R1, R2, the loading station LSR1, LSR2 is generally facing the direction of LSR1, LSR2 respectively), and in the modified aspect, one or more rows and columns One or more of the devices may have load stations of different facing layers to other loading stations of the devices in the same device rank. In other variations, the loading station can be in different or more than 180 degrees of facing orientation. In another variation, the loading station of the device that is servoed by the delivery system can have a similar facing orientation. Figure 41A illustrates that the CAR 200 is assigned to the loading station LSR1. The loader CAR 200 on the loading station LSR1 in the illustrated embodiment corresponds to the orientation of the face layer (represented by arrow LSA1) of the loading station LSR1 (represented by the azimuth component CAR A, as an example). Figure 41A also shows that the loader CAR 200' (shown in phantom) corresponds to the surface of the loading station LSR2 (represented by arrow LSA2) when aligned with the loading station LSR2 (represented by component CARA'). As can be seen from Fig. 41A, in the embodiment, the orientation of the loader CAR 200 for the loading station LSR2 is 180 degrees from the orientation of the loader for the loading station LSR1. In the variant, the difference in orientation of the loader for loading stations with different facings may be greater or less than 180 degrees.实2008 200845274 In the embodiment, the conveyor system 3000, for example using the Θ drive system 3600, the rotatable loader CAR 200 (eg Θ rotation) orients the loader to the desired orientation CAR A, CAR A for pairing with the expected loading station Loader. As can be seen from Fig. 41A, the transport system 3000 of the transport system 3000 can initiate a 180 degree rotation of the loader, while in the embodiment the loader CAR 200 activated by the 0 drive system 3600 has a twist of approximately 270 degrees, below It will be further explained. In the variant, the Θ drive system can initiate any expected amount of e-rotation of the loader. Referring again to Fig. 41, the aforementioned conveyor system 3〇〇〇 in the embodiment generally has a quick transport portion 31〇0 (such as an actuator with or without a separate transport vehicle or other suitable mass or batch material conveyor) and interface. The transport system unit 3300 (connecting the loader between the rapid transport unit and the equipment loading station enables the transport unit 31 to maintain a substantially constant fixed transport rate during operation without being affected by container loading and unloading at the loading station). In Fig. 42, the interface conveying unit 3300 is shown as having an overhead crane 331, and the alternate interface conveying unit may have any other suitable configuration. In the example shown in Fig. 42, two cranes 3310 (the interface conveying system is modularly assembled with an expected number of overhead cranes) generally have a translation platform 33丨2, which provides two-axis travel (for example, X, The y-axis, as shown in Fig. 41, is a transverse body 3314. The jaws 3314 can have any suitable configuration and can include a crane apparatus that can be raised and lowered to initiate 2-axis travel using a machine clamp $ for picking up and gripping the loader CAR 2〇〇. The case of the broken-body motor vehicle is the AeroloaderTM transport vehicle produced by Bruker Automation. As mentioned in the month, the overhead crane 3310 can be designed (see Figures 43-45 79 200845274) to grab or place the loader on the loading station of the escort unit. Also in the embodiment: a storage station 3000S is provided (see, for example, FIG. 41B), and an interface transport portion (eg, co-operating crane 33 10) can access the container at any of the delivery actuator portions 3100, or at the storage station 3000S or at the processing device The loading station, and the mobile loader CAR 2GG can be moved between any of the expected sequences described above. As previously mentioned, Figure 50 shows, for example, between any of the actuators 3i 〇〇 Ri, 3i 〇〇 R2 and any of the loading stations LSR1, LSR2, either R1, R2 or any two loads in the same or different device ranks. A representative example of partial loader movement between stations LSR1, LSR2, or between any of the loading stations LSR1, LSR2 and storage station 3〇〇〇s, may be initiated by the overhead crane 3310 of the interface conveyor 33 . The overhead crane 3310 in the example includes a θ drive system 3600, as will be described in more detail below. As shown in Fig. 50, the loader CAR 200 of the embodiment can be rotated (as indicated by arrow Θ), such as when transported by the interface transport 3300, to change the loader orientation as desired. For example, when transported by the actuators 3100R1, 3100R2, the loader CAR 200 can have any orientation. In other words, the orientation of the loader CAR 200 on the actuator conveyor is different from that of the load ί, which is set at the loading stations LSR1, LSR2. For example, when the loader CAR 200 is placed in the actuator conveyor 3100R2 (as shown in Figure 50, the component CAR A) is the desired orientation of the loader for its intended loading station (e.g., component CAR A'). Approximately 270 degrees (clockwise). The loader CAR 200 can be moved by the overhead crane 3310 of the interface conveying section 3300 from the actuator 3100R2 to the intended loading station LSIU, generally as indicated by arrow C2L1 of Fig. 50. In an embodiment, the orientation of the loader can be 80 200845274

,(例如大約270度順時鐘方向之θ旋轉)自原始方位 邛件CAR Α所代表)在傳動器至預期裝載方位(由部件 CARA’所代表)以配對裝載機CAR 200予裝載站LSR1時由 輸运部予以改變。第42A圖係介面輸送部3399之高架起重 機3310之局部示意透視圖,顯示裝載機car 2〇〇由靠近代 表性裝載4 LSR2之橫斷體3314所支持時之實例狀態。圖 不之裝載機係由靠近裝載站LSR2及定向以對應裝載站之 面層之回架起重機^以吊舉。所示狀態係代表在配對前或 在未配對裝載機與裝載站後之狀態。由此可知,由輸送系 統3000所輸送之裝載機,諸如沿着第5〇圖所示之移動路 徑(例如移動路徑C2L1)以裝卸裝載站LSR1,LSR2可由第 42A圖所示之高架起重機331〇予以定位。在實施例中,裝 載機CAR 200用以改變方位之θ旋轉,例如用以提供與穿 載站之配對,可藉“飛擊式,,予以啟動,因高架起重機係將 裝載機移至裝載站。笨載機之θ旋轉可在裝載機由高架起 重機移動時之任何預期時間予以啟動。在變更態樣中,裝 載機之Θ旋轉可能並非以“飛擊式,,執行。實施例中,高架 起重機可將裝載機從裝載站LSR1,LSR2上卸除及將裝栽 機移至預期之傳動器3100R1,3100R2,並可在移動期間藉 由Θ旋轉以改變裝載機之方位。舉例而言,可旋轉裝栽機 以預先定位裝載機於預期方位,諸如對應於其下一個預期 目的地或裝載站(該下一個預期目的地及其對應裝載機方 位可由輸送系統控制器予以識別)。如前所述,當裝栽機 CAR 200在傳動器輸送器3l〇〇Rl,31〇〇R2及裝栽站 81 200845274 LSIU ’ LSR2之間或在相同或不同 載站之間移動時,至少會暫時性::1 ;R2中之装 存站3_S上。裝載 裝載機儲 對於裝載站時之預„_方Z存站之方位亦可能與配 F錢裝載機有所不同。舉例而言,去 =由諸如介面輸送部33。。(例如高架 : 枓自㈣縱裝置3320,見第42及4 次饋, (eg, approximately 270 degrees clockwise θ rotation) represented by the original orientation element CAR )) when the actuator to the expected loading orientation (represented by the component CARA') with the mating loader CAR 200 to the loading station LSR1 The Department of Transportation changes it. Figure 42A is a partial schematic perspective view of the overhead crane 3310 of the interface transport portion 3399 showing the example state when the loader car 2 is supported by the cross-body 3314 near the representative load 4 LSR2. The loader is lifted by a return crane that is close to the loading station LSR2 and oriented to the surface of the loading station. The states shown represent the state before pairing or after unpaired loaders and loading stations. It can be seen that the loader transported by the transport system 3000, such as the moving path (e.g., the moving path C2L1) shown in Fig. 5, is used to load and unload the loading station LSR1, and the LSR2 can be lifted by the overhead crane 331 shown in Fig. 42A. Position it. In an embodiment, the loader CAR 200 is used to change the θ rotation of the orientation, for example to provide a pairing with the wear station, which can be activated by a "flying type," because the overhead crane moves the loader to the loading station. The θ rotation of the stray loader can be initiated at any desired time when the loader is moved by the overhead crane. In a variant, the rotation of the loader may not be performed in a "flying style". In an embodiment, the overhead crane can remove the loader from the loading stations LSR1, LSR2 and move the loader to the intended actuators 3100R1, 3100R2 and can be rotated by rotation to change the orientation of the loader during movement. For example, the rotatable loader can pre-position the loader in an intended orientation, such as corresponding to its next intended destination or loading station (the next intended destination and its corresponding loader orientation can be identified by the conveyor system controller) ). As mentioned before, when the loader CAR 200 moves between the actuator conveyors 3l〇〇R1, 31〇〇R2 and the loading station 81 200845274 LSIU 'LSR2 or between the same or different stations, at least Temporary:: 1; R2 in the storage station 3_S. The orientation of the loading loader for the loading station may also be different from that of the F-loader. For example, go = by means of the interface conveying section 33. (eg elevated: 枓(d) Longitudinal device 3320, see the 42nd and 4th feeds

時,該裝載機可具有·應於部份# =子j000S 例中,古恕# 有斤不同。於是在實施 梦載機^針彳啟動㈣機之θ旋轉以視需要在移動 自健存站3_S至裝載站(諸如沿着第5〇圖之箭頭 路徑)時改變其方位。裝載機之θ旋轉係以類似 預期方位^署式,,方式執行。亦可由高架起重機將裝載機以 °又置於儲存站30〇〇S以提供繼後連接用。舉例而 :類似別述方式’當裝載機從一裝載站卸除時,高架起 機可在裝載機從裝載站移至健存站時藉Θ旋轉改變裝載 2位。實施例中之高架起重機亦可在裝載機從傳動器 ^益移至錯存站時旋轉裝載機以預先定向裝载機。在實 ^例中,設置以啟動裝載機輸送至不同行列Rl,R2之裝 载站LSR1,LSR2之高架起重機331〇可將裝載機從一行列 R1:、R2之裝载站移至另一行列R1 ’ R2之裝載站(以一次移 動或一系列移動)及可藉移動時之Θ旋轉以改變裝載機方位 (從對應於裝載機被移走之裝載站之原始定位至對應於目 的地裝载站之最終方位)。 〜 82 200845274 再參照第42B-D圖,實施例中之高架起重機3310之θ 驅動系統3600亦包括於橫斷體機動車3314中。圖示及以 下說明之Θ驅動系統之構造僅作為實例說明,而在變更態 樣中之Θ驅動系統可具有任何其他適當構造。實施例中, 橫斷體機動車3314 —般具有一基座機動車部3340, 一吊舉 機制3342及一裝載機夾持部3344。實施例中之基座機動車 部3340係移動自如地支持於高架起重機之平移平台上(見 第42圖)。吊舉機制3342將裝載機夾持部3344附接於基 座機動車部3340。該吊舉機制3342可升降以使裝載機夾持 部相對於基座機動車作升降動作。裝載機夾持部經設計可 連接及夾放裝載機。參照第42C-42D圖,分別顯示裝載機 夾持部3344之示意透視圖及頂面圖。實施例中之Θ驅動系 統3600可包括於裝載機夾持部3344中。變更態樣中之Θ 驅動系統可以任何其他預期方式併合於高架起重機橫斷體 中。在實施例中,裝載機夾持部3344可包括互相樞接(例 如作為旋轉軸)之上側部與下側部3344F,3344R以提供部 件之間之相對旋轉(沿着第42C圖中箭頭Θ所示方向)。實 施例中,上側部3344F可連接於吊舉帶或構件3342Η(見第 42Β圖)。下側部3344R可具有裝載機夾持機制以接合裝載 機。由此可知,裝載機在被夾持機制所夾持時可相對於橫 斷體裝載機夾持部之下側部3344R予以鎖止。於第42B-D 圖所示之實施例中,Θ驅動系統3600 —般係具備有一電 動機3602(例如適當之伺服或步級電動機),一驅動軸3604 及一編碼器3606。電動機3602可具有固定於裝載機夾持部 83 200845274 之上側部3344F之靜子,及安裝於驅動軸3604上之轉子。 該驅動軸3602可固定於裝載機夾持部之下側部3344R。於 是,電動機可旋轉下側部3344R及由裝載機夾持器所夾持 之裝載機。編碼器360(任何適當類型者)可識別驅動軸之絕 對及漸進式位置予控制系統(未予圖示)。如前所述,實施例 中之Θ驅動系統可設計以提供大約27〇度或θ旋轉。因此 可供輸送系統旋轉裝載機相對於原始方位之至少大約土9〇 度。變更態樣中之Θ驅動系統可具有任何預期構造及可旋 轉裝載機任何預期範圍之轉角。 變更態樣中,圓柱形裝載機槽座係視需要設置以提供 FAB中之較高儲存密度。圓柱形儲存槽座可將裝載機互相 疊置及提供機制以升降裝載機至特定高度。垂直運動之機 制係壓縮,機械,或磁力。 第51圖顯示另一實施例之輸送系統4〇〇〇之示意平面 圖。第51圖所示之輸送系統係一代表性部份,諸如 有線輸送系統之隔艙間部份,而在變更態樣中之輸送系統 具有任何預期尺寸及構造。第51圖所示實施例中之輸送系 統4000係與前述第41-50圖所示之輸送系統3000類似。 類似之部件係作類似編號。與輸送系統3000類似,第51 圖所不之輸送系統4000具有批量或快速批量輸送呷 ::輪送器)及介面部4細。本實施例中之介面部 1僅作為貫例說明’而在變更態樣中可具有任何預期構 :::::壬何預期數目之次部件(例如與前述相似之儲存 ° 邻)° 一般上介面部4200具有數個饋送器自動操 84 200845274 縱裝置,可連接裝載機於批量輸送系統部41〇〇與加工裝置 之間。批量輸送系統部4100 —般上係與前述之輸送^統 500類似,其中一部份係示於第2〇圖。第51圖所示之實施 例中,批量輸送系統部4100包括具有固體狀態傳動系統之 執道。該執道係類似美國專利申請案第1〇/697,528號及第 11/211,236號所述之傳動器執道。在帛51 所示之實施例 中,輸送系統4100係異步輸送系統(類似輸送系統5〇〇), 其中由輸送系統所輸送之裝載機係與輸送中之其他裝載機 之動作脫接。因此-或多個裝載機可在輸送過程中獨立運 作⑽如加速/減速,停止,裳載/卸載)而不影響輸送系統之 裝載機輸送流中t其他晚連或她鄰裝載機之輸送速率。 第51圖所示之實施例中,批量輸送系統部(以下係以 批^輸送f麵代表卜般係具有—主輸送執道41_。 批置輸迗器4100亦具有數個側軌41〇〇s。第51圖所示之 主輸达軌這4100 Μ係一迴路,而在變更態樣中可為任何其 他預期形狀,可形成由批量輸送器所輸送之裝載機之主輸 运路控(或輸送流)。雖然實施例_之制係以裝載機為主, 而 '中所述之特徵同樣適用於變更態樣,其中(基板)裝載機 =設巧效負荷平面或其他由批量輸送器所輸送之活動 ^置上。實施例中之主輸送路徑具有連續及大致固定之速 :。因此在主輸送執道41〇_上輸送之裝載機可保持在主 徑上之輸送之行進生產率之高速率而沒有停止於輸送 上之裝載機所阻礙。側軌或支執4i〇〇s可將在批量輸 m之裝載機之輸送速率.測定作業與主輸送路徑之斷 85 200845274 接。如前所述,該速率測定作業係由側執執行而不受主輸 送路徑所影響。於是側軌4100S可形成裝載機緩衝,裝卸 站或路徑轉換裝置。在實施例中係顯示一個側執作為實 例,而在變更態樣中可設有任何預期數目之側執。圖示實 施例之側軌之構造係在主執之大致直線段分叉及重接,亦 僅作為實例說明,而在變更態樣中之側軌係具有任何其他 預期構造者。舉例而言,側執可在主執(在特定隔艙内)之相 對側之間分路,或在不同隔艙間或隔艙間-隔艙内輸送部(如 第29A,29B圖所示)之主軌之間分路。變更態樣中之側執 具有與主軌不同之方位,並可跨越主執上方或下方。變更 態樣中,主執與側執之交接可視所需設計以呈諸如大致正 交交接或轉接。 實施例中之主執與側執4100M,4100S可包括模組化 連接以組裝批量輸送器之執道之模組化執段A,B,C,D, L。裝載機係被諸如線性電動機驅動於批量輸送器之軌道 4100S,4100M上。與前述執道500類似,線性電動機之強 制器可設置於軌道4100M,4100S上,而線性電動機之反 應部可設在裝載機上。裝載機可由諸如無接觸式或潤滑支 承(例如空氣/氣體支承)maglev系統,或接觸式支承(例如滾 筒,球/滾輪支承)等適當裝置移動自如地支承於執道上,作 用於裝載機之適當固態支承構件上。變更態樣中之裝載機 具有一體成型之活動支承,諸如輪子,滾輪,氣體/空氣支 承等。將裝載機支承於主轨與側轨上之活動支承係在軌道 上具有可將各裝載機穩定支承於軌道上之任何預期配置, 86 200845274 並可沿着主軌及側軌分佈以供裝載機沿着軌道自由移動 者。貫施例中之線性電動機係諸如線性引導電動機(LIM), 而在變更態樣中可用任何預期線性電動機或任何類型之電 動機/驅動器以促使裝載機在批量輸送器之主執及側執上 移動。如前所述,實施例中之LIM之強制器(或步驟捲動 器)4120,4120M,4120S係設置於形成輸送器之主執與側 執之執道模組A,B,c,D,L上,裝載機具有UM之反 Γ:At the time, the loader can have a part in ##子子j000S, and Gushou# is different. The θ rotation of the Dream Machine (4) is then performed to change its orientation as needed when moving from the Health Station 3_S to the loading station (such as along the arrow path of Figure 5). The θ rotation of the loader is performed in a manner similar to the expected orientation. The loader can also be placed in the storage station 30 〇〇S by an overhead crane to provide subsequent connections. For example: similar to the manner of 'When the loader is unloaded from a loading station, the overhead starter can change the loading position by the rotation when the loader moves from the loading station to the storage station. The overhead crane of the embodiment can also rotate the loader to pre-align the loader as the loader moves from the actuator to the stray station. In the example, the overhead crane 331, which is set to start the loader to the loading station LSR1, LSR2 of different ranks R1, R2, can move the loader from the loading station of one row R1:, R2 to another row. R1 'R2 loading station (with one movement or a series of movements) and can be rotated by the movement to change the loader orientation (from the original position of the loading station corresponding to the loader being removed to the destination loading) The final position of the station). ~ 82 200845274 Referring again to Figures 42B-D, the θ drive system 3600 of the overhead crane 3310 in the embodiment is also included in the cross-body motor 3314. The construction of the Θ drive system illustrated and described below is by way of example only, and in the alternatives the Θ drive system may have any other suitable configuration. In the embodiment, the cross-body motor vehicle 3314 generally has a base motor vehicle portion 3340, a lifting mechanism 3342, and a loader clamping portion 3344. The pedestal motor vehicle 3340 in the embodiment is movably supported on the translation platform of the overhead crane (see Fig. 42). The lifting mechanism 3342 attaches the loader grip portion 3344 to the base motor vehicle portion 3340. The lifting mechanism 3342 can be raised and lowered to cause the loader gripping portion to move up and down relative to the base motor vehicle. The loader grip is designed to connect and hold the loader. Referring to Figures 42C-42D, a schematic perspective view and a top plan view of the loader grip portion 3344 are shown, respectively. The Θ drive system 3600 in the embodiment may be included in the loader grip portion 3344. In the case of a change, the drive system can be combined with the overhead crane cross-body in any other way. In an embodiment, the loader gripping portion 3344 can include upper and lower side portions 3344F, 3344R that are pivotally coupled to each other (eg, as a rotating shaft) to provide relative rotation between the components (along the arrowhead in Figure 42C) Show direction). In an embodiment, the upper side 3344F can be attached to a lifting strap or member 3342(see Figure 42). The lower side 3344R can have a loader clamping mechanism to engage the loader. It can be seen that the loader can be locked with respect to the lower side portion 3344R of the cross-body loader gripping portion when clamped by the gripping mechanism. In the embodiment illustrated in Figures 42B-D, the ram drive system 3600 is generally provided with a motor 3602 (e.g., a suitable servo or step motor), a drive shaft 3604 and an encoder 3606. The motor 3602 can have a stator fixed to the upper portion 3344F of the loader clamping portion 83 200845274 and a rotor mounted to the drive shaft 3604. The drive shaft 3602 can be fixed to the lower side portion 3344R of the loader grip portion. Thus, the motor can rotate the lower side 3344R and the loader held by the loader holder. Encoder 360 (of any suitable type) can identify the absolute and progressive position of the drive shaft to a control system (not shown). As previously mentioned, the Θ drive system of the embodiment can be designed to provide approximately 27 turns or θ rotation. Therefore, the conveyor system can be rotated by at least about 9 degrees of soil relative to the original orientation of the conveyor. The modified drive system can have any desired configuration and a corner of any desired range of the rotary loader. In a variation, the cylindrical loader slot is set up as needed to provide a higher storage density in the FAB. A cylindrical storage tank mounts the loaders on top of each other and provides a mechanism to lift the loader to a specific height. The mechanism of vertical motion is compression, mechanical, or magnetic. Fig. 51 is a schematic plan view showing the conveying system 4'' of another embodiment. The conveyor system illustrated in Figure 51 is a representative portion, such as the inter-chamber portion of a cable conveyor system, and the conveyor system in the modified aspect has any desired dimensions and configuration. The transport system 4000 of the embodiment shown in Fig. 51 is similar to the transport system 3000 shown in the aforementioned 41-50. Similar components are numbered similarly. Similar to the delivery system 3000, the delivery system 4000 of Figure 51 has a batch or rapid batch delivery of the 呷:deleller and the face 4 is thin. The intervening face 1 in this embodiment is merely illustrative and may have any desired configuration in the modified aspect: ::::: Any number of components of the expected number (eg, similar to the storage described above) ° Generally The interfacial portion 4200 has a plurality of feeder auto-operations 84 200845274 vertical devices that can be coupled between the bulk transport system portion 41 and the processing device. The batch transport system unit 4100 is generally similar to the aforementioned transport system 500, and a portion thereof is shown in FIG. In the embodiment illustrated in Fig. 51, the batch delivery system portion 4100 includes an obstruction having a solid state transmission system. The executor is similar to the actuators described in U.S. Patent Application Serial Nos. 1,697,528 and 11/211,236. In the embodiment shown in 帛 51, the conveyor system 4100 is an asynchronous conveyor system (similar to the conveyor system 5〇〇) in which the loader conveyor transported by the conveyor system is disconnected from the action of other loaders in transit. Thus - or multiple loaders can operate independently during transport (10) such as acceleration/deceleration, stop, load/unload without affecting the transport rate of other late or adjacent loaders in the loader conveyor stream of the conveyor system . In the embodiment shown in Fig. 51, the batch conveying system unit (hereinafter, the main conveying path 41_ is provided by the batch conveying f-plane). The batch feeder 4100 also has a plurality of side rails 41. s. The main transmission line shown in Figure 51 is the first circuit of the 4100 ,, and in the modified form can be any other desired shape, which can form the main transport path of the loader transported by the bulk conveyor ( Or transport stream). Although the embodiment of the system is based on the loader, and the features described in 'the same applies to the modified aspect, where (substrate) loader = set the payload plane or other by the bulk conveyor The transported activity is placed. The main transport path in the embodiment has a continuous and substantially fixed speed: so that the loader transported on the main transport path 41〇 can maintain the transport productivity of the transport on the main path. The high speed does not hinder the loader that stops at the conveyor. The side rail or branch 4i〇〇s can transfer the conveying speed of the loader in the batch transmission. The measuring operation is connected to the main conveying path 85 200845274. Said that the rate determination operation is performed by the side Not affected by the main transport path. The side rail 4100S can then form a loader buffer, loading station or path conversion device. In the embodiment, a side handle is shown as an example, and any desired number can be provided in the modified aspect. The configuration of the side rails of the illustrated embodiment is the bifurcation and reconnection of the substantially straight line segment of the main control, and is only illustrated as an example, and the side rail system in the modified aspect has any other expected constructor. In other words, the side-keeper may be split between the opposite sides of the main (in a particular compartment) or in different compartments or compartments - in the compartment (as shown in Figures 29A, 29B) The main rail is split between the main rails. The side handles in the change mode have different orientations from the main rails and can be above or below the main control. In the change pattern, the handover between the master and the sideman can be visually designed to present Such as roughly orthogonal handover or transfer. The main and side handles 4100M, 4100S in the embodiment may include modularized connections A, B, C, D, L for modular connection to assemble the bulk conveyor. The loader is driven by a linear motor to the bulk conveyor Tracks 4100S, 4100M. Similar to the previous way, the linear motor compensator can be placed on the rails 4100M, 4100S, and the linear motor reaction can be placed on the loader. The loader can be supported by, for example, contactless or lubricated bearings. (for example, air/gas support) maglev system, or suitable means such as contact support (for example, roller, ball/roller support), can be freely supported on the road and act on the appropriate solid support member of the loader. The loader has integrally formed movable supports, such as wheels, rollers, gas/air bearings, etc. The movable support that supports the loader on the main rail and the side rails has a track support for stably supporting the loaders on the rails. Any expected configuration, 86 200845274 and distributed along the main rail and side rails for the loader to move freely along the track. The linear motor in the embodiment is a linear guide motor (LIM), and any desired linear motor or any type of motor/driver can be used in the modified form to cause the loader to move on the main and side of the bulk conveyor. . As described above, the LIM forcing device (or step reel) 4120, 4120M, 4120S in the embodiment is disposed on the main and side-executing obstruction modules A, B, c, D of the forming conveyor. On L, the loader has the UM reaction:

應$率/構件,以下將予詳述。變更態樣中之電動機線圈可 被女裝於裝機機或機動車平板,而磁性反應件係安裝於執 道上。 ' 再參照第51圖,圖示之主執41〇〇M及側執 執道核組A ’ B,C,D,L係代表性,而在變更態樣中係具 有任何預期構造。除非另有註明,執道模組A,B,C,d, L係大致類似。如第51圖所示,實施例中之執道段件(模組) 一般係^包括單一軌道段件(例如A,C,D,L)及交接(執道 轉換瓜件變更_樣巾可採肖任何其他預期模組化執道部 件舉/列而g,變更態樣中之特定執道模組可包括多個執 各形不同裝載機輸送路徑)大致相併延伸,係被稱為非交 ^多執模組1施例中之單執段件可包括大致直線之段件 =Γ) L及彎曲段件c,而在變更態樣中之單執段件可具 ^壬何其他預期形狀。交接段件B,4102,4102,係側軌^ 例^ 41§〇〇S與主執41〇〇M滙接之處。第51 ®所示之實施 ^。,顯示二個交接執道段件4102,4102,係作為實例說 。而第51圖所示之交接執道段件4102 , 4102,之構造僅 87 200845274 作為說明,具有單一支軌滙接/分支於主軌4100M之一側(例 如相對於第51圖之X軸所示方向之左側)。變更態樣中, 交接段件可分支於主執之右側。在另一變更態樣中,交接 段件可具有任何其他預期構造,例如在一段件中之多個分 支,在主執之對側分支,係大致直接彼此相對或對置,或 在主執之一側(例如左側及/或右側)之多個分支。在實施例 中之單執段件A,C,D,L雖然具有不同形狀(例如直線, 彎曲等)亦可為相似者。各執段件A,C,D,L可包括在 LIM強制器4120中之對應部。同時亦如第51圖所示,當 模組化軌段件係在結合操作(利用適當控制器)組裝於LIM 強制器部件(不同執部)時可形成主軌與側執之大致連續性 之LIM強制器4120M,4120S以在裝載機之反應板上操作 及驅動裝載機在主軌與側軌之整體長度上移動。變更態樣 中之執道可包括沒有積體強制器部之一或多個段件。 強制器4120或作為LIM之主要線圈裝配者一般係包 括諸如鋼製叠層及相繞組等可與軌段件一體成型或可被圍 封於與執段件連接之強制器罩殼中。各執段件A,C,D, L中之強制器部(見第52圖所示之段件C)可為分段或連 續。彎曲軌段件C可具有強制器部4120C,其相繞組係經 設計使線圈裝配形成與執道之弧度相配之曲線,或具有分 段之強制器部,而該段件係經設計以形成大致呈彎曲之強 制器部。變更態樣中之執段件之強制器部可具有任何其他 預期形狀。執段件A,C,D,L之強制器部可對稱設置於 軌道及座設在執道上之裝載機。變更態樣中之強制器可反 88 200845274 對稱設置於執道及其上之裝載機。第54圖顯示典型段件A 及移動自如支承於其上之典型裝載機5000之示意端部圖。 如前所述,軌道(主執及側執)41〇〇M,4100S —般係設有裝 載機5000之活動強制/衝擊器,活動支承及導引以啟動裝 載機沿着執道之移動。如前所述,實施例中驅動裝載機之 電動機係LIM,執道上之強制器4120M,4120S係操作於 裝載機上之反應板/元件510〇。再參照第53圖,係顯示典 型裝載機5000與裝載機之反應板51〇〇之底面圖。第53圖 所示之裝載機上之反應板之配置僅作為實例說明,而在變 更態樣中之裝載機之反應板可具有任何其他適當設計。變 更態樣中可具有更多或更少之反應板。在實施例中,反應 板5100係示於裝載機之底側,而在變更態樣中之反應板可 設在裝載機之任何其他預期側面或部份。實施例中之反應 板5100可由諸如鋼鐵或鋁材等金屬製成,然而亦可用任何 其他適當材料製成。其中一或多個反應板可由鋼材(磁性) 製成’以下將予詳述。裝載機上之反應板可包括一或多個 對應於轨道4100M,4100S上之強制器4120M,4120S之板 片5 102 ’藉以提供沿着主軌或側軌之推動力。如第$4圖所 略示。在第53圖中之反應板5102係示意為單一板片,但 亦可包括任何預期數目之板片,例如第2〇c,2〇1)圖所示 之設計。如前所述,執道上之強制器412〇(及第52,54圖 中相對段件之強制器部4120A,4120C)與對應之反應板 5102係大致對稱配置於裝載機及執道。變更態樣中之LIM 係反對.稱者。 89 200845274 第54圖所示之實施例中之裝載機5000係藉適當空氣 支承4200移動自如地支承於軌道上。第54圖所示之空氣 支承之分佈僅作為實例說明,而在變更態樣中之排出口可 用以提供任何其他預期氣壓分佈以穩定支承裝載機於軌道 上。變更態樣中之氣孔係設在裝載機上排氣以將裝載機從 軌道上提升。如前所述,在其他變更態樣中之裝載機與轨 道之間之活動支承係任何其他預期類型者,並係依附於執 段件或裝載機。空氣支承4200之氣孔及/或裝載機上之氣 (’ 體撞擊區可用以產生方向性作用力以啟動裝載機相對於執 道之水平導引。於第51-52圖及第54圖所示之實施例中, 軌道4100M,4100S可包括控制及導引系統413〇以導引裝 載機沿着軌道被推動時之移動。導引系統413〇係沿着主執 與側軌4100M,4100S延伸之非接觸式系統。實施例中之 各個軌段件A,C,D,L係包括導引系統413〇a,413〇c 之對應部份(見第52及54圖),當段件連接時可組合形成軌 f之大致連續性導引系統。變更態樣中之導引系統係獨立 ( 絲於執道上。其他變更態樣中之導引系統係、任何適當類 型者,並可與執道之支承系統(例如在其間連接之執道或褒 載機上之滾輪或輪子以助保持裝載機沿着執道移動時之定 ,向及水平定位)合併為—體’及/或與線性電動機(以下將予 _述)。併為豸’及/或與裝載機支承與線性電動機獨立設 ^。實施射設在執道41_,侧s之導引系統化〇 一 般具有大致平行於執道令之㈣強制器412()延伸之 磁執4130M,4130S。兮道?丨μ虹 μ 忒導引磁轨可與裝載機中之鋼材導引 90 200845274 板/元件互相作動以維持裝載機於相對於執道4100M, 4100S之預期水平位置。如前所述,實施例中之執段件A, C,D,L可各具有如第52及54圖所示之導引磁執之對應 部1430A,1430L。實施例中之之執段件A,C,D,L之導 引磁執部1430A,1430L具有二個沿着LIM強制器4120A 但設於對側之導引磁執4132,4134(如第54圖所示)。圖示 之導引磁執之位置係作為實例說明用。變更態樣中更多或 更少之導引磁執可設置於任何預期位置。與導引磁執配合 之裝載機之鋼材導引板/元件係以下將述之線性電動機之 其他部份之偏軸(相對於X軸)線性電動機反應板5104R, 5106R,5104L,5106L(見第53圖),或與線性電動機反應 板獨立設置之其他適當鋼材板/元件。在其他變更態樣中, 裝載機可導引磁性元件,而執道可具有設置以與裝載機上 之磁件相配合以形成執道導引系統之鋼材/磁性材料軌 道。導引系統亦包括連接於控制器以啟動裝載機沿着執道 之移動之定位/位置感應系統/裝置。該定位系統/裝置係與 前所援引之美國專利申請案第11/211,236號所述者類似。 亦可藉LIM之適當霍耳效應感應器以提供沿着主執與側執 之定位回饋。 爰參照第52圖所示之前述之軌段件C之示意平面圖 及典型之交接段件B。批量輸送器4100之其他交接段件係 與交接段件B大致類似。實施例中之段件B亦具有轉換線 性電動機強制器部4125。在實施例中與主執及側執之線性 電動機獨立之獨立線性電動機係設在交接部以啟動裝載機 91 200845274Should be $ rate / component, as will be detailed below. The motor coil in the modified aspect can be worn by a woman in a machine or a motor vehicle, and the magnetic reaction unit is mounted on the road. Referring to Fig. 51, the main stipulations of the 41 〇〇 M and the side executor core groups A ′ B, C, D, and L are representative, and the expected configuration is in the modified state. Unless otherwise noted, the obstruction modules A, B, C, d, L are generally similar. As shown in Fig. 51, the obstruction section (module) in the embodiment generally includes a single track segment (for example, A, C, D, L) and handover (the change of the melon can be changed) Any other expected modularized components are listed, and the specific obscuring module in the modified aspect may include a plurality of different loading and unloading conveyor paths) which are substantially phased and extended, and are referred to as non- The single-segment piece in the embodiment of the multi-functional module 1 may include a segment of the substantially straight line = Γ) L and a curved segment c, and the single-piece piece in the modified aspect may have other expectations shape. The transfer section B, 4102, 4102, the side rails ^ example ^ 41 § 〇〇 S and the main keeper 41 〇〇 M junction. Implementation as shown in 51 ® . , showing two handover segments 4102, 4102, as an example. And the configuration of the handoff section 4102, 4102 shown in Fig. 51 is only 87 200845274 as an illustration, having a single rail junction/branch on one side of the main rail 4100M (for example, relative to the X-axis of Fig. 51) Show the left side of the direction). In the change pattern, the handover segment can be branched to the right of the master. In another variation, the interface segment can have any other desired configuration, such as multiple branches in a segment, on opposite sides of the master, substantially directly opposite or opposite each other, or in the master Multiple branches on one side (eg left and/or right). The single-piece pieces A, C, D, L in the embodiment may have similar shapes although they have different shapes (e.g., straight lines, bends, etc.). Each of the segments A, C, D, L may be included in a corresponding portion of the LIM enforcer 4120. At the same time, as shown in Fig. 51, when the modular rail members are assembled in the LIM compulsion unit (with different controllers) in combination operation (using appropriate controllers), the basic continuity of the main rail and the side handle can be formed. The LIM Forcer 4120M, 4120S operates on the reaction plate of the loader and drives the loader to move over the entire length of the main rail and the side rails. The obedience in the change aspect may include one or more segments of the integrator. The compensator 4120 or the primary coil assembler as the LIM typically includes, for example, a steel lamination and phase windings that may be integrally formed with the rail member or may be enclosed in a compelling housing that is coupled to the segment. The forcing portion of each of the segments A, C, D, L (see segment C shown in Figure 52) may be segmented or continuous. The curved rail member C can have a forcing portion 4120C whose phase winding is designed such that the coil assembly forms a curve that matches the arc of the obedience, or has a segmented compensator portion that is designed to form a rough A curved forcing portion. The forcing portion of the segment in the modified aspect can have any other desired shape. The forcing portions of the segments A, C, D, and L can be symmetrically disposed on the track and the loader seated on the road. The force changer in the change mode can be reversed. 88 200845274 Symmetrically set on the road and the loader on it. Figure 54 shows a schematic end view of a typical segment A and a typical loader 5000 that is freely supported thereon. As mentioned above, the track (master and side) 41〇〇M, 4100S is generally equipped with an active force/impacter for the loader 5000, which is movably supported and guided to initiate movement of the loader along the way. As previously mentioned, in the embodiment, the motor of the loader is driven by LIM, and the enforcers 4120M, 4120S are operated on the loader/element 510 of the loader. Referring again to Fig. 53, a bottom view of the typical loader 5000 and the reaction plate 51 of the loader is shown. The configuration of the reaction plates on the loader shown in Figure 53 is for illustrative purposes only, and the reaction plates of the loader in the variations may have any other suitable design. There may be more or fewer reaction plates in the variant. In the embodiment, the reaction plate 5100 is shown on the bottom side of the loader, and in the modified aspect, the reaction plate can be placed on any other desired side or portion of the loader. The reaction plate 5100 in the embodiment may be made of a metal such as steel or aluminum, but may be made of any other suitable material. One or more of the reaction plates may be made of steel (magnetic), which will be described in detail below. The reaction plates on the loader may include one or more plates 5 102' corresponding to the forces 4120M, 4120S on the tracks 4100M, 4100S to provide urging forces along the main or side rails. As shown in Figure $4. The reaction plate 5102 in Fig. 53 is illustrated as a single plate, but may also include any desired number of plates, such as the design shown in Figures 2, 2, 1). As described above, the enforcer 412 〇 (and the compensator portions 4120A, 4120C of the opposite segments in Figs. 52 and 54) and the corresponding reaction plate 5102 are arranged substantially symmetrically on the loader and the way. The LIM in the change pattern is against. 89 200845274 The loader 5000 of the embodiment shown in Fig. 54 is movably supported on the rail by a suitable air bearing 4200. The distribution of the air bearing shown in Fig. 54 is for illustrative purposes only, and the discharge port in the modified aspect can be used to provide any other desired air pressure distribution to stably support the loader on the track. The venting holes in the modified aspect are vented on the loader to lift the loader from the track. As previously mentioned, the movable support between the loader and the track in other variants is any other desired type and is attached to the segment or loader. Air vents of the air bearing 4200 and/or gas on the loader (the body impact zone may be used to create a directional force to initiate horizontal guidance of the loader relative to the road. Figures 51-52 and 54 show In an embodiment, the rails 4100M, 4100S may include a control and guidance system 413 to guide movement of the loader as it is pushed along the track. The guidance system 413 is extended along the main and side rails 4100M, 4100S. Non-contact system. Each of the rail members A, C, D, L in the embodiment includes a corresponding portion of the guiding system 413〇a, 413〇c (see Figures 52 and 54), when the segments are connected The general continuity guiding system for forming the rail f can be combined. The guiding system in the modified aspect is independent (the guiding system in other changing modes, any suitable type, and can be devious The support system (for example, the roller or wheel connected to the carrier or the loader to assist in keeping the loader moving along the way, positioning and horizontal positioning) into a body and/or with a linear motor (The following will be described). It is also 豸' and / or with the loader support and line The motor is independently set. The implementation is set on the road 41_, and the guidance system of the side s generally has a magnetic armature 4130M, 4130S extending substantially parallel to the (4) enforcer 412() of the obstruction order. 兮道?丨μ虹The μ 忒 guided track can be moved with the steel guide 90 200845274 in the loader to maintain the expected horizontal position of the loader relative to the road 4100M, 4100S. As mentioned above, the section in the embodiment The pieces A, C, D, L may each have a corresponding portion 1430A, 1430L of the guiding magnets as shown in Figures 52 and 54. The guiding magnetics of the segments A, C, D, L in the embodiment The handles 1430A, 1430L have two guide magnets 4132, 4134 (shown in Figure 54) along the LIM-enforcer 4120A but on the opposite side. The position of the guide magnets is shown as an example. The more or less guiding magnets in the modified aspect can be placed at any desired position. The steel guides/components of the loader that cooperate with the guiding magnet are the other parts of the linear motor that will be described below. Off-axis (relative to the X-axis) linear motor reaction plates 5104R, 5106R, 5104L, 5106L (see Figure 53), or Other suitable steel plates/components that are independently placed on the linear motor reaction plate. In other variations, the loader can guide the magnetic components, and the obstruction can be configured to cooperate with the magnetic components on the loader to form a guide. The steel/magnetic material track of the lead system. The guidance system also includes a positioning/position sensing system/device that is coupled to the controller to initiate movement of the loader along the way. The positioning system/device is in accordance with the previously cited US patent. The application described in Application No. 11/211,236 is similar. The appropriate Hall effect sensor of LIM can also be used to provide positioning feedback along the main and side handles. Referring to the schematic plan view of the aforementioned rail member C shown in Fig. 52 and the typical junction section B. The other junction segments of the batch conveyor 4100 are generally similar to the junction segment B. The segment B in the embodiment also has a switching linear motor forcing portion 4125. In the embodiment, a separate linear motor independent of the main and side linear motors is provided at the interface to start the loader 91 200845274

在主執與側執之間之轉換,以下將予詳述。在實施例中之 轉換線性電動機係LIM,然而亦可採用其他適當線性電動 機。變更態樣可採用任何其他適當電子或機械轉換系統。 如第52圖所示,本實施例中之LIM強制器4125(供轉換電 動機用)係偏離主軌與側執之LIM強制器4120M,4120S而 設置。主軌之強制器部亦可如圖示般進一步分段4122, 4124,4126。主執強制器之分段4122,4124,4126係如圖 示般實質上分離,或可通過控制器作互相虛擬分離以供跨 過轉換LIM強制器4125之分段4124可獨立斷開而不關連 其他主執LIM強制器分段4122,4126。如第52圖所示之 強制器分段4122,4125,4124,4126及交接分段之導引系 統僅作為實例說明,而變更態樣中交接分段可具有任何其 他預期構造。如第52圖所示,實施例中之轉換LIM強制 器4125係沿着側執滙合/分支之方向(例如X軸之左側)偏離 主軌與側執強制器。實施例中之轉換LIM強制器4125具 有與主軌方向(示為X軸)大致平行定向之一端部4125M, 及與側執局部方向(如第52圖所示之b軸)大致平行定向之 另一端部4125S。在實施例中,側執在主軌進出之局部方 向(b轴)係定向於相對於主執行進方向(X軸)之銳角。因此 裝載機可藉X軸之衝量以啟動轉換而在移至側執時不會解 除整體X軸衝量(例如不會停在主執)。變更態樣中,側執 之進出口與主執方向之間之角度係視所需而設定。(諸如對 角,即使於該場合,轉換線性電動機之構造可利用X軸衝 量)。如第52-53圖所示,轉換LIM強制器4125之端部4125M 92 200845274The transition between the main and the side executives will be detailed below. The linear motor is converted in the embodiment, but other suitable linear motors can be used. Any other suitable electronic or mechanical conversion system can be used to modify the aspect. As shown in Fig. 52, the LIM forcing unit 4125 (for the switching motor) in the present embodiment is provided offset from the main rail and the side LIM forcing units 4120M, 4120S. The main track compensator can also be further segmented 4122, 4124, 4126 as shown. The segments 4122, 4124, 4126 of the master enforcer are substantially separate as shown, or may be virtually separated from each other by the controller for independent separation of segments 4124 across the conversion LIM enforcer 4125 without being related. Other master LIM enforcer segments 4122, 4126. The guidance system for the Forcer Segments 4122, 4125, 4124, 4126 and the handover segment as shown in Figure 52 is for illustrative purposes only, and the handover segment in the variant aspect may have any other desired configuration. As shown in Fig. 52, the conversion LIM enforcer 4125 in the embodiment is offset from the main rail and the side effector in the direction of the side junction/branch (e.g., to the left of the X axis). The conversion LIM forcing unit 4125 in the embodiment has one end portion 4125M oriented substantially parallel to the main rail direction (shown as the X-axis), and another direction substantially parallel to the side-side local direction (such as the b-axis shown in FIG. 52). One end 4125S. In an embodiment, the lateral direction (b-axis) of the side entry in and out of the main rail is oriented at an acute angle relative to the main forward direction (X-axis). Therefore, the loader can use the X-axis impulse to initiate the transition and will not cancel the overall X-axis impulse when moving to the side (for example, it will not stop at the main controller). In the change pattern, the angle between the entrance and exit of the side handle and the direction of the main direction is set as needed. (such as diagonal, even in this case, the configuration of the conversion linear motor can utilize the X-axis impulse). As shown in Figures 52-53, the end of the conversion LIM forcing 4125 is 4125M 92 200845274

係設置以操作主軌或側軌LIM之一或多個反應板5104, 5106。反應板5104, 5106係側向偏離(沿着γ轴)。此外, 反應板5106L,5106R亦可(沿着X軸)從裝載機之預期參考 點(例如中心點)作縱向(沿着χ軸)偏離。實施例中之反應板 係設在與側軸γ呈不同角度α,β之對角軸上。變更態樣中 之裝載機可具有較多或較少反應板之任何其他預期反應板 配置。如前所述,一或多個反應板51〇4L,51〇6[可採用轉 換LIM強制器4125以將裝載機從主軌41〇〇M轉換至側執 1〇〇8(及如第51圖所示在側執41〇〇;§,分段41〇2,之另一 端之滙合交接點作相反作用)。 如第52圖所示,實施例中之導引磁性部413〇係用以 轉換於主軌與侧執之間。由第52圖可見,實施例中之導引 磁執4136(桃鄰側執進口之側)係中斷,於是可免除裝載機 在轉換區中之磁性導引。對向之導引师4132(側執進口之 相對側)可包括具有可開關之傳動磁場之部件。舉例 ^ ’導執之部件4132〗可作成類似具有永久性磁件與捲 繞線圈之磁性夾頭,電流通過線圈時將可開關導引磁部件 之磁場。變更態樣中之傳動性磁部件可具有任何其他預期 配,置° s裝載機係持續在主執上時,導引磁部件4132j係“開 啟,而裝載機轉換至側軌時則被“關閉,,。將導引磁部件 ,關f才’時將可供裝載機作側向自由移動(偏離主執), 匕可能將不再保持於主執上。變更隸巾之導引磁件係 :裝載機上,交接段件導引系統可包括適當捲圈以產生相 ’於裝載機磁,之制衡磁場。交接段件另外具有與侧軌之 93 200845274 進口 〇>軸)大致對準之一或多個傳動性/操作性導引磁碟(未 予圖不),在被“開啟,,時將導引裝載機(由強制器4125所移 動)進入侧軌4100S。當裝載機係移動超過交接及持續在主 執上時,該導引磁部件將被“關閉,,。因此將裝載機從主執 轉換至侧執時,LIM強制器部4124係被關閉,而導引磁部 件4132J係被“關閉”。轉換UM強制器4125係被啟動。裝 載機在動力下從諸如強制器4122移動,直至轉換UM強 制器4125操作於對應之反應板51〇4L,51〇6L上。強制器 4125將促使裝載機從主執移向側執進口,並使裝載機移向 侧執直至側轨LIM強制器4120S操作於對應反應板51〇2 以持續沿着側執4100S移動。導引磁軌4130S獲得裝載機 之磁性元件以導引裝載機沿着側執41〇〇s移動。在裝載機 轉換於主軌至側軌時之位置回饋係由導引/定位系統所進 行,該導引/疋位系統係用以在交接予轉換Lim強制器之前 取得裝載機在主軌上之定位,當裝載機通過轉換LIM之轉 換時持續位置回饋,並允許交接予側執LIM。因此定位裝 置係任何適當類型者,連續式或分配式裝置(例如光學,磁 性,條碼,基準帶,鐳射/光束範圍或無線電範圍)定位以供 轉換時之位置回饋。 參照第52A圖之另一實施例之批量輸送器之交接段件 B’之另一平面圖。本實施例中之交接段件B,係與第52圖中 所示之段件B類似,除了另有註明者以外。第52A圖中之 導引磁執係未予圖示。在段件B’上之主執強制器部412〇M 亦具有與毗鄰強制器4122’,4126,中斷之分段4124,。於此 94 200845274 實施例中之側執LIM強制器4120B,可沿着主軌延伸,當裝 載機係在主軌時可供操作於裝載機之反應板5106L,。如第 52A圖所示之用以啟動轉換之裝載機之反應板5102,, 5106L’(如虛線所示)。執道LIM之反應板5102,係設置於主 執強制器段件4124,(例如與毗鄰“上游,,主軌強制器4122, 及反應板遠離5106L,)可用以與側軌LIM強制器4120B,配 合操作。因此,轉換主軌段件4124,可能中斷及側執強制器 4120B’可能啟動以將裝載機導引至側執。從側執轉換至主 軌亦以類似方式達成。變更態樣中之主軌與側軌之線性電 動機係任何適當線性電動機,諸如DC無刷電動機或其他 無刷鐵芯電動機。變更態樣中之永久性磁件反應元件係設 在裝載機中,而另一變更態樣中之永久性磁件係設在軌段 件(裝載機中之芯電動機)。在變更態樣中之相位捲繞器係如 預期設在軌道上(與第20A,20B圖所示者類似),或裝載機 取消磁件與電動機芯之間之磁場以免除由電動機之磁/鐵 芯元件之相互作用所提供之導引,並允許裝載機由一轨道 (轉換至另一執道。 再參照第51圖,實施例中之一或多個軌段件^可具有 供例如相互作用部4200之自動操縱裝置將裝載機從軌道上 舉升之區域I。該提升區!中之導引磁執413〇s係設有具備 傳動磁場之部件,與第2圖所示之部件4mj類似。變更 態樣中之相位捲繞器可用以取消在執道或裝載機中之磁件 與線性電動機似、或在軌道或裝載機中之鋼材反應板之間 之磁場,藉以“解放,,被截留在軌道上之裝冑機,及有助於 95 200845274 從軌道上提升裝载機。 再參照第53圖,實施例中之一或多個裝载機5〇〇〇可 具有聯結器5200以將一或多個裝載機聯結成一裝載機列 車。聯結器係任何適當類型者,諸如磁性聯結器可操作式 ^接於控制器以控制聯結賴放。變更態樣中之裝載機^ 聯結器係諸如機械聯結器。聯結器5200係概略圖示於第^ ^ ^在變更態樣中係如預期設置於裝載機上。裝載機間 以將由批量輸送器侧所輸送之二個以上 ==起。此舉可供一或多個被運送之裳載機作為該 ,動引擎’而列車中之其他裝載機係被動者。第51 ^ :貫施例之-衷載機列車。由圖中可知,被運送裝载 送過程中成為整批次,提供由列車中之“發動$ 機之移動所控制之所有裝載機之移 低;制器之負荷。列車中之特定裝載機之位置;:=The system is configured to operate one or more of the reaction plates 5104, 5106 of the main rail or side rail LIM. The reaction plates 5104, 5106 are laterally offset (along the gamma axis). In addition, the reaction plates 5106L, 5106R can also be longitudinally (along the yaw axis) offset from the intended reference point (e.g., center point) of the loader (along the X-axis). The reaction plate in the embodiment is disposed on a diagonal axis different from the side axis γ by an angle α, β. The loader in the modified aspect may have any other desired reaction plate configurations with more or fewer reaction plates. As previously mentioned, one or more of the reaction plates 51〇4L, 51〇6 [converting the LIM forcing device 4125 to convert the loader from the main rail 41〇〇M to the side handle 1〇〇8 (and as in the 51st The figure shows the side-by-side 41〇〇; §, the section 41〇2, and the other end of the junction is the opposite.) As shown in Fig. 52, the guiding magnetic portion 413 in the embodiment is used for switching between the main rail and the side handle. As can be seen from Fig. 52, the guide magnet 4136 (the side of the peach side entrance) in the embodiment is interrupted, thereby eliminating the magnetic guidance of the loader in the transition zone. The opposite guide 4132 (opposite side of the side entry) may include components having a switchable transmission magnetic field. For example, the 'guide member 4132' can be made into a magnetic chuck similar to a permanent magnetic member and a wound coil. When the current is passed through the coil, the magnetic field of the magnetic member can be switched. The transmission magnetic component in the modified aspect may have any other desired configuration. When the loader is continuously on the main control, the guiding magnetic component 4132j is "on, and when the loader is switched to the side rail, it is "closed". ,,. When the magnetic component is to be guided, the loader will be allowed to move laterally freely (offset from the main control), and may no longer remain on the main control. Changing the guide magnet system of the sub-belt: On the loader, the cross-section guide guiding system may include a suitable coil to generate a balance magnetic field of the loader magnet. The junction section additionally has one or more transmission/operability guide disks (not shown) that are substantially aligned with the side rails 93 200845274 inlet 〇 > axis, and will be guided when "opened" The lead loader (moved by the forcing 4125) enters the side rail 4100S. When the loader system moves past the handover and continues on the main control, the pilot magnetic component will be "closed." Therefore, when the loader is switched from the master to the side, the LIM forcing portion 4124 is closed and the guided magnetic member 4132J is "closed". The conversion UM enforcer 4125 is activated. The loader moves under power from, for example, the forcing device 4122 until the conversion UM controller 4125 operates on the corresponding reaction plates 51〇4L, 51〇6L. The forcer 4125 will cause the loader to move from the master to the side entry and move the loader to the side until the side rail LIM enforcer 4120S operates on the corresponding reaction plate 51〇2 to continue moving along the side hold 4100S. The guide track 4130S obtains the magnetic elements of the loader to guide the loader to move along the side sill 41 〇〇s. The position feedback mechanism when the loader is switched to the main rail to the side rail is performed by a guiding/positioning system for obtaining the loader on the main rail before handing over the conversion to the Limer Positioning, continuous position feedback when the loader converts through the conversion of the LIM, and allows the handover to the side LIM. Thus, the positioning device is positioned by any suitable type, continuous or distributed device (e.g., optical, magnetic, bar code, reference band, laser/beam range or radio range) for position feedback during conversion. Referring to another plan view of the transfer section B' of the batch conveyor of another embodiment of Fig. 52A. The junction section B in this embodiment is similar to the section B shown in Fig. 52 except as otherwise indicated. The guiding magnetism in Fig. 52A is not shown. The master enforcer portion 412〇M on the segment B' also has a segmentation 4124 with adjacent aborts 4122', 4126, interrupted. The side-by-side LIM-enforcer 4120B in the embodiment of this invention can be extended along the main rail to be operated on the loader's reaction plate 5106L when the loader is attached to the main rail. The reaction plates 5102, 5106L' (shown in phantom) for the loader to initiate the conversion as shown in Fig. 52A. The reaction plate 5102 of the LIM is disposed on the main actuator segment 4124 (for example, adjacent to the "upstream, the main rail forcing 4122, and the reaction plate away from 5106L") and the side rail LIM forcing 4120B, Cooperate with the operation. Therefore, the main rail member 4124 can be switched, and the armor 4120B' may be activated to guide the loader to the side. The transition from the side to the main rail is also achieved in a similar manner. The linear motor of the main rail and the side rail is any suitable linear motor, such as a DC brushless motor or other brushless iron core motor. The permanent magnetic member reaction element in the modified aspect is set in the loader, and another change The permanent magnetic part in the aspect is set in the rail piece (core motor in the loader). The phase winder in the modified aspect is set on the track as expected (as shown in Figures 20A, 20B) Similarly, or the loader cancels the magnetic field between the magnetic member and the motor core to avoid the guidance provided by the interaction of the magnetic/core elements of the motor and allows the loader to be switched from one track to another. Referring again to Figure 51, implementation One or more of the rail members may have an area I for lifting the loader from the rail by, for example, an automatic manipulator of the interaction portion 4200. The guide magnets in the lift zone! There is a component with a transmission magnetic field, similar to the component 4mj shown in Fig. 2. The phase winder in the modified aspect can be used to cancel the magnetic component in the obey or loader like a linear motor, or in orbit or loading The magnetic field between the steel reaction plates in the machine, by means of "liberation, the loader that is trapped in the track, and helps 95 200845274 to lift the loader from the track. Referring again to Figure 53, in the embodiment One or more loaders 5 can have a coupler 5200 to couple one or more loaders into a loader train. The coupler is of any suitable type, such as a magnetic coupler operatively coupled to the controller The loader in the change mode is a mechanical coupler such as a mechanical coupler. The joint diagram of the coupler 5200 is shown in the figure in the modified state as expected on the loader. To be transported by the bulk conveyor side More than two ==. This action can be used as one or more of the transported carry-on machines as the moving engine' and the other loaders in the train are passive. 51 ^: Example - the carrier Trains. As can be seen from the figure, the whole batch is delivered during the loading and unloading process, providing the lowering of all loaders controlled by the “Moving Machine Movement” in the train; the load of the controller. The specific loading in the train. Position of the machine;:=

Sit車相對於_參考之控制中(例如“發動J擎,? 各裝載機作為列車移動時之 =機 搜尋執道上之列車位載機之個別控制時,控制器將 置以識別裝載機在執道上之概略位置;:; 執道疋位系㈣細部定位 了利用 接後之定位可藉任何其他預期方:達:二機列車脫 之定位可達成載機。裝載機列車令之物丨擎 這成以支叙預期操作參數&此外,發㈣擎之位 96 200845274 置可藉停止一發 成為發動料_擎裝韻及啟動列車+之另—裝載機 ,+時予以轉換。 羑參照第55闻 ^ β 之示意端部立面: 另一實施例之輸送系統Α4000 置僅作為實例第55圖所示之實施例之輪送系統之配 其他適當配置。μ而變更態樣中之輸送系統可具有任何 一般係類似於前、/ 55圖所示實施射,輸送系統Α4_ 特徵係以類似編ΐ及第51圖所示之輸送系統4麵’(類似 速批量或大量_表示)。輸送系、统Α4000 一般包括有一快 輸送部Μ100;ΓΓ4100及一介面部42〇〇。該快速批量 55 II - /、有一或多個快速批量輸送路徑Α4102(第 送路:=可^ 量輸送,諸如類\機謂⑼在刚中之批 A4100之批量料,、,々 大。貫施例中之批量輸送部 載機A着C " I A41G2亦可用大致固定速率輸送裝 3 ^ 行進方向行進(至少沿着路徑之-部份)。批 之路徑可彻類似前述之方式互 】:::介面部4200。實施例中’介面部A42〇〇可將裝載 有穿^批量輸送及加m。介面部八伽一般且 ΐϊ=ΓΓ存部A4204。如前所述,儲存部—4 :==Γ:4Α,為數個加工裝置提供儲存或緩 衝裝載機。儲存位置A42〇4A可以 有效地緩衝加I裝置之裝載機。f梭;配置措以 ^ τ饮邵A4202具右矸蔣ρ 載機連接於料部A42G4之财位置及加工裝置^裝齡 97 200845274 面(例如裝載埠)之間之數個饋料自動操縱裝置a4204。實施 例中之輸送系統A4000可具有輸送手動切斷部a4300用以 連接所輸送之裝載機A5000,例如在批量輸送部路徑A41〇〇 上以大致固定速率輸送者’久;丨w v w疋,貫施 例中之輸送系統A400係異步輸送系統,即使裝載機行進路 徑係以大致固定速率輸送之輸送系統路徑之部份。實施例 中,輸送手動切斷部A4300可將由輸送系統A4〇〇〇從裝載The Sit car is in contrast to the control of the _ reference (for example, “Starting the J engine,” when each loader is used as a separate train control on the train, the controller will set the identification loader to The general position on the road;:; The squatting position (4) The detailed positioning of the position can be borrowed from any other expected party: Da: The position of the second train can be reached to achieve the carrier. The loader train makes the object In order to support the expected operating parameters & In addition, the hair (four) engine position 96 200845274 can be stopped by one to become the engine _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ The schematic end façade of the suffix ^β: The transport system Α4000 of another embodiment is provided only as a suitable configuration of the wheeling system of the embodiment shown in the example of Fig. 55. The transport system in the modified aspect can be With any general system similar to the previous, / 55 map implementation, the transport system Α 4_ features a similarly edited and shown in Figure 51 of the transport system 4 face ' (similar to the speed batch or a large number of _). Reunification 4000 generally includes a quick The delivery unit Μ100; ΓΓ4100 and a face 42〇〇. The fast batch 55 II - /, one or more fast batch delivery paths Α 4102 (the first delivery: = can be transferred, such as class \ machine said (9) in the middle Batch batch A4100 batch material,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,, The path of the batch can be similar to the above-mentioned way:::: the face 4200. In the embodiment, the 'face A42〇〇 can be loaded with the wear and mass transfer and add m. The face is eight gamma general and ΐϊ=ΓΓ Port A4204. As described above, the storage unit -4:==Γ:4Α, provides a storage or buffer loader for a plurality of processing devices. The storage position A42〇4A can effectively buffer the loader of the I device. The configuration measures ^ τ 饮 邵 A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A A The conveying system A4000 in the example may have a conveying manual cutting portion a4300 for Connecting the transported loader A5000, for example, on the bulk transport section path A41〇〇 at a substantially fixed rate for a long time; 丨wvw疋, the transport system A400 in the embodiment is an asynchronous transport system, even if the loader travel path is A portion of the delivery system path that is delivered at a substantially fixed rate. In an embodiment, the delivery manual cut-off A4300 can be loaded from the delivery system A4

機以大致固定速率行進之輸送路徑八41〇2輸送時之裝載機 輸送速率測定作業中斷接。 、 再參照第55圖,批量輸送部之路徑A41〇2係備有任 何預期類型之批量傳動器系統。爰參照第55A II,圖示實 施例中批量輸送部八侧之路徑純〇2係 作為實例說明。由此可知,== 歸機支承或裝載面A46G4,可將裝_ A5_支承 1 ]帶A4103以供輸送。由此亦可知’傳輸帶a伽及 ::二:機裝載面(由傳輸帶所形成或衍生)可沿着路徑之輸 =向以大致固定輸送速率予以移動(在第Μ圖^The conveyor is transported at a substantially fixed rate. The loader of the transport speed measurement operation is interrupted. Referring again to Fig. 55, the path A41〇2 of the batch conveying section is provided with a batch actuator system of any desired type. Referring to Fig. 55A II, the path pure 〇 2 of the eight sides of the batch conveying section in the embodiment is illustrated as an example. From this, it can be seen that == return to the support or loading surface A46G4, the loading _ A5_ support 1 ] can be carried A4103 for transport. It can also be seen that the 'transport belt a agall>: two: the machine loading surface (formed or derived by the conveyor belt) can be moved along the path = to move at a substantially fixed conveying rate (in the second picture ^

頊^ 所表示。變更態樣中用以將裝載機沿着批 I 之路控傳動之值勒哭金 4糸統 之傳動4統可具有任何預期構造 。,〜路徑可具有如前所述之固態 如滾輪,液態支承等機械構成傳動器裝動^在二:有諸 樣甲’該路徑可為自動化或半自動 在二他變更態 之傳動器系統可予以設計以操作使由系路徑 «大致固定速率輪送’或可操作使輪送速率依二裝有載二係 98 200845274 化。於此場合,輸送手㈣斷部可提供預期路徑(或其部份 之傳動器系統之作業以維持大致固定輸送速率而不受由I 動器系統所輸送之裝載機之輸送速率測定作業所影響。 一於,55A圖所示之實施例中,批量輸送部路徑A*〗的 係示,高架系統,設在加工裝置之高架位置。變更態樣中 之批量輪送部路徑係設置於相對於裝置及裝置之裝&介 α之任何預期高度。w55C圖中所示之實施例二 ( 之裝載機A5_係、典型代表。裝載機A5〇〇〇係與前述及第 3—6A/6B圖所示之裝載機類似。實施例中之裝載機A5_ 一般具有上側介面部A5〇〇2(例如用以提供裝載機之連接與 接&於裝載機上側或上方者)及下側介面_八5_(例如用 以提供裝载機之連接與接合於裝載機下側或下方者)。該裝 載機可具有如前述之側開口,頂開口或底開口。變更態樣 _之裝載機可具有任何預期之連接/接合面配置(例如^面 接合)以將裝載機連接於輸送系統及加工裝置之裝載介 * ,第55圖之實施例中所示之加工裝置之裝載介面LP係 (典型代表。實_巾,裝載介面LP可心連接裝載機之下 側介面部心〇4,而在變更態樣中之裝置裝載介面可設計 、接口互補裝載機接合部件於裝載機之任何預期側面。如 第55圖所示相對於輪送系統A4000之裝置裝載介面[ρ之 位置僅作為實例說明,而在變更態樣中之裝置裝載介面可 相對於輸,作預期定位。第55,55A圖中=2 ^中之批里輸送部路徑A41G2之傳動系統可具有裝載機支 104用以接合裝載機A5〇〇〇之上側介面部。第 99 200845274 55’55Α圖中所示之裝 載機支承可具料何適當構典型代表,而裝 之接合部件相互配合及操作以從傳動=::, 及支持輸送過程中之本 α上釋放自如地抓取 由在路徑下側懸垂之 機下側介面A5004在路徑 f予以裝載。裝載 由+ 輸达時可W存取(例如 ί 存取)。變更態樣中,路徑之傳動器顼^ is indicated. In the variant, the drive system used to drive the loader along the batch I can be of any desired construction. , ~ path can have the solid state such as the roller as described above, the liquid support and other mechanical components of the actuator are mounted ^ in two: there are various types of 'the path can be automated or semi-automatic in the two change state of the actuator system can be Designed to operate by the system path «substantially fixed rate of rotation" or operable to bring the rate of rotation to the second line 98 200845274. In this case, the conveyor hand (four) break can provide the desired path (or part of its actuator system operation to maintain a substantially fixed delivery rate without being affected by the load rate measurement operation of the loader delivered by the actuator system) In the embodiment shown in Fig. 55A, the system of the batch transport unit path A* indicates that the overhead system is located at the elevated position of the processing device. The batch transfer path in the modified aspect is set relative to The device and the device are loaded with any desired height of the device. The second embodiment shown in the figure (loader A5_, typical representative. Loader A5 and the above and 3-6A/6B) The loader shown in the figure is similar. The loader A5_ in the embodiment generally has an upper side face A5〇〇2 (for example, to provide connection and connection of the loader & on the upper side or the upper side of the loader) and a lower interface _八5_ (for example, to provide connection of the loader and to the underside of the loader or below). The loader may have a side opening, a top opening or a bottom opening as described above. The changeable loader may have Any expected connection/joining surface (for example, surface bonding) to connect the loader to the loading system and the loading device of the processing device, the loading device LP system of the processing device shown in the embodiment of Fig. 55 (typical representative, real towel, loading interface LP The lower side of the loader can be connected to the face of the face, and the device loading interface in the modified form can be designed to interface with the complementary loader engagement member on any desired side of the loader. As shown in Figure 55, relative to the wheel The loading interface of the device of the system A4000 [the position of ρ is only used as an example, and the loading interface of the device in the modified state can be positioned relative to the input. In the 55th, 55A, the delivery section of the batch = 2 ^ The drive system of the path A41G2 may have a loader 104 for engaging the upper side of the loader A5. The loader support shown in the 99 200845274 55'55 is capable of being properly represented. The engaging members cooperate and operate to be freely grasped from the transmission =::, and the support α during the conveyance process. The lower interface A5004, which is suspended from the lower side of the path, is loaded on the path f. The loading is performed by + Da W may be accessed (e.g., access ί). Change aspect, the path of the actuator

ί. 承之裝載機可具有任何預期構造以將傳動中之裝載機 接合及支承於裝載機之任何預期侧 可接合或連接於裝載機底面)。 (例如傳動β 再參照第55圖’由前述可知,輸送系統之介面部八侧 二為類似前述及第41_46圖及第51圖所示之介面系統 3300 ’ 4200。介面系統Α42〇〇具有選擇性變化數目 之輸送器行進平面(諸如由高架起重機A42G1所構成者), 由穿梭機及饋料自動操縱裝置A42G2所橫越。如前所述, 變«樣中之介面系統可具有任其他預期構造。實施例中 之阿架起重機A4201及儲存位置A42〇4係嵌裝於批量輸送 部之路徑A41〇2之間。饋料自動操縱裝置八42〇4可設計以 k裝載機上側介面A5002接合裝載機A5〇〇〇,及從上方支 承裝载機。穿梭機(未予圖示)可從下方或上方支承裝載機。 變更恶樣中之介面部之自動操縱裝置及穿梭機可具有任何 適當配置。如前所述,裝載機在批量輸送部A41〇〇與介面 部4200之間之手動切斷可由手動切斷部A43〇〇予以執行, 以下將予詳述。 100 200845274 如第55,55A圖所示,手動切斷部A4300 —般具有可 存取及截取沿着批量輸送路徑(諸如以路徑之大致固定輸 送速率)上輸送之裝載機之車架表面,將裝載機從路徑上脫 接及將裝載機定位於一降位站以供介面部A4200之自動操 縱裝置/穿梭機可進取裝載機。爰參照第55B-55D圖,實施 例中之手動切斷部A4300具有數個裝載機A4302(其中一個 予以圖示作為實例說明)。由圖中可知,車架A4302係機動 車或任何其他適當傳動機制或系統,可在裝載機以輸送速 率輸送於路徑上時對準定位於裝載機。因此該車架A4302 可沿着路徑之輸送方向(由箭頭X所示)行進足夠距離以供 車架連結於裝載機及使裝載機與批量輸送傳動支承A4104 脫接。實施例中之車架A4302係示意為騎乘於執道或路徑 A4304上之機動車。該執道A4304係設在批量輸送部之路 徑A4102下方(見第55圖)。例如該執道A4304可由吊架懸 掛於高架上。圖示實施例中,執道及其上之車架A4302亦 設置於介面部之下方。如前所述,變更態樣中之手動切斷 部車架可具有任何其他適當構造。由此可知,實施例中之 手動切斷部之配置可供車架進取路徑上之裝載機於諸如路 徑之個別部份。手動切斷部可分佈於路徑之適當部件上。 由第55D圖可詳見,車架A4302具有裝載機介面A4306用 以接合及截取裝載機於路徑上。車架A4302之裝載機介面 A4306可具有任何適當配置。實施例中之裝載機介面A4306 可具有用以接合諸如裝載機之下側介面A5004之接合部件 (見第55A圖)。舉例而言,車架介面Α43Ό6可具有動能連 101 200845274 結部件以輔助裝載機之動能連結部件以在接合時產生裝載 機與車架之間之接合與固定被動鎖之被動式對準。變更態 樣中之車架介面可具有任何其他預期被動式或主動式連結 或接合系統(例如夾持磁夾頭等)以截取裝載機。如第55B 圖所示,車架A4302可被支承於軌道A4304上使車架A4302 之裝載機介面A4306可充份對準裝載機A5000以啟動連 結。由此可知,車架執道A4304係足以供車架A4302加速 以配合路徑A4102之行進速率,對準及截取由路徑所傳動 之預期裝載機A5000及將裝載機從路徑支承A4204上脫 接。實施例中之車架執道A4304應足以供車架減速至預期 速度,以供手動切斷介面系統A4200於降位站DS。實施例 中之降位站DS係靜定,而其位置可作變化選擇(諸如沿着 手動切斷部執道A4304)。變更態樣中之車架可設置於執道 上,諸如在迴路軌道上,以大致配合路徑之行進速率移動。 如第55A,55D圖所詳示,實施例中之手動切斷部 A4300之車架表面具有Z方向之移動,藉以與裝載機在路 徑上閉合,及從路徑支承上裝/卸裝載機。圖示實施例中, 車架設有適當Z驅動器(諸如導引螺栓,液壓式,電子-磁 力式等)用以驅動車架介面A4306於Z方向。 因此,藉由實施例可知,為了從路徑上卸除裝載機, 車架介面A4306可升高以接觸裝載機介面A5004(車架與裝 載機對準)。裝載機介面可進一步升高,例如在連結裝載機 以啟動從路徑釋脫裝載機A5000之後(作為實例說明之相 對於路徑之車架行進速率可予以改變·,諸如加速/減速以利 102 200845274 ^載機從路徑支承上釋脫)。從路徑上釋脫之料機可由車 架A4302予以降低,使裝載機清除由路徑所傳動之裝載機 之輸送範圍。利用手動切斷部A43〇〇將裝載機装載㈣和 ^可由大致類似但相向方式予以達成。變更態樣中,車架 介面之Z方向移動可以任何其他預期方式予以啟動,諸如 支承執道可具有Z'驅動器或提升器,或車架之支承表面可 同高度’諸如上升及下降斜坡道以升降車架以接觸 門ίΐίίί機。於另一變更態樣中,沿着軸線移動以關 由適當驅動器或路徑或裝載機之其他位 j裝置(例如路徑支承可具有ζ_軸驅動器)予以啟動。再— 二以關閉或移動裝載機及車架以利用手動切 斷錢裝載機連結或脫離路徑之軸 (相對於地面參考框架)。 ”頂4方向 Α4300 ϋ彳55B C圖所詳不及如前所述,手動切斷部 3〇〇具有降位站DS設置由介面部a· 置Α4202予以存取。會竑仓丨士々 目動麵縱裝 认 例中之降位站仍可偏離批量輸 ,部路徑之輸送範圍ΤΕ及其上傳動之裝載機,諸如γ ^ :變更謝之偏離可沿着任何預期 ==於第55Β-55。圖),-般係代表從路徑所形成: :=側向偏位’有助於介面部綱。存取上側= 二1⑼2。另外’實施例中當裝載機係由車架A4302 3树位站DS時,裝載機之上側介面Μ麵可自= σ,丨面4 A42GG,因車架係連接裝載 Α5〇〇2〇因此在實施例巾 衣戰機"面 ο中手動切斷系統車架可設計以將f 103 200845274 載機設置於儲存位置’而介面部可從儲存位置存取雙載 機°另-變更‘態樣中,手動切斷部車架及介 摔 裝置可連接裝載機於-通用介面上。實施例中,裝載^ 頂面存取可供介面部採用其饋料自動操縱裝置A42〇2以將 裝載機連接降位站DS。變更態樣中之手動切斷部之降位站 可偏離輸送範圍之任何適當方向以供介面部存取及 載機於降位站。The loader can have any desired configuration to engage and support the loader in the drive to any desired side of the loader that can be engaged or attached to the underside of the loader). (For example, the transmission β is further referred to FIG. 55.) As can be seen from the foregoing, the eight sides of the delivery system are similar to the interface system 3300' 4200 shown in the above and the 41st to 46th and 51st. The interface system Α42〇〇 is selective. A varying number of conveyor travel planes (such as those constructed by overhead crane A42G1) are traversed by the shuttle and feed automatic manipulator A42G2. As previously described, the interface system in the sample can have any other desired configuration. In the embodiment, the A-frame crane A4201 and the storage position A42〇4 are embedded between the path A41〇2 of the batch conveying section. The feeding automatic control device 八42〇4 can be designed to be loaded by the k-loader upper interface A5002. Machine A5〇〇〇, and supporting the loader from above. The shuttle (not shown) can support the loader from below or above. The automatic control device and shuttle can change the face in the case of any kind. As described above, the manual disconnection between the batch conveying unit A41 and the intermediate surface portion 4200 by the loader can be performed by the manual cutting unit A43, which will be described in detail below. 100 200845274 As shown in Figures 55, 55A, the manual cut-off portion A4300 generally has a frame surface that can be accessed and intercepted by a loader transported along a bulk transport path, such as at a substantially fixed transport rate of the path, to load the loader from The path is disengaged and the loader is positioned at a lowering station for the automatic manipulator/shuttle machine of the face A4200. The manual cut-off portion A4300 of the embodiment has a number of references to the 55B-55D Loaders A4302 (one of which is illustrated as an example). As can be seen from the figure, the frame A4302 is a motor vehicle or any other suitable transmission mechanism or system that can be aligned when the loader is transported on the path at the transport rate. The loader A4302 can therefore travel a sufficient distance along the path of transport (shown by arrow X) for the frame to be attached to the loader and to disengage the loader from the bulk transport drive support A4104. The frame A4302 is illustrated as a motor vehicle riding on the road or path A4304. The road A4304 is located below the path A4102 of the bulk conveyor (see Figure 55). For example, the road A4304 can be The hanger is suspended from the overhead frame. In the illustrated embodiment, the road and the frame A4302 thereon are also disposed below the face portion. As described above, the manual cut-off frame in the modified aspect may have any other It is understood that the configuration of the manual cutting portion in the embodiment can be applied to the loader on the frame access path, such as an individual part of the path. The manual cutting portion can be distributed on the appropriate components of the path. As can be seen in detail in the 55D, the frame A4302 has a loader interface A4306 for engaging and intercepting the loader on the path. The loader interface A4306 of the frame A4302 can have any suitable configuration. The loader interface A4306 in an embodiment may have engagement members for engaging a lower interface A5004 such as the loader (see Figure 55A). For example, the frame interface Α43Ό6 may have a kinetic energy connection 101 200845274 knot component to assist the kinetic energy coupling component of the loader to create a passive alignment of the engagement between the loader and the frame and the fixed passive lock upon engagement. The frame interface in the modified state can have any other intended passive or active coupling or engagement system (e.g., clamping a magnetic chuck, etc.) to intercept the loader. As shown in Fig. 55B, the frame A4302 can be supported on the track A4304 so that the loader interface A4306 of the frame A4302 can be fully aligned with the loader A5000 to initiate the connection. It can be seen that the frame A4304 is sufficient for the frame A4302 to accelerate to match the travel rate of the path A4102, align and intercept the intended loader A5000 driven by the path and disconnect the loader from the path support A4204. The frame A4304 in the embodiment should be sufficient to decelerate the frame to the desired speed for manually cutting the interface system A4200 to the derating station DS. The descent station DS in the embodiment is statically determined and its position can be changed (e.g., along the manual cut-off section A4304). The frame in the modified aspect can be placed on the way, such as on the loop track, to move substantially at the rate of travel of the path. As detailed in Figs. 55A and 55D, the frame surface of the manual cutting portion A4300 in the embodiment has a Z-direction movement, thereby closing the loader with the path, and loading/unloading the loader from the path support. In the illustrated embodiment, the frame is provided with a suitable Z drive (such as a guide bolt, hydraulic, electronic-magnetic, etc.) for driving the frame interface A4306 in the Z direction. Thus, by way of example, in order to remove the loader from the path, the frame interface A4306 can be raised to contact the loader interface A5004 (the frame is aligned with the loader). The loader interface can be further raised, for example, after attaching the loader to initiate the release of the loader A5000 from the path (as an example, the frame travel rate relative to the path can be changed, such as acceleration/deceleration to benefit 102 200845274 ^ The carrier is released from the path support). The hopper that is released from the path can be lowered by the frame A4302 to allow the loader to clear the range of the loader driven by the path. Loading the loader (4) and ^ using the manual cut-off portion A43 can be achieved in a substantially similar but opposite manner. In a variant, the Z-direction movement of the frame interface can be initiated in any other desired manner, such as the support track can have a Z' drive or lifter, or the support surface of the frame can be at the same height as the rise and fall ramps Lift the frame to contact the door ίΐ ίί. In another variation, movement along the axis is initiated by a suitable drive or path or other bit device of the loader (e.g., the path support can have a ζ-axis drive). Again - two to close or move the loader and frame to manually disconnect the axis of the money loader from or out of the path (relative to the ground reference frame). "Top 4 direction Α 4300 ϋ彳 55B C picture is not as detailed as above. The manual cut-off part 3〇〇 has the lowering station DS setting accessed by the interface part a· Α 4202. The degrading station in the face longitudinal assembly can still deviate from the bulk transmission, the delivery range of the partial path and the loader on the upper transmission, such as γ ^ : the deviation of the change can be along any expectation == at 55th-55 Fig.), the general representative is formed from the path: := Lateral offset 'helps to help the facial class. Access upper side = two 1 (9) 2. In addition, in the embodiment, when the loader is framed by the frame A4302 3 When station DS, the upper interface of the loader can be self-synchronized from σ, 丨4 A42GG, and the frame is connected to Α5〇〇2〇, so the system frame is manually cut off in the embodiment towel machine" It can be designed to set the f 103 200845274 carrier in the storage position 'the face can access the dual carrier from the storage position ° another - change' aspect, the manual cut-off frame and the device can be connected to the loader - a common interface. In the embodiment, the top surface access is available for the interface to adopt the automatic feeding device A42 2 is connected to the loader stand demoting the DS. Changes in the manual aspects of the cutting portion can be reduced level station conveying direction departing from the scope of any suitable interfaces for accessing and portions contained in the machine-level station down.

如第55B-55C圖所詳示,實施例中之裝載機A5_可 由車架A4302移動往復於降位站DS。舉例而言,車架可具 有適當Y-驅動器(驅動器可視需要提供車架或至少舻載機 之介面/支承部份沿着偏離方向自由移動)以提供車架移動 裝載機至降位站。舉例㈣,車架介面A侧可設在移動 自如之支承上以沿着Y·方向移動。變更態樣中之車架可諸 如與裝載機-併沿着Y·方向移動以將裝載機移至降位站。 再另-實施例中,執道可作成諸如彎曲(例如無端迴路)偏離 輸送範圍,使沿着軌道行進之車架被導引至降位站。 爰再參照第56-56A圖,顯示另一實施例之典型輸送系 統A4_,之示意平面圖及立面圖。料56_56a圖所示實 施例之輸送系統A4000,係大致類似於前述之輸送系统 A4_(類似部件係以類似編號代表)。輸送系、统八4〇〇〇,一般 具有一批量輸送部A4100,,具有數個路徑A41〇2,,一介面 部A4200,(圖示為高架起重機作為實例)及一手動切斷部 Α430(Τ以手動切斷在批量輸送部與介面部之間之裝載機 A 5 0 0 0 ’及提供裝制由預期批量輸送料㈣以傳動以維 104 200845274 持大致固定行進速率。實施例中,手動切斷部A4300’之降 位站DS’與路徑A4102’之輸送範圍TE’之間之分離或偏離 (允許輸送速率測定裝載機操作/作業在輸送範圍以外進行) 可由路徑A4102,之方向改變所啟動。如第56圖所詳示, 實施例中之路徑 < 具有彼此相對方向不同之部件 A4102A,,A4102B,,A4102C’。例如可在支架/分路部之交 接點,路徑之端部發生(見第29A-29B圖及第51圖)。諸如 示於第56圖中之實施例,具有不同方向之路徑部 A4102A’,A4102B,,A4102C’一般係形成二彎曲部,各具 有足夠尺寸以提供輸送範圍TE’與手動切斷部之間之預期 分離以構成降位站DS。如前所述,圖示之路徑部之方向及 配置僅作為實例說明。實施例中之各部件具有手動切斷部 A4300’,係大致彼此類似及類似前述及第55A-55D圖所示 之手動切斷部A4300。各手動切斷部A4300,具有車架及橫 向軌道A4304’用以從路徑A4102’上裝/卸裝載機A5000,(亦 見第56A圖)(類似前述方式)。各手動切斷部A4300D,可具 有供裝載機用之降位站DS’。實施例中,降位站DS,係大致 對齊執道A4304’(同時亦對齊路徑之輸送範圍τΕ,之部份下 游或上游部,如第56圖所示)。實施例中,手動切斷部之 一部件A4300,A4300B可用以將裝載機從路徑上卸除,而 另一部件可用以將裝載機裝載於路徑上。舉例而言,部件 A4300’可從路徑部A4102A’連接及抓取裝載機。卸除之裝 載機A5000’可被引領至降位站DS,,諸如被設置於執道 A4304’之端部,以手動切斷至介面部A42〇〇,。預期裝載於 105 200845274 路徑上之裝载機可由介面部A4200,引領至部件A43〇〇B,之 降位站DSB以進行手動切斷。然後該手動切斷部oob, 可移動裝載機,配合路徑部A41〇2c,之輸送速率及方向, 及將裝載機裴載於路徑上。變更態樣中,手動切斷部之各 邛件可將裝載機裝/卸至/自路徑(例如軌道可具有多個降位 站設定以支承裝載機相對於職之裝載或#除,及/或車架 可沿着執道_以啟動裝載及卸除㈣。於是輸送系統 A4000’可被異步化。As detailed in Figures 55B-55C, the loader A5_ of the embodiment can be moved back and forth by the frame A4302 to and from the lowering station DS. For example, the frame may have a suitable Y-drive (the drive may optionally provide a frame or at least the interface/support portion of the loader is free to move in an offset direction) to provide a frame to move the loader to the lowering station. For example (4), the side of the frame interface A can be placed on a freely movable support to move in the Y· direction. The frame in the modified aspect can be moved to the loader - and in the Y direction to move the loader to the lowering station. In still other embodiments, the obstruction may be made such as a curved (e.g., endless loop) offset from the delivery range such that the frame traveling along the track is directed to the derating station. Referring again to Figures 56-56A, a schematic plan view and elevational view of a typical transport system A4_ of another embodiment is shown. The delivery system A4000 of the embodiment shown in Fig. 56_56a is substantially similar to the previously described delivery system A4_ (similar components are represented by like numbers). The conveyor system, the general system, has a batch conveyor A4100, has a plurality of paths A41〇2, a face A4200, (illustrated as an overhead crane as an example) and a manual cut-off unit 430 ( Τ Manually cut the loader A 500 ' between the batch conveyor and the media face and provide the package with the expected bulk feed (4) to drive at a substantially fixed travel rate of dimension 104 200845274. In the embodiment, manually The separation or deviation between the lowering station DS' of the cutting portion A4300' and the conveying range TE' of the path A4102' (allowing the conveying rate to determine that the loader operation/work is performed outside the conveying range) can be changed by the direction of the path A4102 Startup. As detailed in Fig. 56, the path in the embodiment < has components A4102A, A4102B, A4102C' which are different from each other in direction. For example, at the junction of the bracket/splitting portion, the end of the path occurs. (See Figures 29A-29B and 51.) For example, in the embodiment shown in Figure 56, the path portions A4102A', A4102B, A4102C' having different directions are generally formed with two bends, each having a sufficient size to mention The desired separation between the delivery range TE' and the manual cut-off portion to form the lowering station DS. As previously mentioned, the direction and configuration of the illustrated path portion is illustrated by way of example only. The components of the embodiment have manual cuts. The broken portion A4300' is substantially similar to each other and similar to the manual cutting portion A4300 shown in the above and the 55A-55D. Each manual cutting portion A4300 has a frame and a lateral track A4304' for loading from the path A4102'. / Unloader A5000, (see also Figure 56A) (similar to the above). Each manual cut-off A4300D may have a lowering station DS' for the loader. In the embodiment, the descent station DS is substantially Align the way A4304' (also align the path of the transport range τ Ε, part of the downstream or upstream part, as shown in Figure 56.) In the embodiment, one of the manual cut-off parts A4300, A4300B can be used to load the loader Dismounting from the path, and another component can be used to load the loader on the path. For example, component A4300' can connect and grab the loader from path portion A4102A'. The unloading loader A5000' can be led To the drop station DS, such as is set Execute the end of A4304' to manually cut to the face A42〇〇. It is expected that the loader loaded on the path of 105 200845274 can be led to the part A43〇〇B by the face A4200, and the descent station DSB Manual cut-off is performed, and then the manual cut-off portion oob, the movable loader can be moved, the conveyance rate and direction of the path portion A41〇2c, and the loader loader are carried on the path. Each of the components can load/unload the loader to/from the path (eg, the track can have multiple lowering station settings to support the loader relative to the load or #, and/or the frame can be along the way _ To start loading and unloading (4). The delivery system A4000' can then be asynchronous.

工廠自動化係使用晶圓識別以通過程序進行晶圓之規 f ’排程及追縱作業。ID係機器讀取式及由主伺服器中之 資料庫予以管理。資料庫内之晶圓識別係由晶圓切斷裝傷 之失效狀態或軟件誤差所啟動。因此在各加工裝置上之覆 現性讀取步驟可用以解決此項問題。晶圓之機器讀取一般 係在載入該裝載機之後發生,晶圓係被移除然後予以定 向ID將被回報至主機以進行鑑定然後在認 程序。傳統上如果載人不正確之晶圓時將不會立即被杳出 直至耗f許多時間予以識別。此外,當裝置因誤差失_ 必須拯救該晶圓及予以重新輸人產生潛在性人為誤差之裝 載機/資料庫中。裝載機可具備機上寫人式資料標簽,可用 二錯存内含之晶圓1〇及由裝載埠予以讀取。根據前述之實 =瑝曰^具有聯鎖以將裝載機寫入式iD標簽聯鎖至 裝載機上之寫人式1W簽附有外界數 =/〇 W。該信號係連繫至感應器可用以_吊搶門之 移除1感應n可為任何適t㈣者,諸如光學,機械, 106 200845274 聲于或電谷性等。舉例而言,低電壓信號線可通行吊艙外 殼與吊艙門上之電導性墊板。當該門關閉以結束電壓流時 該墊板係局部接觸。當該門被移除後,電麼流係中斷而信 號係產生至裝載機ID標簽上。 其中一項實施例之晶圓讀取方法係連同軟體整合標簽 及方法以檢測該門是否已開啟。舉例而言,當晶圓被載入 及該門係鎖止於吊搶時,整合標㈣、被寫人該寫人式裝載 ,山。當吊艙抵達τ—個裝置裝載蟑時,該標錢同整合 私簽係被明取。如果整合標簽有效,則假設晶圓係未受 干擾及有夕文。如果整合標簽係纟安丈,則表示該門已被移除 而晶圓ID準確度將受置疑。根據此項資訊,主機將強制裝 置上之晶®項取以確認整合性。 根據另-項實施例,可將整合晶圓1]0讀取器設於裝載 埠中。該讀取11係設置以在Η開啟相射4可讀取ID以使 循環時間減為最少。本實施例之優點在於比存在於加工裝 置中之方法具有縮減循環時間,同時亦可在與加工裝置^ 機斷接聯繫之情況下執行整個認證程序。 根據另-實施例,在裝載機内之各晶圓槽可添設指定 子母數字顯示11於裝載機。整合之顯示器係與駐留在裝載 機?之實際晶圓m相關連。字串高度係足夠大以供類似操 作貝與安裝於天花板之儲存巢穴之間距之長距離亦可讀 取。本實施射之顯示ϋ係以_表示ID整合性。如果整 合標簽係無效則將由區別性字串或顏色予以圖形顯示。王 另-實施例係整合外界晶圓ID讀㈣。外界晶圓ι〇 107 200845274 讀取器係設置於諸如在AMHS系統内之裝載埠與加工裝置 之外側。具有置疑晶圓1D之裝載機係被載入外界讀取器予 以認證。當作業結束後,該門將被關閉及將整合標簽寫入 可寫入式裝載機ID。然後將裝載機移至最終目的儲存/裝載 埠位置。此舉之效益在於係與晶圓裝載機待機時間併列執 行而非與裝置加工時間串列執行。此外,外界讀取器可附 没晶圓定向方法。 爰參照第57圖,顯示再一實施例之裝載機門之示意立 面圖。第57圖所示之裝載機門係類似前述及圖示之實施例 之裝載機者,除非另有註明。裝載機門具有諸如傳統圓形 或圓筒形狀或周長(類似第36C圖中之裝載機2000)而在變 更態樣中之裝載機可具有任何其他預期形狀,諸如具有一 或多個扁平周邊。卸除自如式連結於裝載機外殼6〇6〇之裝 載機門6070(以下將予詳述)係關閉外殼中之晶圓(或其他工 作域)開口及隔離裝載機内部,顯示於裝載機6〇00之底部 僅作為實例說明。變更態樣中之裝載機門係設置於裝載機 之任何其他側面或表面。如前所述,裝載機可由金屬性(非 鐵質)材料或諸如熱塑性材料等非金屬性材料製成。 如前所述,當裝載機門6070被關閉時,門6070與外 殼6060將在裝載機門與外殼之間之介面形成密封體 6080,以下將予詳述,以將裝載機之内部與外界氛圍隔離。 裝載機門6070可閃鎖於此外殼6060,利用閃鎖系统6072 將門充份固定於外殼及允許裝載機之預期輸送。該問鎖系 統6072在實施例中係大致呈固態(即大致不含移動部件), 108 200845274 而在變更態樣中閂鎖系統可具有固態啟動或機械式啟動部 件。於第57圖所示之實施例中,閂鎖系統6〇72係包括有 ——磁性閂鎖系統0074。該磁性閂鎖系統6074 一般具有磁性 或磁場部6074M及一反應或平台部6〇7411以與磁場部反應 產生閂鎖作用力及將門閂鎖以致閂鎖於外殼。實施例中之 磁性部6074M係大致沿着容器外殼之周邊分佈,或例如門 與外殼介面之周邊,以在裝載機外殼之晶圓開口產生大致 (均勻分佈之磁場。實施例中,磁性部6〇74M係由撓性(即多 極性)磁條或磁帶所構成。撓性磁帶係示意顯示於第57A 圖。變更悲樣中之磁性部或固態閂鎖系統係以任何適當方 式構成。磁性部係連結或分段,並安裝於裝載機上以形成 任何預期形狀。於第57A圖所示之實施例中,多極性磁件 係附接於具彈性或柔靭之撓性非磁性材料(例如電磁性材 料)上。實施例中之磁性部6074M係圖示為安裝於外殼之大 致扁平表面上。諸如鐵質材料之適當環體或段件之反應部 6074R係圖示為安裝於裝載機門之對置表面上。變更態樣 ί 中之磁性部係被安裝於門上,而反應部係被安裝於外殼, 並可設置於Η及外殼之任何其他預期表面。當關閉該門 時,反應部係偏向磁性部之撓性磁件而沿着開口形成門密 封體。 爰參照帛58 ffi係顯示另一實施例之裝載機_〇,及裝 載蜂6300之示意立面圖。該裂載機係大致類似於第57圖 之裝載機6_。圖示之裝載機係連結或近距連結於裝載蜂 6300。裝載埠6300係大致類似於前述.圖示之裝載埠,除非 109 200845274 另有註明者。實施例令之裝載禪 載機鎖止於裝載琿及將裝载機門鎖^鎖裝 裝載谭具有在裝載機之部件上操作之磁件丄=n 理,利亦具有在«機⑽第 =例如電磁件)。實施例中,裝載埠門與裝载機Η之; 之接合可能導致裝載機門從裝載: ==峨機門之間之磁㈣二= 具有充伤強度以麼制裝載機門與裝載機之間之磁性) 使開,裝載埠門可解閂及開啟裝載機門。反之 =回復其關閉狀態時,裝载機門將自動問鎖於裝载: 59A-D圖顯示數種不同實施例中之裝載機外殼 門,«埠外殼與裝載琿門之間之四向(或“ χ”)介面。 爰參照第60-62圖所顯示之裝載機_〇 侧之狀磁性相互作用。如前所述,裝解可包括Ϊ固 悲問鎖线以將裝載機6_,鎖止於裝料凸緣咖及將 裝載機門_,鎖止於裝載埠門6320。該固態閃鎖系统可 包括諸如電磁件63〇2之磁件,係設在裝裁埠凸緣咖令 與裝載機外殼6_,中之鐵質材料63〇1相互作用。變更離 樣中’磁件㈣2係任何適當磁件。鐵質材料係類似前述: 性部6074Μ之磁性部,可沿着裝載機外殼6〇6〇,之周邊行 進。變更態樣中,磁性部係具有任何適當形狀之任何適當 鐵質材料。另-變更態樣中之磁性部係連續式或分段式及 被安裝於裝載機上以構成任何預期形狀。裝載埠門Μ”亦 包括有大致類似磁件6302之磁件63〇4,而裝載機門係包括 110 200845274 =:::=二:“3。3。變更態樣中之磁件6302, 被導裝裁機之z-軸運動 相互作用之摩擦板63=動17=與裝載機門6070,Factory automation uses wafer identification to program wafer f-s scheduling and tracking operations. The ID is machine-readable and managed by a database in the host server. The wafer identification in the database is initiated by the failure state or software error of the wafer cut-off. Therefore, a reproducible reading step on each processing device can be used to solve this problem. The machine reading of the wafer typically occurs after loading the loader, the wafer is removed and the orientation ID is returned to the host for authentication and then the program is recognized. Traditionally, if an incorrect wafer is loaded, it will not be immediately ejected until it takes a lot of time to identify it. In addition, when the device is lost due to error, the wafer must be saved and reloaded into a loader/database that creates potential human error. The loader can be equipped with an on-board documentary data tag that can be used to read and store the wafers contained in the two. According to the above, there is an interlock to lock the loader-written iD tag to the loader. The writer's 1W sign is accompanied by the external number = / 〇 W. The signal is connected to the sensor and can be used to remove the door. The sensing 1 can be any suitable (four), such as optical, mechanical, 106 200845274 acoustic or electric valley. For example, a low voltage signal line can pass through the electrically conductive pad on the pod housing and the nacelle door. The pad is partially in contact when the door is closed to end the voltage flow. When the door is removed, the electrical flow is interrupted and the signal is generated on the loader ID tag. The wafer reading method of one of the embodiments is coupled with a software integrated label and method to detect if the door is open. For example, when the wafer is loaded and the door is locked in the sling, the integrated standard (4), the written person writes the human load, and the mountain. When the car arrives at the τ-device loading ,, the standard money is integrated with the private signing system. If the integrated tag is valid, the wafer system is assumed to be undisturbed and has an eve. If the integrated label is 纟安, it means the door has been removed and the wafer ID accuracy will be questioned. Based on this information, the host will force the device to check for integration. According to another embodiment, the integrated wafer 1*0 reader can be placed in the load magazine. The read 11 series is set to open the injectable 4 readable ID at Η to minimize the cycle time. The advantage of this embodiment is that it has a reduced cycle time compared to the method present in the processing apparatus, and the entire authentication procedure can be performed in the event of disconnection from the processing apparatus. According to another embodiment, a designated daughter digital display 11 can be added to the loader in each of the wafer slots in the loader. Is the integrated display system residing on the loader? The actual wafer m is associated. The string height is large enough to be read by a long distance between a similar operating shell and a ceiling mounted storage nest. The display of this embodiment shows the ID integration by _. If the integrated label is invalid, it will be graphically represented by a distinctive string or color. Wang Another - The embodiment integrates external wafer ID reading (4). The external wafer 〇 107 200845274 reader is placed outside the loading cassette and processing device such as in the AMHS system. The loader with the suspected wafer 1D is loaded into the external reader for certification. When the job is finished, the door will be closed and the integrated tag will be written to the writable loader ID. Then move the loader to the final destination storage/loading position. The benefit of this is that it is performed in parallel with the wafer loader standby time rather than in tandem with the device processing time. In addition, the external reader can be attached to the wafer orientation method. Referring to Fig. 57, there is shown a schematic elevational view of the loader door of still another embodiment. The loader door shown in Fig. 57 is similar to the loader of the foregoing and illustrated embodiment unless otherwise noted. The loader door has a conventional circular or cylindrical shape or perimeter (similar to loader 2000 in Figure 36C) and the loader in a modified form can have any other desired shape, such as having one or more flat perimeters. . The loader door 6070 (described in detail below) that is freely attached to the loader housing 6 〇 6 关闭 is closed to close the wafer (or other working area) opening in the outer casing and the inside of the isolating loader, shown on the loader 6 The bottom of 〇00 is only explained as an example. The loader door system in the modified aspect is placed on any other side or surface of the loader. As previously mentioned, the loader can be made of a metallic (non-ferrous) material or a non-metallic material such as a thermoplastic material. As previously mentioned, when the loader door 6070 is closed, the door 6070 and the outer casing 6060 form a seal 6080 between the interface between the loader door and the outer casing, as will be described in more detail below to bring the interior of the loader to the outside atmosphere. isolation. The loader door 6070 can be flash locked to the outer casing 6060, with the flash lock system 6072 fully securing the door to the outer casing and allowing the intended transport of the loader. The interrogation lock system 6072 is generally solid in the embodiment (i.e., substantially free of moving parts), 108 200845274 and in a variant aspect the latching system can have solid state or mechanical activation components. In the embodiment illustrated in Figure 57, the latching system 6〇72 includes a magnetic latching system 0074. The magnetic latch system 6074 typically has a magnetic or magnetic field portion 6074M and a reaction or platform portion 6〇7411 to react with the magnetic field portion to create a latching force and latch the door to latch to the outer casing. The magnetic portion 6074M of the embodiment is distributed substantially along the periphery of the container casing or, for example, the periphery of the door and casing interface to create a substantially (evenly distributed magnetic field) in the wafer opening of the loader casing. In the embodiment, the magnetic portion 6 The 〇74M is constructed of a flexible (ie, multi-polar) magnetic strip or magnetic tape. The flexible magnetic tape is shown schematically in Figure 57A. The magnetic or solid-state latching system in the altered sad form is constructed in any suitable manner. Attached or segmented and mounted on a loader to form any desired shape. In the embodiment illustrated in Figure 57A, the multi-polar magnetic component is attached to a flexible or flexible flexible non-magnetic material (eg The magnetic portion 6074M in the embodiment is illustrated as being mounted on a substantially flat surface of the outer casing. The reaction portion 6074R of a suitable ring or segment such as a ferrous material is illustrated as being mounted to the loader door. On the opposite surface, the magnetic part of the change state ί is mounted on the door, and the reaction part is mounted on the outer casing and can be placed on the raft and any other desired surface of the outer casing. When the door is closed, the reverse The portion is biased toward the flexible magnetic member of the magnetic portion to form a door sealing body along the opening. Referring to the 帛58 ffi system, a loader_〇 of another embodiment and a schematic elevational view of the loading bee 6300 are shown. The loader is substantially similar to the loader 6_ of Figure 57. The illustrated loader is attached or closely attached to the loader 6300. The load magazine 6300 is substantially similar to the load port illustrated above, unless otherwise stated in 109 200845274 The embodiment of the loading Zen loader is locked to the loading cassette and the loader door lock is locked. The loading magnet has a magnetic piece that is operated on the components of the loader, and the profit is also in the «machine (10) = for example, electromagnetic parts). In the embodiment, the loading of the door and the loader; the engagement of the loader door may result in loading of the loader door: == magnetic between the door of the machine (four) two = having the strength of the load to make the loader door and the loader Magnetic between the two) Open, load the door to unlatch and open the loader door. Conversely = when returning to its closed state, the loader door will automatically ask for the lock: 59A-D shows the loader housing door in several different embodiments, «the four direction between the outer casing and the loading door (or “χ”) interface.磁性 Refer to the magnetic interaction of the loader _〇 side shown in Figures 60-62. As previously mentioned, the assembly may include tampering with the lock line to lock the loader 6_, the lock flange, and the loader door _ to the loading door 6320. The solid state flash lock system may include a magnetic member such as an electromagnetic member 63〇2 that is coupled to the ferrous material 63〇1 in the loader housing 6_. Change the 'magnetic part (4) 2 of the sample to any suitable magnetic part. The ferrous material is similar to the above: The magnetic portion of the body 6074 is movable along the periphery of the loader casing 6〇6〇. In a variant, the magnetic portion has any suitable ferrous material of any suitable shape. Alternatively, the magnetic portion of the modified aspect is continuous or segmented and mounted on a loader to form any desired shape. The loading door Μ also includes a magnetic member 63〇4 that is substantially similar to the magnetic member 6302, and the loader door system includes 110 200845274 =:::= two: "3.3. The magnetic member 6302 in the modified aspect is moved by the z-axis of the lead-loading machine. The friction plate 63=moving 17==the loader door 6070,

裝載機_0,之任何H另;;變更態樣中之對準部件係與 般彈性載人目互作用。對準部件係如圖示 摔作以Li: 對準部件可聯合磁件 中,F載機盥㈣捨…裝載機於裝載4。另一變更慫樣 由此ΐΓ ώ 對準係由任何適當方式予以達成」 =二:裝載機_,與裝載璋_之間之相互作 對準裝載機於裝載痒。此外,由於固 埠。因此,掛進:匕只需最低作用力以將裝載機問鎖於裝載 接觸!^途# > ^件之尺寸可獲減為最小,並可在裝載機 ^裝載埠表面之同時接觸裝載機_〇,。同時由此可知最 裝載機與裝™ 操作期間在裝载琿凸緣咖及裝載淳門㈣中之磁 63G4可停止操作或被關閉。磁件⑽02,6304之 停止操作將«載機被設置於裝載和⑼而转開由問鎖 系4 6074所構成之裝載機外殼娜Q,與裝載機門_〇,之間 111 200845274 之閂鎖/¾、封。可在裝載機6〇〇〇’接觸裝載埠63〇〇之同時啟 動或開啟磁件6302,63〇4,使微粒物質不被允許進入裝載 機。由第63圖可知,可提供密封體以使裝載機内部與外界 氛圍隔離。可在啟動或開啟裝載機閂鎖於裝、載埠之閂鎖系 統之閂鎖之前使密封體產生接觸。密封體係設在諸如裝載 機外殼6060,與裝載埠凸緣6310之間及裝載機門6〇7〇,與裝 載埠門6320之間之扁平密封體。該密封體亦可為設在裝載 機外殼6〇6〇,與裝載機門6070,之間及在裝載埠凸緣631〇 與裝載埠門6320之間之〇-型環。變更態樣中可採用扁平密 封體及/或0型環之任何組合。該密封體係由諸如泡沫材 料,橡膠等變形材質所製成。變更態樣中之密封體係由任 何適當材料製成。另一實施例中之密封體係由磁件7〇4〇所 構成,如第64C-64E圖所示。 於第64A-64B圖所示之一實施例中,密封體係具有可 同時接觸多個表面之形狀之模製密封體7〇5〇。舉例而言, 如第64A圖所示之密封體具有彎曲頂部及角狀底部。二封 1,體7050可變形使當裝載機_〇,被降低時其彎曲頂部將合 變形以分別接觸裝載機門6070,與裝載埠凸緣631〇之位^ 7060B及7060A。裝載機外殼6060,與裝載埠凸緣63ι〇之 間及裝載機外殼6060,與裝載機門6〇7〇,之間之密封體可由 磁件,〇-型環,爲平密封體等所提供。變更態‘中之密封 體係由任何適當方式所提供。除了接觸位置7〇6〇a之外, 當裝載埠門16320係在關閉狀態下時,密封體之角狀底部 可接觸裝載埠凸緣631G以進-步使加卫裝置/裝載璋之内 112 200845274 部與外界氛圍隔離。圖中之密封體7050係示為附接於裝載 埠門6320,而在變更態樣中之密封體可附接於裝載機外殼 6060 ’裝載機門6070’,裝載埠凸緣63 10,裝載埠門6320 之任何一者或任何其他適當位置。變更態樣中之密封體 7050可具有臂狀部件延伸於裝載機外殼6060,/裝載機門 6070與裝載埠之間。另一變更態樣中之密封體具有任何適 當形狀。操作時之密封體7050可通過在位置7060A所形成 之岔封體以隔離加工裝置。由於裝載埠門632〇係開啟,密 封體7040將斷開以供裝載機門6〇7〇,與裝載機6〇〇〇,分離。 由密封體7050在位置7060B所形成之密封體可防止裝載機 、門6070’與裝載埠門6320之間之任何污染物質/大氣進入裝 滅機6000’或加工裝置/裝載埠。 以下將說明裝載機之開啟作業。裝載機6〇〇〇,係如前述 般抵達裝載埠6300。裝載機6000,可通過諸如z•軸對準部 件6330, 6330’等而機械式連結於裝載埠6300。在機械連 結期間可允許裝載機外殼6060’浮動。在啟動裝載機/裝載 埠閂鎖系統期間,對準部件可提供裝載機之最少導引。裝 載機門6070’可通過磁件6303,6304閂鎖於裝載埠門6320。 裝載機外殼6060’可通過磁件6301,6301閂鎖於裝載埠凸 緣6310。磁件6303,6304可壓制用以固定裝載機門6〇7〇, 於裝載機外殼6060’之磁件,使裝載機門6070,與裝載機外 殼6060,脫鎖及從外殼6060’上移開。可將晶圓從裝載機 6000,向下降至自動操縱裝置轉移高度。在此實施例中係採 用一底部裝載之裝載機,然而在變更態樣中可採用任何適 113 200845274 當裝載機(例如前載入式等)。 關閉裝載機時,晶圓將被升至自動操縱裝置轉移高度 至裝載機6000’内部。裝載機門6070’將被嵌入裝載機外殼 6060’。裝載機門可通過前述之裝載機門/裝載機外殼閂鎖系 統予以閂鎖。藉由關閉磁件6302, 6304等方式可使裝載機 外殼6060’與裝載機門6070’同時脫鎖。變更態樣中,裝載 機外殼與裝載機門可在不同時間及任何順序下脫鎖。裝載 機即可離開裝載埠。 須知前述說明僅作為本發明之說明用途。精於此藝者 當可在不脫離本發明之情況下作成各種變更態樣。因此本 發明之申請專利範圍係涵蓋所有變更態樣。 114 200845274 【圓式簡單說明】 架之㈣《編之工作件支 第2A圖係第〗圖所示之裝載機之 施例之裝置埠介面; 口及另貧 斷面ί,2Β圖係另—實施例之裝置琿介面及裝载機之另- 第3A-3C圖係另一實施例之裝置璋介面與裝 種不同位置之示意斷面圖; 機之一 第4圖係再—實施例之裝載機與裝置介面之 二為不同實施例之介面構造:裝載 ”裝置之間之,丨面部份之擴大斷面圖; 第5A-5C圖係另一實施例之裝載機與裝置 局部立面圖,顯示在三種相對位置下之 ^ 第6A.6B圖係另一實施例之 機、、置"面; 立面圖; T件裝載機之相對示意 第7A-7B圖係另一實施例之工作件立 圖,分別顯示不同位置下之裝載機;、機之不思立面 第8圖係另一實施例之裝置介 立面圖; 衣戰機之另一不意 立面^_另一實施例之裝置介面與裝載機之另一示意 115 200845274 第ίο圖係另一實施例之裝置介面與裝載機之另一示意 立面圖,而第1 〇 A圖係另一實施例之加工裝置與介置其間 之裝載機之示意局部立面圖; 第11圖係另一實施例之加工裝置部與介置其間之裝載 機之示意立面圖; 第12A-12B圖係第U圖之裝載機(工作件轉移)開口與 裝載機之搬運門之示意底面圖; 第13A-13B圖係第U圖之裝置部之介面與搬運門介面 之裝置之示意平面圖; 第14圖係再一實施例之加工裝置與介置其間之裝載機 之示意立面圖; 第15圖係再一實施例之裝置介面與裝載機之示意立面 圖; 第16A-16B圖係另-實施例之裝置介面與裝載機在兩 種不同位置之示意立面圖; 第17圖係裝載機之示意側面圖,及第17A_17C圖係另 一實施例之裝載機與裝置介面之另—示意立面圖及裝置介 面之平面圖; 第18-19圖係另一實施例之裝置介面與裝載機之示意 立面圖; 第2〇圖係另-實施例之輸送系統之示意平面圖; 、,第20A-20B圖係第10圖之輸送系統之部份之示意局部 :面圖,、及帛20C-20D圖係另一實施例之輸送系統之不同 有效負載之示意底面圖; 116 200845274 部:圖係另一實施例之輸一另一部份之示意局 意局部第::圖係另-⑽ 第25A-25B圖係另一實施例之輸送系統 不同立面圖; ^ 统j=B:係另一實施例之將裝载機轉移在輸送系 統與裝置之間之轉移介面系統之不同示意立面圖; 第27圖係另—實施例之輸送系統之示意局部立面圖, 及第27Α·27Β圖係輸㈣統在^位置下之其 立面圖; 1 第28圖係另-實施例之輸送系統之另—示意立面圖; 第29Α_29Β圖係另一實施例之輪送系統之示 ®· 第29C圖係另-實施例之輸送系統與加工裝置之示-意平面圖; 第30圖係第29C圖所示之輸送系統與加工裝置之示咅、 局部立面圖; ’ μ 第31圖係輸送系統之另一示意局部立面圖; 第32圖係另-實施例之輸送系統之另一示意局部立面 圖; 第33-34圖分別係另-實施例之另—輪送系統之示意 平面圖及立面圖; 第35圖係另-實施例之輸送系統之另一示意平面圖; 117 200845274 第36A-36C圖係另一實施例之輸送裝置之底面圖,立 面圖及底面圖; 第36D圖係另一實施例之輸送裝置之另一底面圖; 第36E圖係一符合性動能聯結之一部份之斷面圖; 第37A-37D圖係一實施例之裝置裝載站之透視圖,端 部及側視圖,及頂面圖; 第37E圖係另一實施例之另一種裝置裝載站之平面 圚,Loader_0, any of the other H;; the alignment component in the modified aspect interacts with the general elastic manned. The alignment component is as shown in the figure. The Li: alignment component can be combined with the magnetic component. The F carrier (4) is loaded with the loader 4. Another change is that the alignment is achieved by any suitable means. = 2: The loader _, and the load 璋 _ are aligned with the loader to load itching. In addition, due to solidification. Therefore, hang in: 匕 only the minimum force is required to lock the loader to the loading contact! ^途# > ^ The size of the piece can be minimized, and the loader _〇 can be contacted while the loader is loading the surface. At the same time, it can be seen that the magnetic 63G4 in the loader flange and the loading door (4) can be stopped or closed during the most loader and TM operation. The stop operation of the magnetic parts (10) 02, 6304 will be «the carrier is placed on the loading and (9) and the loader casing Na Q consisting of the question lock system 4 6074 is turned away, and the latch of the loader door _〇, 111 200845274 /3⁄4, sealed. The magnetic members 6302, 63〇4 can be activated or turned on while the loader 6〇〇〇' contacts the load cassette 63〇〇 so that particulate matter is not allowed to enter the loader. As can be seen from Fig. 63, a sealing body can be provided to isolate the interior of the loader from the outside atmosphere. The seal can be brought into contact prior to activation or activation of the latch of the loader latch to the latching system. The sealing system is provided as a flat seal between the loader housing 6060, the load jaw flange 6310, and the loader door 6〇7〇, and the loading gate 6320. The sealing body may also be a 〇-shaped ring disposed between the loader housing 6〇6〇, the loader door 6070, and between the loading jaw flange 631〇 and the loading gate 6320. Any combination of flat seals and/or 0-rings can be used in the modified aspect. The sealing system is made of a deformed material such as foam material or rubber. The sealing system in the modified aspect is made of any suitable material. The sealing system of another embodiment is constituted by a magnetic member 7〇4〇 as shown in Figs. 64C-64E. In one embodiment illustrated in Figures 64A-64B, the sealing system has a molded sealing body 7〇5〇 that can simultaneously contact the shape of a plurality of surfaces. For example, the sealing body as shown in Fig. 64A has a curved top and a horned bottom. The second seal 1 body 7050 is deformable such that when the loader _ 〇 is lowered, its curved top portion will be deformed to contact the loader door 6070, respectively, with the load 埠 flange 631 ^ positions 7060B and 7060A. The sealing body between the loader housing 6060, the loading jaw flange 63ι and the loader housing 6060, and the loader door 6〇7〇 can be provided by a magnetic member, a 〇-ring, a flat seal or the like. . The sealing system in the modified state is provided by any suitable means. In addition to the contact position 7〇6〇a, when the loading pedal 16320 is in the closed state, the angular bottom of the sealing body can contact the loading jaw flange 631G to advance the lifting device/loading chamber 112. 200845274 The Ministry is isolated from the outside atmosphere. The seal 7050 in the figure is shown attached to the loading trick 6320, while in a modified version the seal can be attached to the loader housing 6060 'loader door 6070', loading the flange 63 10, loading 埠Any one of the doors 6320 or any other suitable location. The seal 7050 of the modified aspect may have an arm member extending between the loader housing 6060, the loader door 6070 and the load port. The sealing body in another modification has any suitable shape. The sealing body 7050 during operation can be passed through a seal formed at position 7060A to isolate the processing apparatus. Since the loading door 632 is opened, the sealing body 7040 will be disconnected for the loader door 6〇7〇 to be separated from the loader 6〇〇〇. The sealing body formed by the sealing body 7050 at the position 7060B prevents any contaminant/atmosphere between the loader, the door 6070' and the loading door 6320 from entering the ejector 6000' or the processing device/loading cassette. The opening operation of the loader will be explained below. The loader 6 抵达 arrived at the load 埠 6300 as described above. The loader 6000 can be mechanically coupled to the loading cassette 6300 by, for example, z•axis alignment members 6330, 6330' or the like. Loader housing 6060' may be allowed to float during mechanical attachment. The alignment component provides the minimum guidance of the loader during startup of the loader/loading latch system. The loader door 6070' can be latched to the loading door 6320 by the magnetic members 6303, 6304. The loader housing 6060' can be latched to the load jaw flange 6310 by magnetic members 6301, 6301. The magnetic members 6303, 6304 can be pressed to secure the loader door 6〇7〇 to the magnetic member of the loader housing 6060', and the loader door 6070, and the loader housing 6060 are unlocked and removed from the housing 6060'. . The wafer can be lowered from the loader 6000 down to the automatic manipulator transfer height. In this embodiment a bottom loaded loader is employed, however any suitable 113 200845274 can be employed in the variant (e.g., front loading, etc.). When the loader is turned off, the wafer will be lifted to the autopilot transfer height to the inside of the loader 6000'. Loader door 6070' will be embedded in loader housing 6060'. The loader door can be latched by the aforementioned loader door/loader housing latch system. The loader housing 6060' and the loader door 6070' can be simultaneously unlocked by closing the magnetic members 6302, 6304, and the like. In the variant, the loader housing and the loader door can be unlocked at different times and in any order. The loader can leave the load magazine. It is to be understood that the foregoing description is only illustrative of the invention. Those skilled in the art can make various modifications without departing from the invention. Therefore, the scope of the patent application of the present invention covers all modifications. 114 200845274 [Simple description of the round] (4) The device interface of the loader shown in the figure 2A of the working piece, the mouth and the other section ί, 2Β 3A-3C is a schematic cross-sectional view of the device interface and the different positions of another embodiment of the embodiment; Figure 4 of the machine is a further embodiment The interface between the loader and the device is the interface configuration of different embodiments: loading "the enlarged cross-section of the face portion between the devices"; 5A-5C is the loader and device partial elevation of the other embodiment Figure 6 shows a machine in three relative positions. Figure 6A.6B is another embodiment of the machine, set "face;elevation; T-loader relative schematic 7A-7B is another embodiment The work piece is a vertical view showing the loader at different positions; the machine's unreliable façade is shown in Fig. 8 is another example of the device; the other side of the machine is not intended to be ^_ another implementation Another schematic of the device interface and loader of the example 115 200845274 is a device of another embodiment Another schematic elevational view of the surface and the loader, and FIG. 1A is a schematic partial elevational view of the processing apparatus of another embodiment and a loader interposed therebetween; FIG. 11 is a processing of another embodiment A schematic elevational view of the loader between the device and the intervening device; Figure 12A-12B is a schematic bottom view of the loader (workpiece transfer) opening of the U-picture and the transport door of the loader; Figure 13A-13B Figure 14 is a schematic plan view of the interface between the device portion of the U-shaped device and the device for transporting the door; Figure 14 is a schematic elevational view of the processing device of the further embodiment and the loader interposed therebetween; Figure 15 is still another embodiment A schematic elevational view of the device interface and the loader; Figures 16A-16B are schematic elevational views of the device interface and loader in two different positions; Figure 17 is a schematic side view of the loader, 17A-17C is another plan view of a loader and device interface of another embodiment and a plan view of the device interface; and FIGS. 18-19 are schematic elevational views of the device interface and loader of another embodiment; Figure 2 is a schematic representation of another embodiment of the delivery system Fig. 20A-20B is a schematic partial view of a part of the transport system of Fig. 10; a plan view, and a schematic bottom view of different payloads of the transport system of another embodiment; 116 200845274 Part: Graphical representation of another embodiment of the other part of the embodiment:: Figure another - (10) Figures 25A-25B are different elevations of the transport system of another embodiment; j=B: is a different schematic elevational view of another embodiment of a transfer interface system for transferring a loader between a conveyor system and a device; Figure 27 is a schematic partial elevation view of another embodiment of the conveyor system And the 27th Β 27 Β 系 ( 四 四 四 四 四 四 四 四 ; ; ; ; ; ; ; ; ; ; 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 The description of the transfer system is shown in Fig. 29C, which is a schematic view of the conveying system and the processing device of the other embodiment. Fig. 30 is a schematic view showing the conveying system and the processing device shown in Fig. 29C. ; μ Figure 31 is another schematic partial elevation of the conveyor system; Figure 32 is another Another schematic partial elevational view of the delivery system of the example; Figures 33-34 are respectively a schematic plan view and an elevational view of another embodiment of the delivery system; Figure 35 is a delivery system of another embodiment. Another schematic plan view; 117 200845274 36A-36C is a bottom view, an elevation view and a bottom view of another embodiment of the transport device; 36D is another bottom view of the transport device of another embodiment; Figure 37A-37D is a perspective view, end and side view, and top view of a device loading station of an embodiment; Figure 37E is another Another device loading station of the embodiment,

第37F圖係又一實施例之另一種裝置裝載站之平面 圖; 第37G圖係再一實施例之另一種裝置裝載站之平面 園, 第38A-38C _係顯示不同實施例之不同程序之流程 圃, 第39 ®係另-實施例之裝置裝載站之斷面圖; 第40A-40D ®係另一實施例之基板支承之示意斷面 第41圖及帛41A_41B圖係另一實施例之加工系統之 不意透視圖,端部立面圖及頂面圖; 第42圖係第41圖所不系統之部份之示意分解透視圖; 第42A-42B圖係分別為第41圖之輸送系統及在不同 位置之裝載機之另-部份之相對示意局部透視圖,而第 42(^2D圖分別係第41圖所示之輸⑽統之裝載機爽㈣ 之示意透視圖及頂面圖; 118 200845274 第43-47圖係不同實施例之系統不同選擇性配備之示 意圖; 第48圖係再一實施例之系統之示意立面圖; 第49圖係另一實施例之系統之示意局部透視圖; 第50圖係另一實施例之加工系統之另一示意平面 圖;及 第51圖係另一實施例之輸送系統之示意平面圖;Figure 37F is a plan view of another apparatus loading station of still another embodiment; Figure 37G is a plan view of another apparatus loading station of another embodiment, 38A-38C_ showing the flow of different procedures of different embodiments圃, Section 39® is a sectional view of a device loading station of another embodiment; 40A-40D® is a schematic sectional view of a substrate support of another embodiment, FIG. 41 and FIG. 41A_41B are processing of another embodiment The system is not intended to be a perspective view, the end elevation and the top view; Figure 42 is a schematic exploded perspective view of the portion of the system without the 41st; the 42A-42B are respectively the delivery system of Figure 41 and A relatively schematic partial perspective view of another part of the loader at different positions, and a 42th (^2D diagram is a schematic perspective view and a top view of the loader (4) of the input (10) shown in Fig. 41; 118 200845274 Figures 43-47 are schematic diagrams of different alternative arrangements of systems of different embodiments; Fig. 48 is a schematic elevational view of a system of yet another embodiment; Fig. 49 is a schematic partial perspective view of another embodiment of the system Figure 50 is another illustration of another embodiment of the processing system Figure 51; and Figure 51 is a schematic plan view of another embodiment of the delivery system;

第51A圖係顯示批量尺寸與輸送速率之間之關係,· 第52-52A圖係另一實施例之輸送系統之部份之示意 局部平面圖; ~ 第52B圖係另一實施例之輸送系統之另一局部平面 第53圖係第51圖所示輸送系統之機動車之示意平面 圖; 第54圖係另一實施例之輸送系統之示意立面圖; 第55圖係、再—實施例之輸送系統之示意端部立面圖; …第55A-55D圖分別係輸送系統之示意局部侧面圖,輸 部平面圖顯示由輸送系統以不同位置輸送之裝 戟機,及輸送系統之介面部之側面立面圖;及 固第56-56A圖分別係再一實施例之輸送系統 面圖及端部立面圖。 ^十 57A圖第^ ΓΓ另—實施例之裝錢之示意立面圖,及第 圖係裝載機門介面之一部份之局部示音圖· 第58圖係另一實施例之裝載機之另:示意立面圖; 119 200845274 -第㈣2圖係裝載機與裝載淳之局部斷面圖 不二種不同位置下之裝載機與裝載埠; I具 局部二=另一實施例之另一裝載機至裝載埠介面之 第64A-E圖 之示意斷面圖。 係不同相對實施例之裝载機至裝㈣介面 【主要元件符號說明】 10, 10,自動化材料操持系統 15 隔艙内輸送系統部 20 隔搶間輸送系統部 35 隔搶排位部 25 輸送支線或分路部 45 加工隔搶 35A 輸入部 35B 輸出部 200 工作件裝载機 202 艙室 204 開口 210 匣盒 210S 長形支承 212 罩箱 214 中空部(外殼) 216 壁部(帽/蓋) 220 裝載機 221C,,222C’ 斜切密封面 221CD,,224CD,密封面 222, ,224,、320、320"、 321" 密封部 240 外側支承 300 裝載機. 120 200845274 314、 314’’ 外殼 316、316" 壁部 314Γ 外殼介面 326,, 328’ 支承凸緣/部位 300,, 裝載機 328" 支承部 400 真空式艙室(裝載鎖) 410 調位器 412 驅動部 414 聯接部 416 穿梭機 430 裝載機門空間 440 通孔 300F 裝載機 400F 裝載鎖艙室 316F 底壁部 314F 環形部 314PD頂壁部 470F 凹槽 300G 頂部密封裝載機 400G 裝載鎖艙室 314DR 裝載機門 500 輸送系統軌道 500A, C,D 段件 500M 主行進路徑 500S 存取路徑 1000 .裝置站 3000 輸送系統 3100 快速輸送部 3300 介面輸送系統部 3310 高架起重機 3312 平移平台 3314 橫斷體 3320 饋料自動操縱裝置 3340 基座機動車部 3342 吊舉機制 3344 裝載機爽持部 3600 Θ驅動系統 A4000 1 輸送系統 A4100 批量輸送部 A420C 1 介面部 A4202 穿梭部 121 200845274 A4204 儲存部 A4300 輸送手動切斷部 A4302 車架 A4304 執道 A4306 裝載機介面 A5000 、6000 裝載機 6060 裝載機外殼 6070 裝載機門 6072 閂鎖系統 6300 裝載埠 6301, 6302 , 6304 磁件 6310 裝載埠凸緣 122Figure 51A shows the relationship between the batch size and the transport rate. · Figure 52-52A is a schematic partial plan view of a portion of the transport system of another embodiment; ~ Figure 52B is a transport system of another embodiment Fig. 53 is a schematic plan view of a motor vehicle of the transport system shown in Fig. 51; Fig. 54 is a schematic elevational view of the transport system of another embodiment; Fig. 55 is a diagram showing the transport of the embodiment A schematic end elevational view of the system; ... Figures 55A-55D are schematic partial side views of the delivery system, the delivery plan view shows the loading device transported by the delivery system at different locations, and the lateral side of the delivery system Fig. 56 and Fig. 56-56A are respectively a transfer system surface diagram and an end elevation diagram of still another embodiment. ^十57A图第 ΓΓ — — 示意 示意 示意 示意 示意 示意 示意 示意 示意 示意 示意 示意 示意 示意 示意 示意 示意 示意 示意 示意 示意 示意 示意 示意 示意 示意 示意 示意 示意 示意 示意 示意 示意 示意 示意 示意 示意 示意 示意 示意 示意 示意 示意 示意Another: Illustrated elevation; 119 200845274 - (4) 2 is a partial load diagram of the loader and the loading raft. The loader and the loading raft are not in two different positions; I have a partial two = another loader of another embodiment A schematic cross-sectional view of the 64A-E diagram of the loading port interface. Loader to Mounting (4) Interface of Different Relative Embodiments [Major Component Symbol Description] 10, 10, Automated Material Handling System 15 Compartment Transportation System Unit 20 Interscribing Transportation System Department 35 Interscribing Positioning Unit 25 Transportation Branch Line Or branching section 45 processing barrier 35A input section 35B output section 200 workpiece loader 202 compartment 204 opening 210 cassette 210S elongated support 212 cover box 214 hollow (outer casing) 216 wall (cap/cover) 220 loading Machine 221C,, 222C' beveled sealing surface 221CD, 224CD, sealing surface 222, 224, 320, 320", 321" sealing portion 240 outer support 300 loader. 120 200845274 314, 314'' housing 316, 316&quot Wall 314Γ Housing Interface 326, 328' Supporting Flange/Part 300, Loader 328" Support 400 Vacuum Chamber (Load Lock) 410 Positioner 412 Drive 414 Coupling 416 Shuttle 430 Loader Door Space 440 Through Hole 300F Loader 400F Loading Lock Chamber 316F Bottom Wall Portion 314F Ring Portion 314PD Top Wall Portion 470F Groove 300G Top Sealed Carrier 400G Loading lock compartment 314DR Loader door 500 Conveying system track 500A, C, D section 500M Main path 500S Access path 1000. Equipment station 3000 Conveying system 3100 Fast conveying part 3300 Interface conveying system part 3310 Overhead crane 3312 Translation Platform 3314 Transverse body 3320 Feed automatic control device 3340 Base motor vehicle part 3342 Lifting mechanism 3344 Loader cooling part 3600 Θ Drive system A4000 1 Conveying system A4100 Batch conveying part A420C 1 Interface part A4202 Shuttle part 121 200845274 A4204 Storage Department A4300 Handling Manual Cutting A4302 Frame A4304 Road A4306 Loader Interface A5000, 6000 Loader 6060 Loader Housing 6070 Loader Door 6072 Latching System 6300 Loading 埠6301, 6302, 6304 Magnetic Parts 6310 Loading 埠Flange 122

Claims (1)

200845274 十、申請專利範圍: 1.一種半導體工作件加工系統,包括: 用以進行半導體玉作件加工之至少—種加工裝置,· 具有或多個定速輸送迴路之主輸送系統; 用以保持至少-個半導體卫作件於其内以供輸送往復 於至少一種加工裝置之容器; 及耠延形ί行進方向,並具有連接容器之部件以支承 ;輸:谷μ着行進方向往復於至少-種加工裝置之第- 大』=15在由第—輸送部支承時係沿#行進方向以 大致固定速率作連續輸送;及 種加ίίΐ至>—種加卫裝置以將容器輸送往復於至少一 二輸送部,該第二輸送部係與第—輸送部 送部之部=並與第―輸送料接以絲11裝卸自第一輸 ㈣難1項所述之系統,其中該第二輸 加工裝置。、一種加工裝置以將容器裝卸自至少一種 送部t中請專利範圍帛1項所述之系統,其中該第-輸 機動dt支承及輸送容器之分配驅動器而沒有輸送 動容^二里輸运系統’而第二輸送部係具有可支持及移 °之輸运機動車之機動車型系統。 123 200845274 4·如申請專利範圍第!項所述之系統,其中該第 支持及輸送容器沿着第二輸送系統所設“ 送機動車,輪送機動車係用以將容器裝卸自第 5二申請專利刪4項所述之系統,其中該第二輸 驅動系統,可使第二輸送部之輸送機動車可沿着 互呈角度之至少二個行進方向行進。 6.-種半導體工作件加工系統,包括: 用以進行半導體工作件加工之至少-種加工裝置; 具有一或多個定速輸送迴路之主輸送系統; 用以保持至少-個半導體卫作件於其内以供輸送往 於至 >、一種加工裝置之容器; 延伸及形成行進方向’並具有連接容^之料以 二輸送容器沿着行進方向往復於至少-種加工裝置之第一 ==該容器在由第—輸送部支承時仙大致 作連續輸送;及 疋千 連接於至少—種加工裝置與第—輸 送部,將容器連接於至少一種加工 第輸 喱加工裝置與第一輸送部之 日 1以第一輪送部係與第一輸送部隔距 部以將容n裝卸自Ρ輸料H ^輸运 2中該容器之固定行進速率係大致不受第二輸送部與 ’種加工裝置之間之連接速率所影響.。 124200845274 X. Patent application scope: 1. A semiconductor workpiece processing system, comprising: at least one processing device for processing semiconductor jade parts, · a main conveying system having one or more fixed speed conveying circuits; At least one of the semiconductor components for transporting the container reciprocating to the at least one processing device; and extending the direction of travel, and having a member for connecting the container to support; The first-larger = 15 of the processing device is continuously conveyed at a substantially constant rate along the direction of travel of # in the direction of travel of the first conveying portion; and the kind of urging device is used to convey the container to and from at least a second conveying unit, the second conveying unit is connected to the first conveying unit and the first conveying unit is connected to the first conveying unit, wherein the second conveying unit is connected to the first conveying unit. Processing device. A processing apparatus for loading and unloading a container from at least one of the delivery units t, wherein the first-transmission motor dt supports and transports the distribution drive of the container without transporting the moving capacity. The second conveyor is a motor vehicle type system that can support and transport the motor vehicle. 123 200845274 4·If you apply for a patent scope! The system of claim 1, wherein the first support and transport container is provided along the second transport system to "send a motor vehicle, and the motor vehicle is used to load and unload the container from the system described in claim 5 of the fifth application. Wherein the second transport drive system allows the transport vehicle of the second transport portion to travel along at least two directions of travel of each other. 6. A semiconductor workpiece processing system, comprising: for performing a semiconductor work piece At least one processing device; a main conveying system having one or more fixed speed conveying circuits; a container for holding at least one semiconductor manufacturing member for transporting to >, a processing device; Extending and forming a direction of travel 'and having a connection capacity to reciprocate the transport container in the direction of travel to the first of the at least one processing device == the container is substantially continuously transported when supported by the first transport portion;疋 连接 连接 连接 连接 连接 连接 连接 连接 连接 连接 连接 连接 连接 连接 连接 连接 连接 连接 连接 连接 连接 连接 连接 连接 连接 连接 连接 连接 连接 连接 连接 连接 连接 连接 连接 连接 连接 连接 连接 连接 连接 连接A conveyor portion spacer for loading and unloading the container from the transport H 2 transport 2 has a fixed travel rate that is substantially unaffected by the connection rate between the second transport portion and the processing device.
TW96130278A 2007-05-11 2007-08-16 Reduced capacity carrier, transport, load port, buffer system TW200845274A (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/803,077 US8267634B2 (en) 2005-11-07 2007-05-11 Reduced capacity carrier, transport, load port, buffer system

Publications (1)

Publication Number Publication Date
TW200845274A true TW200845274A (en) 2008-11-16

Family

ID=44822832

Family Applications (2)

Application Number Title Priority Date Filing Date
TW103123787A TWI557838B (en) 2007-05-11 2007-08-16 Reduced capacity carrier, transport, load port, buffer system
TW96130278A TW200845274A (en) 2007-05-11 2007-08-16 Reduced capacity carrier, transport, load port, buffer system

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW103123787A TWI557838B (en) 2007-05-11 2007-08-16 Reduced capacity carrier, transport, load port, buffer system

Country Status (1)

Country Link
TW (2) TWI557838B (en)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI612006B (en) * 2017-01-13 2018-01-21 中國鋼鐵股份有限公司 Slab automatic storage system and operation method thereof
US10745215B2 (en) * 2018-12-27 2020-08-18 Core Flow Ltd. Port arrangement for noncontact support platform

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6881020B2 (en) * 2002-04-26 2005-04-19 Taiwan Semiconductor Manufacturing Co., Ltd Pod transfer system having retractable mast and rotatable and vertically movable hoist

Also Published As

Publication number Publication date
TWI557838B (en) 2016-11-11
TW201448099A (en) 2014-12-16

Similar Documents

Publication Publication Date Title
JP7405699B2 (en) Semiconductor workpiece processing system
JP6409018B2 (en) Reduced capacity carrier, transporter, loading port and shock absorber system
US8267634B2 (en) Reduced capacity carrier, transport, load port, buffer system
JP6896027B2 (en) Semiconductor component processing system
US8328495B2 (en) Reduced capacity carrier, transport, load port, buffer system
CN101356636B (en) Reduced capacity carrier, transport, load port, buffer system
TW200845274A (en) Reduced capacity carrier, transport, load port, buffer system
JP5543205B2 (en) Semiconductor workpiece processing system