TW200837898A - Methods of forming spacer patterns using assist layer for high density semiconductor devices - Google Patents

Methods of forming spacer patterns using assist layer for high density semiconductor devices Download PDF

Info

Publication number
TW200837898A
TW200837898A TW097101538A TW97101538A TW200837898A TW 200837898 A TW200837898 A TW 200837898A TW 097101538 A TW097101538 A TW 097101538A TW 97101538 A TW97101538 A TW 97101538A TW 200837898 A TW200837898 A TW 200837898A
Authority
TW
Taiwan
Prior art keywords
layer
gate
providing
spacers
dielectric
Prior art date
Application number
TW097101538A
Other languages
Chinese (zh)
Other versions
TWI365515B (en
Inventor
James Kai
George Matamis
Tuan Duc Pham
Masaaki Higashitani
Takashi Orimoto
Original Assignee
Sandisk Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US11/623,315 external-priority patent/US7773403B2/en
Priority claimed from US11/623,314 external-priority patent/US7592225B2/en
Application filed by Sandisk Corp filed Critical Sandisk Corp
Publication of TW200837898A publication Critical patent/TW200837898A/en
Application granted granted Critical
Publication of TWI365515B publication Critical patent/TWI365515B/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40114Multistep manufacturing processes for data storage electrodes the electrodes comprising a conductor-insulator-conductor-insulator-semiconductor structure
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/30Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B69/00Erasable-and-programmable ROM [EPROM] devices not provided for in groups H10B41/00 - H10B63/00, e.g. ultraviolet erasable-and-programmable ROM [UVEPROM] devices

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Non-Volatile Memory (AREA)
  • Semiconductor Memories (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

High density semiconductor devices and methods of fabricating the same are provided. Spacer fabrication techniques are utilized to form circuit elements having reduced feature sizes, which in some instances are smaller than the smallest lithographically resolvable element size of the process being used. Spacers are formed that serve as a mask for etching one or more layers beneath the spacers. An etch stop pad layer having a material composition substantially similar to the spacer material is provided between a dielectric layer and an insulating sacrificial layer such as silicon nitride. When etching the sacrificial layer, the matched pad layer provides an etch stop to avoid damaging and reducing the size of the dielectric layer. The matched material compositions further provide improved adhesion for the spacers, thereby improving the rigidity and integrity of the spacers.

Description

200837898 九、發明說明: 【發明所屬之技術領域】 本揭示案之實施例係針對諸如非揮發性記憶體之高密度 半導體裝置,及其形成方法。 交叉參照以下申請案,並將其全文以引用的方式併入本 ^ 文中:200837898 IX. Description of the Invention: TECHNICAL FIELD Embodiments of the present disclosure are directed to a high density semiconductor device such as a non-volatile memory, and a method of forming the same. Cross-reference the following application and incorporate it in its entirety by reference:

James Kai等人的名為「利用辅助層以用於高密度半導體 裝置之間隔圖樣(Spacer Patterns Using Assist Layer for _ High Density Semiconductor Devices)」之美國專利申請案 第_______號[代理人檔案號碼SAND-01187US1],本案在 同一天申請。 【先前技術】 在大多數積體電路應用中,存在用以收縮為實施各種積 體電路功能所需之基板區域的持續壓力。舉例而言,半導 體記憶體裝置及製造製程因此連續地演進以滿足對增加可 儲存於矽基板之給定區域中之數位資料之量的需求。此等 φ 需求源於對增加給定尺寸記憶卡及其他類型之封裝之儲存 容量的需要,或對增加容量及減小尺寸兩者的需要。 電可擦可程式化唯讀記憶體(EEPROM)(包括快閃 - EEPROM)及電可程式化唯讀記憶體(EPROM)係在最風行之 非揮發性半導體記憶體之中。一風行快閃EEPROM架構利 用N AND陣列,該陣列具有經由一或多個選擇電晶體而連 接於個別位元線與共同源極線(common source line)之間的 大量記憶體單元串。圖1為展示單一 NAND串之俯視圖,且 圖2為其等效電路。圖1及圖2中所描繪之NAND串包括串聯 128354.doc 200837898 且夾於第一選擇閘極120與第二選擇閘極122之間的四個電 晶體100、102、104及106。選擇閘極120經由位元線觸點 126而將NAND串連接至位元線。選擇閘極122經由源極線 觸點128而將NAND串連接至共同源極線。電晶體100、 102、104及106中之每一者包括一控制閘極及一浮動閘 極。舉例而言,電晶體100具有控制閘極100CG及浮動閘 極100FG。電晶體102包括控制閘極102CG及浮動閘極 102FG。電晶體104包括控制閘極104CG及浮動閘極 104FG。電晶體106包括控制閘極106CG及浮動閘極 106FG。控制閘極100CG連接至字線WL3,控制閘極 102CG連接至字線WL2,控制閘極104CG連接至字線 WL1,且控制閘極106CG連接至字線WL0。 應注意,儘管圖1及圖2展示NAND串中之四個記憶體單 元,但四個電晶體之使用僅被提供作為一實例。一 NAND 串可具有少於四個記憶體單元或多於四個記憶體單元。舉 例而言,一些NAND串將包括八個記憶體單元、16個記憶 體單元、32記憶體單元,或更多。 當前快閃EEPROM陣列之電荷儲存元件為最普遍之通常 由摻雜多晶矽材料形成之導電浮動閘極。可用於快閃 EEPROM系統中之另一類型的記憶體單元利用非導電介電 材料代替導電浮動閘極來以非揮發性方式來儲存電荷。此 單元被描述於Chan等人之論文中,該論文為IEEE Electron Device Lettei*s(1987年 3 月第 3期第 EDL-8卷第 93-95 頁)中的 HA True Single-Transistor Oxide-Nitride-Oxide EEPROM Device”。由氧化矽、氮化矽及氧化矽("ONO”)形成之三層 128354.doc 200837898 介電質夾於導電控制閘極與在記憶體單元通道上方半導電 基板之表面之間。藉由將來自單元通道之電子注入至氮化 物中來程式化單元,在該氮化物中,該等電子被捕集及儲 存於有限區域中。此經儲存電荷接著以可债測之方式來改 變單元之通道之一部分的臨限電壓。藉由將熱電洞注入至 氮化物中來擦除單元。亦參見EEE J〇urnal 〇f ㈤㈣蘭年4月第4期第26卷第497 5〇i頁)中之版也 等人的"A 1-Mb EEPROM With M〇N〇s Mem〇ry CeU 如U.S. Patent Application No. _______ [Agency File Number" by James Kai et al., "Spacer Patterns Using Assist Layer for _ High Density Semiconductor Devices" SAND-01187US1], the case was applied on the same day. [Prior Art] In most integrated circuit applications, there is a constant pressure to shrink the substrate area required to perform various integrated circuit functions. For example, semiconductor memory devices and fabrication processes have thus evolved continuously to meet the need to increase the amount of digital data that can be stored in a given area of a germanium substrate. These φ requirements stem from the need to increase the storage capacity of a given size memory card and other types of packages, or to increase both capacity and size. Electrically erasable programmable read only memory (EEPROM) (including flash-EEPROM) and electrically programmable read-only memory (EPROM) are among the most popular non-volatile semiconductor memories. A popular flash EEPROM architecture utilizes an N AND array having a plurality of memory cell strings connected between individual bit lines and a common source line via one or more select transistors. Figure 1 is a top view showing a single NAND string, and Figure 2 is its equivalent circuit. The NAND string depicted in Figures 1 and 2 includes four transistors 100, 102, 104, and 106 sandwiched between a first select gate 120 and a second select gate 122 in series 128354.doc 200837898. Select gate 120 connects the NAND string to the bit line via bit line contact 126. The select gate 122 connects the NAND string to the common source line via the source line contact 128. Each of the transistors 100, 102, 104, and 106 includes a control gate and a floating gate. For example, the transistor 100 has a control gate 100CG and a floating gate 100FG. The transistor 102 includes a control gate 102CG and a floating gate 102FG. The transistor 104 includes a control gate 104CG and a floating gate 104FG. The transistor 106 includes a control gate 106CG and a floating gate 106FG. The control gate 100CG is connected to the word line WL3, the control gate 102CG is connected to the word line WL2, the control gate 104CG is connected to the word line WL1, and the control gate 106CG is connected to the word line WL0. It should be noted that although Figures 1 and 2 show four memory cells in a NAND string, the use of four transistors is only provided as an example. A NAND string can have fewer than four memory cells or more than four memory cells. For example, some NAND strings will include eight memory cells, 16 memory cells, 32 memory cells, or more. The charge storage elements of current flash EEPROM arrays are the most common conductive floating gates typically formed of doped polysilicon materials. Another type of memory cell that can be used in a flash EEPROM system utilizes a non-conductive dielectric material in place of a conductive floating gate to store charge in a non-volatile manner. This unit is described in the paper by Chan et al., HA True Single-Transistor Oxide-Nitride in IEEE Electron Device Lettei*s (European March 3rd, EDL-8, pp. 93-95). -Oxide EEPROM Device". Three layers of yttrium oxide, tantalum nitride and yttrium oxide ("ONO") 128354.doc 200837898 The dielectric is sandwiched between the conductive control gate and the semiconducting substrate above the memory cell channel. Between the surfaces. The cells are programmed by injecting electrons from the cell channels into the nitride, where the electrons are trapped and stored in a limited area. This stored charge then changes the threshold voltage of a portion of the cell's channel in a determinable manner. The cell is erased by injecting a thermal hole into the nitride. See also EEE J〇urnal 〇f (5) (4) April, 4th issue, Vol. 26, pp. 497 5〇i). Also in the version of "A 1-Mb EEPROM With M〇N〇s Mem〇ry CeU Such as

Semiconductor Disk ADDlicati^«»» 砝 ^ , PPiiCatlon ,其描述以分裂閘極組態 之類似單元,在該組態中,摻雜多晶石夕閘極在記憶體單元 通道之-部分之上延伸以形成獨立選擇電晶體。 典型非揮發性快閃陣狀記,隨單元被分為被—起捧除 之離散單元區塊。亦即,區塊含有作為—擦除單元而可被 ^立地-起擦除的最小數目之單元,但可在單—擦除操作 ι除⑯以上之區塊。每_區塊通常儲存一或多個資料 頁,其中一頁包括作為基本程式化及讀取單位而同時經受 資料程式化及讀取操作的最小數目之單元,但可在單、一摔 作中程式化或讀取一個 — ’、 個資_,扇區之尺切:母一頁通常儲存一或多 尺寸係由主機系統界定。一實例為具 有遵循以磁碟驅動器所建 之fe皁的512位兀組之使用者 貝料加上關於該使用者資 區塊的某數目位元έ且之“ 有該使用者資料之 位兀組之附加項資訊的扇區。 Ρ返著對積體電路膺用φ > tn心 之較向密度之需求已增加,製造 表枉匕 >貝進以減.雷玖 〜 區娀)的畀丨 兀件(諸如,電晶體之閘極及通道 最小特徵尺寸。舉例而言,Eliyahou PWi之標題 128354.doc 200837898 為”Flash Memory Cell Arrays Having Dual Control GatesSemiconductor Disk ADDlicati^«»» 砝^ , PPiiCatlon , which describes a similar unit configured in a split gate configuration in which a doped polysilicon gate extends over a portion of the memory cell channel An independent selection transistor is formed. A typical non-volatile flash matrix is divided into discrete cell blocks that are removed by the cell. That is, the block contains a minimum number of cells that can be erased as an erase unit, but can be divided by a block of 16 or more in a single-erase operation. Each _ block usually stores one or more data pages, one of which includes the minimum number of units that are subject to basic stylization and reading units while being subjected to data stylization and read operations, but may be in a single or one fall. Stylize or read one - ', _ _ _, sector size cut: the parent page usually stores one or more sizes defined by the host system. An example is a user of a 512-bit group that follows a fe soap built with a disk drive plus a certain number of bits of the user's resource block and "has the location of the user data" The sector of the additional information of the group. The demand for the relative density of the φ > tn heart has been increased, and the manufacturing table 枉匕>Beinjin is reduced. Thunder ~ Zone 娀) Widgets (such as the gate and channel minimum feature size of the transistor. For example, Eliyahou PWi's title 128354.doc 200837898 is "Flash Memory Cell Arrays Having Dual Control Gates"

Per Memory Cell Charge Storage Element”的美國專利第 6,8 8 8,7 5 5號描述了利用間隔來達成元件長度及其間小於最 小可界疋微影特徵尺寸之相應空間的製程。電路元件之尺 寸的此等減小以及其他考量增加了對製造製程之精確性及 所得材料之完整性的需要。 【發明内容】 根據一或多個實施例來提供高密度半導體裝置及其製造 方法。間隔製造技術用於形成具有減小之特徵尺寸之電路 元件,該等特徵尺寸在一些情況下小於所使用之製程之最 小微影可解析元件尺寸。形成間隔,該等間隔充當一用於 在該等間隔下方蝕刻一或多個層以形成一或多個電路元件 之光罩。一具有大體上類似於間隔材料之材料組合物的介 入層被提供於一介電層與一諸如氮化矽之絕緣犧牲層之 間。當蝕刻犧牲層時,介入層充當一蝕刻終止層以避免損 壞及減小下伏介電層之尺寸。藉由利用匹配材料組合物, 為間隔提供改良之黏著力,進而改良間隔之剛性及完整 性。 正 可自本祝明書、諸^及申請專利範圍之述評獲得所揭示 技術的其他特徵、態樣及目標。 【實施方式】 根據本揭不案之實施例可用於許多類型之高密度半導體 裝置的形成。提供間隔及相應形成技術以減小經製造元件 之尺寸。雖然未被如此限制,但所描述技術可達成小於所 使用之製程之最小微影可解析元件尺寸的特徵尺寸。此可 I28354.doc 200837898 促進在整合式半導體裝置製造中許多類型之元件的高密度 形成。關於NAND快閃記憶體架構而呈現各種特徵及技 術。自所提供揭示案應瞭解,所揭示技術之實施未被如此 限制。藉由非限制性實例,根據本揭示案之實施例可提供 用於廣泛範圍之半導體裝置的製造,包括(但不限於)邏 輯陣列、包括SRAM及DRAM之揮發性記憶體陣列,及包 括NOR及NAND架構之非揮發性記憶體陣列。U.S. Patent No. 6,8 8, 8, 5 5, to Per Memory Cell Charge Storage Element, describes a process for utilizing spacing to achieve a corresponding length of component length and less than the minimum boundable lithography feature size. Such reductions and other considerations increase the need for precision in the manufacturing process and the integrity of the resulting material. SUMMARY OF THE INVENTION [0001] A high density semiconductor device and method of fabricating the same are provided in accordance with one or more embodiments. For forming circuit elements having reduced feature sizes, which in some cases are smaller than the minimum lithographically resolvable element size of the process used. Forming intervals that serve as one for use at the intervals An etchant that etches one or more layers to form one or more circuit elements. An intervening layer having a material composition substantially similar to the spacer material is provided over a dielectric layer and an insulating sacrificial layer such as tantalum nitride When etching the sacrificial layer, the intervening layer acts as an etch stop layer to avoid damage and reduce the size of the underlying dielectric layer. Matching material compositions provide improved adhesion to the spacing, thereby improving the rigidity and integrity of the gap. Other features, aspects, and objectives of the disclosed techniques are available from the review of the book, the patents, and the scope of the patent application. Embodiments The embodiments according to the present disclosure can be used for the formation of many types of high density semiconductor devices. Space and corresponding formation techniques are provided to reduce the size of the fabricated components. Although not so limited, the described techniques can be achieved A feature size smaller than the minimum lithography of the process used to resolve the size of the component. This can be used to facilitate the high density formation of many types of components in the fabrication of integrated semiconductor devices. Various types of NAND flash memory architectures are presented. Features and Techniques It should be understood from the disclosure provided that the implementation of the disclosed technology is not so limited. By way of non-limiting example, embodiments in accordance with the present disclosure may provide for the fabrication of a wide range of semiconductor devices, including But not limited to) logic arrays, volatile memory arrays including SRAM and DRAM, and packages Non-volatile memory arrays including NOR and NAND architectures.

圖3為可被製造為較大快閃記憶體陣列之一部分之兩個 典型NAND串302及304的三維方塊圖。雖然圖3描繪串3〇2 及串304上之四個記憶體單元,但可使用多於四個或少於 四個圮憶體單元。圖3進一步描繪p井32〇下方之]^井326、 NAND串之位几線方向,及垂直於nand串或位元線方 向之字線方向。圖3中未展示1^井336下方之p型基板。在一 實施例中,控制閘極形成字線。形成導電層336之連續 層,其跨越字線為一致的,以便為彼字線上之每一裝置提 供共同字線或控制閘極。圖3中描繪為一列中之複數個記 憶體單元形成單一字線的個別控制閘極層336。在此情況 I,可認為此層在層重疊於相應浮動閘極層332之點處為 母η己隱體單元形成一控制閘極。在其他實施例_,個別 控制閘極可被形成且接著由獨立形成之字線互連。 當製造基於NAND之非揮發性記憶體系統(包括如圖3中 所描緣之NAND串)時,重要的係在相鄰串(諸如,财膽串 302與304)之間的字線方向上提供電隔離。在圖3中所描繪 之實鈿例中,藉由開口區域或空隙3〇6而將^^八^〇串3〇2與 NAND串304分離。在典型NAND組態中,介電材料形成於 128354.doc -11 - 200837898 =4 :難串之間且可能存在於開口區域306之位置處。 ,1 Γ小二平面圖來說明可根據一實施例而製造之NAND陣 。。一八。己體早7〇的主要組件。包括串聯連接之記憶體 早兀之五個NAND串21·25,並由立 士丄 極母—串中展示三個浮動閘 電何儲存70件。串21包括浮動閘極27、28、29,串22包 括淨動閘極30、31、32 ’串23包括浮動閘極33、%、%, 串24包括浮動閘極36、%,且串25包括浮動閘㈣、 40及41。為了易於解釋而僅說明15個記憶體單元之小矩形3 is a three-dimensional block diagram of two exemplary NAND strings 302 and 304 that can be fabricated as part of a larger flash memory array. Although Figure 3 depicts four memory cells on string 3〇2 and string 304, more than four or fewer than four memory cells can be used. Figure 3 further depicts the well 326 below the p-well 32, the direction of the NAND string, and the direction of the word line perpendicular to the nand string or bit line direction. The p-type substrate below the well 336 is not shown in FIG. In one embodiment, the control gate forms a word line. A continuous layer of conductive layer 336 is formed that is uniform across the word lines to provide a common word line or control gate for each device on the word line. The individual control gate layers 336 that form a single word line in a plurality of memory cells in a column are depicted in FIG. In this case I, it can be considered that this layer forms a control gate for the parent eta-hidden unit at the point where the layer overlaps the corresponding floating gate layer 332. In other embodiments, individual control gates can be formed and then interconnected by independently formed word lines. When fabricating NAND-based non-volatile memory systems (including NAND strings as depicted in Figure 3), it is important in the direction of the word line between adjacent strings (such as the string 302 and 304). Provide electrical isolation. In the example depicted in Figure 3, the 〇 string 〇2 is separated from the NAND string 304 by the open region or gap 3〇6. In a typical NAND configuration, the dielectric material is formed at 128354.doc -11 - 200837898 = 4: between hard strings and possibly at the location of the open region 306. 1, a second plan view to illustrate a NAND array that can be fabricated in accordance with an embodiment. . one eight. The main component of the body is 7 years old. It consists of five NAND strings 21·25 that are connected in series, and three floating gates are displayed in the string. String 21 includes floating gates 27, 28, 29, string 22 includes net moving gates 30, 31, 32 'string 23 includes floating gates 33, %, %, string 24 includes floating gates 36, %, and strings 25 Includes floating gates (4), 40 and 41. For the sake of easy explanation, only the small rectangles of 15 memory cells are illustrated.

陣列:此陣列之實際實施通常包括形成上千個NAND串之 上百萬個此等s己憶體單元,每一串通常具有“個、”個或 更多記憶體單元。應理解,通f將記憶體陣列定位於共同 基板内所含有之-或多個井區域之上,以便允許記憶體陣 列的局部基板電位獨立於共同基板電位而被電控制。術語 基板關於電Ba體之记憶體陣列的使用可包括對此等井區域 之蒼考。 NAND串21-25中之每一者包括兩個選擇電晶體(串之每 一末端處各有一個),以可控制地將串連接於整體位元線 (global bit line)BL0_BL4中之不同位元線與通常被提供於 共同源極線處之參考電位Vs之間。Vs在讀取期間通常接 地,但在程式化期間可採用小的正值來辅助最小化跨越源 極選擇電晶體之洩漏。將電壓VssL施加至各別源極選擇閘 極43-47以控制其各別記憶體單元串21_25之一末端至共同 源極線的連接。串2 1 -25之其他末端藉由被施加至汲極選 擇閘極49·53之電壓vDSL而經由各別沒極選擇電晶體連接 至各別位元線BL0-BL4。下文中所描述之行控制電路將電 壓施加至表示待寫入之特定資料的每一位元線,或應用於 128354.doc -12- 200837898 在項取或驗设操作期間感測相應串或記憶體單元之電 電流。選擇電晶體包括在半導體基板術中在其表面術處 之各別源極區域55_64及汲極區域65_74。 ,、聖NAND陣列包括在浮動閘極之列之上跨越多個串而 延伸之控制閘極(字)線,其間具有適當絕緣介電層。控制 閘極與浮動閘極之間的緊密耦合為所需的,以便最小化為 將所耦合之浮動閘極提高至為程式化及讀取其狀態所必要 之電壓位準所需的控制閘極電逐。一個控制閘極(字)線用 於浮動閘極之每一列。為了製造具有在y方向(沿财肋串 之長度)上被自對準之浮動閘極及控制閘極的陣列,通常 將控制閘極用作光罩以形成浮動閘極,浮動閘極接著具有 在y方向上與控制閘極相同的尺寸。 在下文中所呈現之NAND陣列中,將控制閘極(字)線81_ 84疋位於浮動閘極之間而非其頂部上。每一控制閘極線跨 越多個记憶體單元串而延伸,且經由適當絕緣介電質(諸 如,多層氧化物-氮化物_氧化物(〇N〇))而在兩侧上電容性 地麵合至浮動閘極。藉由使用浮動間極之兩側之側壁區域 來獲知頜外耦合區域。可使浮動閘極比平常更厚(更高), 以便增加此耦合區域,且接著使其間之控制閘極與浮動閘 極至少一樣厚,以便利用附加之耦合區域。優勢在於:可 在很大权度上獨立於浮動閘極與基板之耦合區域來控制此 柄a區域&而甚至在裝置尺寸之未來減小期η字動閑極 與基板之耦合區域減小時仍導致所需要的高耦合比率。下 文中所揭不之原理、裝置及技術亦可與具有定位於浮動閘 128354.doc •13- 200837898 極上方之字線的更多傳統NAND架構一起被使用。 在下文中所描述之實例中,兩個控制閘極線替換習知 NAND陣列之單一子線。舉例而言,在習知陣列中可能跨 越浮動閘極27、30、33、36及39之列而延伸之字線由兩個 控制閘極線81及82(WL0及WL1)替換。類似地,可能通常 跨越浮動閘極28、31、34、37及40之列而延伸之字線由兩 個控制閘極線82及83(WL1及WL2)替換。控制線81_84在跨 越陣列之X方向上伸長且在7方向上被分離介入浮動閘極之 長度及其間介電層之厚度。儘管通常使記憶體浮動閘極之 尺寸在X尺寸及y尺寸上與光微影所允許之尺寸一樣小,但 選擇電晶體43·47及49-53之通道長度(y尺寸)通常稍微大於 最小特徵尺寸以確保在跨越其而施加最大電壓時,其可有 效地阻斷包括洩漏之所有傳導。 圖5及圖6A-6I描繪根據一實施例之例示性nand記憶體 】之σ卩刀的製造’其在浮動閘極之每列包括兩個字 =°在製造製程之各種步驟處描繪記憶體陣列之小部分以 大出製程之選擇部分。為了所揭示原理之解釋簡明而省略 為一般熟習此項技術者所知的各種其他步驟。應瞭解,可 -他實%中進订對所揭示製程之修改。圖5描繪沿跨越 圖4中所描緣之多個串而延伸之記憶體單元列在X方向上沿 線Β Β所截取的正交橫截面圖。圖七描緣穿過圖*中所 :、、、曰之—記憶體單元串在丫方向上沿線Α.Α所截取的正交橫 面圖。應注意,在圖6A樹,未說明基板及井區域。 根據特定實施之需灰 V, I而衣,一或多個井(例如,三井)通常形 128354.doc •14- 200837898 成於基板402中。在用以摻雜基板術之井之植入及關聯退 火之後,穿隧介電材料層602形成於基板之表面4〇ι上。不 同材料可用於層6〇2,但氧切(Si〇2)f常生長於表面4〇1 上以形成具有約8 nm之厚度的穿隧氧化物。亦使用已知之 化學氣相沈積(CVD)製程、金屬有機CVD製程、物理氣相 • M(PVD)製程、原子層沈積(ALD)製程來沈積、使用熱 . 氧化製程來生長或使用另一適當製程來形成介電層。 接著,通常藉由低壓化學氣相沈積(LPCVD)而將摻雜多 晶矽之第一層604至少形成於陣列之區域之上,但可使用 其减程。浮動閘極將猶後由此第一多晶石夕層形成。可形 成第一多晶石夕層之不同厚度。舉例而言’可在一實施例中 使用自5〇 nm至20〇 nm之範圍内的厚度。在許多NAND裝 置中’此厚度比平常第-多晶石夕層更厚,因而,稍後形成 之浮動閘極比許多傳統裝置之浮動閉極更厚。其他實施例 可利用其他材料來形成電荷儲存區域。 • 二氧化矽之薄襯墊606接著形成於多晶矽層之頂部之 上:根據各種實施例,不同厚度可用於氧化物概塾。在一 實%例t 一氧化石夕經沈積為約4〇議以形成高溫氧化物 ,(H:0:之薄襯墊。其他材料可用於其他實施中。形成於摻 #夕⑦層之上的氧化物或其他㈣將錢在形成個別浮 動閘極或其他電荷儲存區域時用作光罩。 氮化石夕(8_4)之犧牲層接著經沈積為通常在_㈣與 m之間的厚度。光罩形成於氮化物層之頂部上以用於 餘刻經曝露之氮化物、氧化物襯塾、多晶石夕及穿隨氧化 128354.doc -15- 200837898 ^二使堆疊式條帶在π向上跨越基板㈣長,且在a 分離:通常,χ方向上之分離為藉由光罩形成製 斤之取小間距尺寸。亦較佳使條帶之寬度等於其 :距。㈣為各向異性的且曝露此等條帶之間基板402之 表面401。Array: The actual implementation of this array typically involves forming millions of these s-resonant units of thousands of NAND strings, each string typically having "one," or more memory cells. It should be understood that the memory array is positioned over - or a plurality of well regions contained within the common substrate to allow the local substrate potential of the memory array to be electrically controlled independently of the common substrate potential. The use of the substrate for a memory array of electrical Ba bodies may include the examination of such well regions. Each of the NAND strings 21-25 includes two select transistors (one at each end of the string) to controllably connect the strings to different bits in the global bit line BL0_BL4 The line is between the reference potential Vs that is typically provided at the common source line. Vs is typically grounded during reading, but a small positive value can be used during programming to assist in minimizing leakage across the source selection transistor. Voltage VssL is applied to respective source select gates 43-47 to control the connection of one of its respective memory cell strings 21_25 to the common source line. The other ends of the strings 2 1 - 25 are connected to the respective bit lines BL0 - BL4 via respective dipole selection transistors by a voltage vDSL applied to the drain selection gate 49 · 53. The row control circuit described below applies a voltage to each bit line representing the particular material to be written, or to 128354.doc -12-200837898 to sense the corresponding string or memory during the item fetch or setup operation The electrical current of the body unit. The selection transistor includes a respective source region 55_64 and a drain region 65_74 at the surface of the semiconductor substrate during surgery. The St. NAND array includes a control gate (word) line extending across a plurality of strings over a column of floating gates with a suitable insulating dielectric layer therebetween. The tight coupling between the control gate and the floating gate is required to minimize the control gate required to raise the coupled floating gate to the voltage level necessary to program and read its state. Electric. A control gate (word) line is used for each column of the floating gate. In order to fabricate an array having floating gates and control gates that are self-aligned in the y-direction (long along the length of the string), the control gate is typically used as a mask to form a floating gate, which then has The same size as the control gate in the y direction. In the NAND array presented below, the control gate (word) lines 81_84 are placed between the floating gates rather than on top of them. Each control gate line extends across a plurality of memory cell strings and is capacitively capacitively on both sides via a suitable insulating dielectric such as a multilayer oxide-nitride oxide (〇N〇) Face to the floating gate. The external coupling region of the jaw is known by using the side wall regions on both sides of the floating interpole. The floating gate can be made thicker (higher) than usual to increase the coupling area and then the control gate between them is at least as thick as the floating gate to utilize the additional coupling area. The advantage is that the handle a region can be controlled independently of the coupling region of the floating gate and the substrate with a large degree of weight, and even when the coupling region of the n-word moving idle electrode and the substrate is reduced in the future reduction period of the device size Lead to the high coupling ratio required. The principles, devices, and techniques disclosed below may also be used with more conventional NAND architectures having word lines positioned above the floating gates 128354.doc • 13-200837898. In the examples described below, the two control gate lines replace a single sub-line of a conventional NAND array. For example, a word line that may extend across the columns of floating gates 27, 30, 33, 36, and 39 in a conventional array is replaced by two control gate lines 81 and 82 (WL0 and WL1). Similarly, a word line that may typically extend across the columns of floating gates 28, 31, 34, 37, and 40 is replaced by two control gate lines 82 and 83 (WL1 and WL2). The control line 81_84 is elongated in the X direction across the array and separated in the 7 direction by the length of the floating gate and the thickness of the dielectric layer therebetween. Although the size of the memory floating gate is usually as small as the size allowed by photolithography in the X and y dimensions, the channel length (y size) of the selected transistors 43·47 and 49-53 is usually slightly larger than the minimum. The feature size is such that it ensures effective blocking of all conduction including leakage when a maximum voltage is applied across it. 5 and FIGS. 6A-6I depict the fabrication of a sigma knives of an exemplary nand memory according to an embodiment of the present invention, which includes two words in each column of the floating gate = ° depicting memory at various steps of the fabrication process A small portion of the array is selected as part of the larger process. The explanation of the principles disclosed is concise and omitted for various other steps known to those skilled in the art. It should be understood that - he can make revisions to the disclosed process. Figure 5 depicts an orthogonal cross-sectional view taken along line Β in the X direction of a memory cell column extending across a plurality of strings as depicted in Figure 4. Figure 7 depicts the orthogonal cross-section of the memory cell string along the line Α.Α in the 丫 direction. It should be noted that in the tree of Figure 6A, the substrate and well regions are not illustrated. Depending on the particular implementation of the ash V, I, one or more wells (e.g., Mitsui) are typically shaped as 128354.doc • 14-200837898 in the substrate 402. After implanting and associated annealing of the well for doping the substrate, a tunneling dielectric material layer 602 is formed on the surface 4 of the substrate. Different materials can be used for layer 6〇2, but oxygen cut (Si〇2)f is often grown on surface 4〇1 to form a tunneling oxide having a thickness of about 8 nm. Also used in known chemical vapor deposition (CVD) processes, metal organic CVD processes, physical vapor phase • M (PVD) processes, atomic layer deposition (ALD) processes, thermal, oxidation processes, or another suitable The process is to form a dielectric layer. Next, the first layer 604 of doped polysilicon is typically formed over at least the area of the array by low pressure chemical vapor deposition (LPCVD), but its subtraction can be used. The floating gate will be formed by this first polycrystalline layer. Different thicknesses of the first polycrystalline layer can be formed. For example, a thickness in the range of 5 〇 nm to 20 〇 nm can be used in one embodiment. In many NAND devices, this thickness is thicker than the usual poly-polycrystalline layer, and thus the floating gate formed later is thicker than the floating closed-pole of many conventional devices. Other Embodiments Other materials may be utilized to form the charge storage region. • A thin liner 606 of ruthenium dioxide is then formed on top of the polysilicon layer: according to various embodiments, different thicknesses can be used for the oxide profile. In a real case, the oxidized stone is deposited to form a high temperature oxide, (H: 0: thin liner. Other materials can be used in other implementations. Formed on the layer 7 The oxide or other (d) uses the money as a mask when forming individual floating gates or other charge storage regions. The sacrificial layer of nitride (8_4) is then deposited to a thickness generally between _(iv) and m. A cap is formed on top of the nitride layer for the remaining exposed nitride, oxide lining, polycrystalline stone, and etched 128354.doc -15-200837898 ^ two stacked strips in the π direction It spans the substrate (4) and is separated at a: usually, the separation in the χ direction is a small pitch size by the reticle forming. It is also preferable to make the width of the strip equal to: distance. (4) Anisotropic The surface 401 of the substrate 402 between the strips is exposed.

下一系列之步驟提供浮動閘極之所得行之間的電隔離。 在一實施例中使用淺溝槽隔離(STI),藉以各向異性地㈣ 經曝露之基板表面以形成溝槽97·1〇〇(圖5),該等溝槽在乂 方向上伸長且在乂方向上定位於多晶矽/介電質堆疊條帶之 間。可將此等溝槽餘刻至隱鳩nm之深度。可利用輕刪 劑量來植人經曝露之⑦表面區以在需要時局部地增加場氧 化物臨限電壓。接著在整個陣龍域之上沈積厚氧化物層 以完全填充此等溝槽及多晶矽/介電質堆疊式條帶之間的 空間。藉由化學機械研磨(CMP)來移除堆疊式條帶上方之 過里氧化物。相對平坦之表面接著跨越厚氧化物⑽及 氧化物襯墊條帶606而存在。如此項技術中所熟知,可使 用高溫退火來減輕矽隔離溝槽中之機械應力以及使此等溝 槽中之厚氧化物密化。溝槽將記憶體單元之相鄰行與基板 之其相應活性區域彼此隔離以界定個別NAND串。 可使用用於形成隔離溝槽之各種技術。有可能形成陣列 而不使用淺溝槽隔離,例如,藉由在矽表面上方形成厚介 電質隔離,而非在被蝕刻至其中的溝槽中形成厚介電質隔 離。如先前所描述之LOCOS或SWAMI技術可用於實施例 中在二貝化例中,可在浮動閘極及/或穿隨介電質之 128354.doc -16- 200837898 前形成隔離溝槽。在一實施例中形成深自對準式溝槽,如 以下專利申請案中所描述:Jack H. Yuan在2004年11月23 日提出申請之標題為"SELF-ALIGNED TRENCH FILLING WITH HIGH COUPLING RATIO"的美國專利申請案第 10/996,030號;及Jack H.Yuan在2005年10月14日提出申請 之標題為 nSELF-ALIGNED TRENCH FILLING FOR NARROW GAP ISOLOATION REGIONS,’的美國專利申請 案第1 1/25 1,3 86號,其全文皆以引用之方式全部併入本文 中〇 接著在平坦化表面之頂部上形成無摻雜多晶矽層608。 在此無摻雜多晶矽層608上形成氮化矽(Si3N4)之第二犧牲 層610。可使用氮化物層610之不同厚度。舉例而言,在一 實施例中,將氮化物沈積為80 nm與110 nm之間的厚度。 圖6A描繪在形成介入層608及氮化物層610之後的記憶體陣 列之部分。在一實施例中,在蝕刻基板表面以形成淺隔離 溝槽之前,而非如上文所描述在蝕刻基板表面之後,形成 無摻雜多晶矽層608及氮化物層610。 在稍後之製造步驟期間,無摻雜多晶矽層608可充當蝕 刻終止層。此外,無摻雜多晶矽將為隨後形成之用於形成 個別浮動閘極區域的多晶矽間隔提供穩定基礎。層608之 材料組合物經選擇以便提供用於此等薄薄形成之間隔的足 夠黏著力。用於間隔及層608之匹配材料之使用供應雙重 功能:提供蝕刻終止作為間隔形成之一部分,及提供匹配 表面以促進間隔之黏著力。在間隔與下伏層之間提供足夠 128354.doc -17- 200837898 黏著力具有增加之重要性,因為以較薄之尺寸及增加之縱 橫比來持續地製造間隔。此外,用於間隔及介入層之相同 或類似材料之使用可有助於不同膜之間的應力。若使用不 同材料之層,則不同材料將具有不同熱膨脹係數。不同熱 係數可引起不同材料之界面處的應力。當根據如本文所提 供之一或多個實施例來使用相同或類似材料時,匹配熱係 數可減小材料界面處的應力。 在傳統間隔製造製程中,尚未提供額外蝕刻終止層 門隔已直接形成於面溫氧化物層606或被提供於導電 閘極區域604上方的其他介電層上。在氧化物層6〇6上簡單 地形成多晶矽可能不會提供足夠黏著力來支撐隨後形成之 間隔。此等薄間隔可在作為製造製程之—部分而被形成及 移除方向支撐物(例如,氮化物61())之後基本上掉下來或失 效。其他材料組合亦可具有不夠之黏著性質,且因此,不 為製造提供適當剛性及完整性。此外,當未使用額外多晶 矽層608,因此在氮化物層61〇之後續蝕刻期間曝露氧化物 層時,餘刻製程可能不會精確地終止於氧化物層606之上 部表面處。此可損壞氧化物,使得不想要之生長可能在後 續製造步驟期間發生於其中。此外,氧化物襯墊606之非 故意蝕刻彳最終導致穿隧氧化物層602之厚度的不良變 化生牙隧氧化物厚度之變化時,亦可發生記憶體單 兀效能變化。例如,厚度之變化可影響所得記憶體單元之 臨限電S特徵&式化、擦除及讀取記憶體單元可皆受到 個別圮憶體單元之特徵之變化的影響。The next series of steps provides electrical isolation between the resulting rows of floating gates. Shallow trench isolation (STI) is used in one embodiment by anisotropically (d) exposed substrate surfaces to form trenches 97·1〇〇 (Fig. 5) that are elongated in the x-direction and Positioned in the 乂 direction between the polysilicon/dielectric stacking strips. These trenches can be left to the depth of the concealed nm. A lightly applied dose can be used to implant the exposed 7 surface area to locally increase the field oxide threshold voltage as needed. A thick oxide layer is then deposited over the entire formation to completely fill the space between the trenches and the polysilicon/dielectric stacked strips. Chemical impurities (CMP) are used to remove excess oxide over the stacked strips. The relatively flat surface then exists across the thick oxide (10) and oxide liner strips 606. As is well known in the art, high temperature annealing can be employed to mitigate the mechanical stresses in the germanium isolation trenches and to densify the thick oxides in such trenches. The trench isolates adjacent rows of memory cells from their respective active regions of the substrate to define individual NAND strings. Various techniques for forming isolation trenches can be used. It is possible to form an array without using shallow trench isolation, for example, by forming a thick dielectric isolation over the germanium surface rather than forming a thick dielectric isolation in the trenches etched into it. The LOCOS or SWAMI technique as previously described can be used in the embodiment. In the case of the babe, the isolation trench can be formed before the floating gate and/or the dielectric compliant 128354.doc -16-200837898. In one embodiment, a deep self-aligned trench is formed, as described in the following patent application: Jack H. Yuan filed on November 23, 2004, titled "SELF-ALIGNED TRENCH FILLING WITH HIGH COUPLING RATIO&quot U.S. Patent Application Serial No. 10/996,030; and U.S. Patent Application Serial No. 1/, filed on Oct. 14, 2005, the entire disclosure of which is incorporated herein by reference. 25, 3, 86, the entire disclosure of which is hereby incorporated by reference in its entirety, the entire entire entire entire entire entire entire entire entire portion A second sacrificial layer 610 of tantalum nitride (Si3N4) is formed on the undoped polysilicon layer 608. Different thicknesses of the nitride layer 610 can be used. For example, in one embodiment, the nitride is deposited to a thickness between 80 nm and 110 nm. FIG. 6A depicts portions of a memory array after formation of intervening layer 608 and nitride layer 610. In one embodiment, the undoped polysilicon layer 608 and the nitride layer 610 are formed prior to etching the surface of the substrate to form a shallow isolation trench, rather than after etching the surface of the substrate as described above. The undoped polysilicon layer 608 can serve as an etch stop layer during a later fabrication step. In addition, the undoped polysilicon will provide a stable basis for the subsequent formation of polysilicon spacers for forming individual floating gate regions. The material composition of layer 608 is selected to provide sufficient adhesion for such thinly formed spaces. The use of matching materials for the spacers and layers 608 provides a dual function: providing an etch stop as part of the spacer formation and providing a matching surface to promote adhesion of the spacers. Providing sufficient spacing between the spacer and the underlying layer 128354.doc -17-200837898 Adhesion is of increasing importance because the spacing is continuously created with a thinner size and an increased aspect ratio. In addition, the use of the same or similar materials for the spacing and intervening layers can contribute to the stress between the different membranes. If layers of different materials are used, the different materials will have different coefficients of thermal expansion. Different thermal coefficients can cause stress at the interface of different materials. When the same or similar materials are used in accordance with one or more embodiments as provided herein, matching the thermal coefficient can reduce stress at the interface of the material. In conventional trench fabrication processes, no additional etch stop layer gates have been provided that are formed directly on the surface temperature oxide layer 606 or on other dielectric layers provided over the conductive gate regions 604. Simply forming a polysilicon on the oxide layer 6〇6 may not provide sufficient adhesion to support the subsequently formed spacer. These thin spaces may substantially fall or fail after the formation and removal of the directional support (e.g., nitride 61()) as part of the manufacturing process. Other combinations of materials may also have insufficient adhesive properties and, therefore, do not provide adequate rigidity and integrity for manufacture. Moreover, when the additional polysilicon layer 608 is not used, the photoresist process may not terminate exactly at the upper surface of the oxide layer 606 when the oxide layer is exposed during subsequent etching of the nitride layer 61. This can damage the oxide such that unwanted growth can occur during subsequent manufacturing steps. In addition, a memory singularity change can also occur when the unintentional etch of the oxide liner 606 ultimately results in a poor change in the thickness of the tunnel oxide layer 602. For example, variations in thickness can affect the thresholding of the resulting memory cells. The characterization, erasing, and reading of memory cells can all be affected by changes in the characteristics of individual memory cells.

128354.doc -18- 200837898 在圖A·61中’介入層608及間隔層…均由無摻雜多晶 石夕形成。在其他實施例中’可使用不同材料。舉例… 氮化物介入層6〇8用於具有氮㈣間隔層618的一實:例 中。在-此實施例中,可利用二氧化石夕來替換犧牲氮化物 層 610 〇128354.doc -18- 200837898 In Fig. A·61, the 'intervention layer 608 and the spacer layer... are all formed of undoped polycrystalline glaze. Different materials may be used in other embodiments. By way of example, a nitride intervening layer 6〇8 is used in a case having a nitrogen (tetra) spacer layer 618: for example. In this embodiment, the sacrificial nitride layer 610 can be replaced by a dioxide dioxide 〇

一光罩形成於氮化物層61G之上以開始形成用於陣列之 個別浮動閘極區4。光罩可由形成於底部&反射塗層 (BARC)616之上之光阻條帶615形成,條帶經形成: 在X方向上延伸’及在y方向上具有由微影最小可解析元件 尺寸所確定之寬度及間距。圖6B描繪在形成光阻條帶615 及BARC 616之後沿線A-A之記憶體陣列的部分。在一實施 例中,光阻具有約210 nm之厚度,且BARC具有約9〇 nm2 厚度。 藉由使用光阻作為光罩,蝕刻氮化物層6丨〇,從而導致 如圖6C所示之具有氮化物條帶611、612、613及614之陣 列。多晶矽層608在如上文所描述蝕刻氮化物層610時充當 姓刻終止層。此不同於不使用可為氮化物餘刻製程提供終 止之介入層608之更多傳統製造製程。因為蝕刻在某種程 度上固有地為不可控制的,所以對HTO層606之不注意的 姓刻及損壞可發生於此等情況下,從而具有先前所描述之 有害效應。在本揭示案中,多晶矽608對氮化物蝕刻製程 有高度抵抗性。經選擇用於蝕刻氮化物層610之適當製程 可在達到多晶矽608層時突然終止蝕刻。對氮化物之選擇 性#刻將在達到多晶石夕後即終止,進而減小或消除層606 128354.doc •19- 200837898 之厚度變化,此厚度變化可最終導致穿隧氧化物層6〇2之 厚度變化。此外,可避免對HT〇層6〇6之損壞及/或ht〇層 6〇6之尺寸減小。藉由在氧化物層6〇6與犧牲氮化物之間提 供>»1入層可在如下文中所描述之浮動閘極之整個形成期 間維持氧化物層的完整性。 緊接著在一實施例中使用〇2電漿灰化、繼之以濕式化學 蝕刻之組合來移除光阻層615及BARC層616。piranha氧化 或其他清潔製程可用於自晶圓表面移除剩餘光阻及其他有 機材料。圖6D展示在移除光阻以曝露氮化物層61〇之上部 表面之後的記憶體陣列之部分。可藉由側向地底切或過度 蝕刻來使所得條帶之寬度小於光罩條帶的寬度。所產生之 相對厚之氮化物條帶610跨越多晶矽層6〇8及形成於溝槽 97-100中之隔離氧化物而在χ方向上延伸。進一步控制蝕 刻步驟,以便不會移除在y方向上延伸之多晶矽條帶 604(圖5中之區域97-100)之間的過量隔離氧化物。 下一系列之步驟形成稍後用作光罩以形成個別電荷儲存 區域的間隔。等形沈積製程(諸如,低壓化學氣相沈積 (LPCVD))用於形成多晶矽等形層618。等形沈積製程形成 多晶矽層,其在氮化物條帶611_614之側部分及頂部部分 上均具有大體上均一的厚度。圖6E描繪在沈積多晶矽層 61 8之後的記憶體陣列之部分。 圖6F描繪在蝕刻多晶矽層以形成個別多晶矽間隔62〇_ 634之後的記憶體陣列。乾式蝕刻製程(例如,反應性離子 蝕刻)用於一實施例中以在達到氮化物條帶611_614之前蝕 128354.doc -20- 200837898 刻多晶石夕。經沈積之多晶矽 τ # 尽度主要確定間隔的長度 L,其又且如稍後所描述確定 予勳閘極之長度,該長度顯 著地小於用於形成結構之製田 " 的取小寬度。氮化物條帶之 寬度W及間隔之長度L較祛姐、登埋 々 奴仏經選擇以沿多晶矽條帶6〇4的長 度而導致間隔之大體上相篝的 祁等的間距,因為此確定所得浮動 閘極在y方向上的間距。可古μ 可在餘刻以开> 成多晶石夕間隔之後 執行可選退火製程。用於开> 成p弓岐々=虛u 州%开y成間隔之反應性離子蝕刻可損 壞多晶碎側壁。此可導致為接鋒备&此A mask is formed over the nitride layer 61G to begin forming individual floating gate regions 4 for the array. The reticle may be formed by a photoresist strip 615 formed over the bottom & reflective coating (BARC) 616, the strip being formed: extending in the X direction and having a minimum resolvable element size by lithography in the y direction The determined width and spacing. FIG. 6B depicts a portion of the memory array along line A-A after formation of photoresist strip 615 and BARC 616. In one embodiment, the photoresist has a thickness of about 210 nm and the BARC has a thickness of about 9 Å nm2. The nitride layer 6 is etched by using a photoresist as a mask, resulting in an array having nitride strips 611, 612, 613 and 614 as shown in Fig. 6C. The polysilicon layer 608 acts as a surname termination layer when the nitride layer 610 is etched as described above. This is different from the more conventional manufacturing processes that do not use the intervening layer 608 that provides termination for the nitride remnant process. Since the etching is inherently uncontrollable to some extent, inadvertent surnames and damage to the HTO layer 606 can occur in such cases, thereby having the deleterious effects previously described. In the present disclosure, polysilicon 608 is highly resistant to nitride etching processes. A suitable process for etching the nitride layer 610 can be used to abruptly terminate the etch when the polysilicon layer 608 is reached. The selectivity to nitride will be terminated after the polycrystalline stone is reached, thereby reducing or eliminating the thickness variation of layer 606 128354.doc •19-200837898, which can ultimately result in tunneling oxide layer 6〇 2 thickness changes. In addition, damage to the HT layer 6 〇 6 and/or size reduction of the ht 〇 layer 6 〇 6 can be avoided. The integrity of the oxide layer can be maintained throughout the formation of the floating gate as described below by providing a ><1> entry between the oxide layer 6?6 and the sacrificial nitride. The photoresist layer 615 and the BARC layer 616 are removed in a subsequent embodiment using a combination of 〇2 plasma ashing followed by a wet chemical etch. Piranha oxidation or other cleaning processes can be used to remove residual photoresist and other organic materials from the wafer surface. Figure 6D shows a portion of the memory array after the photoresist is removed to expose the upper surface of the nitride layer 61. The width of the resulting strip can be made smaller than the width of the reticle strip by lateral undercutting or overetching. The resulting relatively thick nitride strip 610 extends in the x-direction across the polysilicon layer 6〇8 and the isolation oxide formed in the trenches 97-100. The etching step is further controlled so as not to remove excess isolating oxide between the polysilicon strips 604 (regions 97-100 in Figure 5) extending in the y-direction. The next series of steps form an interval that is later used as a reticle to form individual charge storage regions. An isotopic deposition process, such as low pressure chemical vapor deposition (LPCVD), is used to form a polycrystalline germanium isoform 618. The isomorphous deposition process forms a polysilicon layer having a substantially uniform thickness on both the side portions and the top portions of the nitride strips 611-614. Figure 6E depicts a portion of the memory array after deposition of the polysilicon layer 61 8 . Figure 6F depicts the memory array after etching the polysilicon layer to form individual polysilicon spacers 62 〇 634. A dry etch process (e.g., reactive ion etch) is used in an embodiment to etch 128354.doc -20-200837898 polycrystalline spine before the nitride strip 611_614 is reached. The deposited polycrystalline τ τ # is used to determine the length L of the interval, which in turn determines the length of the singular gate as described later, which is significantly smaller than the small width of the field used to form the structure. The width W of the nitride strip and the length L of the spacer are smaller than the spacing of the 多 、 登 登 登 沿 沿 沿 沿 沿 沿 沿 沿 沿 沿 沿 沿 沿 沿 沿 沿 沿 沿 沿 沿 沿 沿 沿 沿 沿 沿 沿 沿 沿 沿 沿The spacing of the resulting floating gates in the y direction. The optional μ annealing process can be performed after the interval of the polycrystalline stone. A reactive ion etch that can be used to open the > p-bend = virtual u state open y can damage the polycrystalline sidewalls. This can result in a backup for this & this

π双隹後續亂化物移除步驟期間經損 壞之多晶矽之不想要的蝕刻。退火經曝露之多晶矽可防止 不良餘刻。 濕式蝕刻用於在形成個別間隔616之後移除氮化物條帶 611-614。濕式蝕刻將使多晶矽間隔留在多晶矽蝕刻終止 層608上方。如先前所描述,蝕刻終止層為由先前製程所 產生之窄且尚的間隔提供黏著力及支撐。圖描繪在蝕刻 以移除氮化物條帶之後的記憶體陣列之部分。 在移除氮化物條帶6 11 - 614之後,組合餘刻製程用於形 成個別浮動閘極區域。化學非選擇性蝕刻首先用於移除間 隔620-634之間及外部的介入層608及氧化物襯墊層606之 部分。非選擇性蝕刻亦移除多晶矽間隔。在穿過薄氧化物 概塾層6 0 6而完全餘刻之後,對於多晶石夕有選擇性之蚀刻 用於牙過換雜多晶碎層6 0 4而触刻。 圖6Η描繪由所應用之組合蚀刻所產生之個別浮動閘極區 域,其中多晶矽間隔620-634形成於多晶矽蝕刻終止層上 方。相對於未使用匹配(相對於間隔組合物)介入層所達成 128354.doc -21 - 200837898 之輪廓’改良了浮動閘極區域640、642、644、646、 648、650、652及654之垂直輪廓。在氧化物襯墊之頂部上 形成間隔之傳統製程傾向於使間隔之向内錐形遠離基板之 表面。除了為間隔620-634提供黏著力及支撲以外,介入 層可充當蝕刻終止以減少HTO襯墊層606之蝕刻,因此為 間隔提供更垂直的輪廓。如圖6H中所說明,在每一浮動閘 極區域上方薄氧化物襯墊層606之部分具有與原始HT〇層 之厚度大體上相同的厚度。在傳統製程中,可能的係,由 於蝕刻氮化物層61 0而可能不良地減小氧化物襯墊厚度。 在餘刻多晶石夕以形成浮動閘極區域之後,可使用浮動閘 極及氧化物襯墊作為光罩來執行源極及汲極離子植入,如 圖61所示。可使用各種範圍之n+離子植入劑量,例如,自 5E13至1E15之範圍。浮動閘極640-654之間的植入式區域 660-672為離子植入之結果。接著沈積及蝕刻閘極間介電 質660。閘極間介電質常常為0N0,但可使用其他材料。 等形製程可用於提供閘極間介電質相對於浮動閘極區域 640-654之上部表面及側壁之大體上恆定的厚度。接著(例 如)藉由LPCVD而在陣列之上沈積第二摻雜多晶矽層以與 介電層660接觸來填充浮動閘極之間的間隙。藉由CMp或 各向異性回蝕而將過量多晶矽移除至ΟΝΟ之頂部氧化物 層’或至薄氧化物襯墊層606之上部表面。可執行額外多 晶矽蝕刻步驟以確保多晶矽在字線之間未短路且足夠地分 離以形成個別字線680-692,如圖61中所說明。個別字線 680-692形成用於記憶體單元之控制閘極。如早先所描 128354.doc -22- 200837898 述,在圖6A-6I中所描述之特定實施例巾,為每—浮動間 極在其側處提供兩個控制閘極,而非如在傳統财通記憶 體陣列中在上方提供。可執行各種後置製程(^也^ process)以結束陣列之製造。舉例而言,可沈積鈍化介電 層,繼之以沿長度而形成金屬導電線及通路以在記憶體單 兀串之末端處連接線與源極區域及汲極區域,且沿長度而 形成控制閘極線。 如先兩所提及,淺溝槽或其他隔離區域的形成可在製造 製程之不同階段處被執行。在一實施例中,在蝕刻先前所 形成之浮動閘極層之前沈積及蝕刻控制閘極層以形成字 線。接著蝕刻浮動閘極層以形成個別浮動閘極。在圖案化 及形成控制閘極及浮動閘極之後,可在製程結束時形成隔 離溝槽。 圖7描繪可使用所揭示技術之一或多個實施例而製造之 5己fe體單元陣列702的例示性結構。作為一實例,描述一 被分割成1,024個區塊之NAND快閃EEPR0M。可同時擦除 儲存於每一區塊中之資料。在一實施例中,區塊為同時被 擦除之單元的最小單位。在此實例中,在每一區塊中存在 8,5 12個行’其被分成偶數行及奇數行。位元線亦被分成 偶數位元線(BLE)及奇數位元線(BL0)。圖7展示經串聯連 接以形成NAND串之四個記憶體單元。儘管將四個單元展 不為包括於每一 NAND串中,但可使用多於四個或少於四 個(例如,16個、32個或另一數目)。NAND串之一個端子 經由第一選擇電晶體(亦被稱為選擇閘極)SGd而連接至相 128354.doc -23- 200837898 應位元線,且另一端子經由第二選擇電晶體SGS而連接至0 源極。 在一實施例之記憶體單元之讀取及程式化操作期間,同 時選擇4,256個記憶體單元。所選擇之記憶體單元具有相 同字線(例如,WL2-i),及相同種類之位元線(例如,偶數 位元線)。因此,可同時讀取或程式化532位元組之資料。 同時被讀取或程式化之此等532位元組之資料形成一邏輯 頁。因此’在此實例中,一個區塊可儲存至少八頁。當每 一圮憶體單元儲存資料之兩個位元(例如,多級單元)時, 一個區塊儲存16頁。在另一實施例中,形成一利用所有位 元線架構以使得同時選擇區塊内之每一位元線(包括在X方 向上相鄰之位元線)的記憶體陣列。 , 圖8為可用於實施本揭示案之一或多個實施例之快閃記 憶體系統之一實施例的方塊圖。亦可使用其他系統及實 施。記憶體單元陣列802受控於行控制電路804、列控制電 路806、c源極控制電路81〇及p井控制電路808。行控制電 路8〇4連接至記憶體單元陣列802之位元線以用於讀取儲存 於記憶體單元中之資料、用於確定程式化操作期間記憶體 單元之狀態’及用於控制位元線之電位位準以促進或抑制 程式化及擦除。列控制電路806連接至字線以選擇字線中 之一者、施加讀取電壓、施加與受控於行控制電路8之 位元線電位位準組合的程式電壓,及施加擦除電壓。c源 極控制電路810控制連接至記憶體單元的共同源極線(在圖 7中被標記為"C源極”)。P井控制電路808控制p井電壓。 128354.doc -24- 200837898 儲存於兄憶體單元中之資料由行控制電路8G4讀出且經 由資料輪入/輸出緩衝器812而輸出至外部I/O線。待儲存於 記憶體單元中之程式資料經由外部I/O線而輸入至資料輸 入/輸出缓衝器8 i 2且轉移至行控制1路8 〇 4。外部j / 〇線連 接至控制器81 8。 將用於控制快閃記憶體裝置之指令資料輸入至控制器 818。指令資料通知快閃記憶體請求何種操作。將輸入指 7轉移至為控制電路815之一部分的狀態機81 ό。狀態機 8 16控制行控制電路8〇4、列控制電路⑼$、^源極控制 810 Ρ井控制電路808及資料輸入/輸出緩衝器812。狀態 機816亦可輸出快閃記憶體之狀態資料,諸如, READY/BUSY或 PASS/FAIL。 控制器818連接至主機系統或可與主機系統連接,諸 如,個人電腦、數位相機,或個人數位助理,等等。其與 起始指令(諸如,用以將資料儲存至記憶體陣列8〇2或自記 k體陣列802讀取資料)之主機通信,且提供或接收此資 料。控制器818將此等指令轉換成可由作為控制電路8丨5之 一部分之指令電路814解譯及執行的指令信號。指令電路 814與狀態機816通信。控制器818通常含有用於被寫入至 記憶體陣列或自記憶體陣列讀取之使用者資料的缓衝器記 憶體。 一例示性記憶體系統包含一包括控制器8丨8之積體電 路,及各自含有一記憶體陣列及關聯控制、輸入/輸出及 狀態機電路之一或多個積體電路晶片。存在將系統之記憶 128354.doc -25- 200837898 之捕軌及控制器電路一起整合於一或多個積體電路晶片上 ^趨勢。記憶體系統可經嵌人作為主機㈣之—部分,或 可匕括於以可移除方式插入至主機系統中之記憶卡(或其The unwanted etching of the damaged polysilicon during the π double 隹 subsequent chaotic removal step. Annealing the exposed polysilicon prevents undesirable defects. Wet etching is used to remove the nitride strips 611-614 after forming the individual spaces 616. The wet etch will leave the polysilicon spacers above the polysilicon etch stop layer 608. As previously described, the etch stop layer provides adhesion and support for the narrow and yet spaced spacing created by previous processes. The figure depicts a portion of the memory array after etching to remove the nitride strip. After removing the nitride strips 6 11 - 614, the combined pass process is used to form individual floating gate regions. Chemical non-selective etching is first used to remove portions of intervening layer 608 and oxide liner layer 606 between and outside of spaces 620-634. Non-selective etching also removes the polysilicon spacer. After passing through the thin oxide layer 606 and completely remnant, the selective etching for the polycrystalline spine is used to etch the polycrystalline fracture layer 6 04. Figure 6A depicts individual floating gate regions resulting from the applied combination etch, wherein polysilicon spacers 620-634 are formed over the polysilicon etch stop layer. The profile of 128354.doc -21 - 200837898 is achieved relative to the unused matching (relative to the spacer composition). The vertical profile of the floating gate regions 640, 642, 644, 646, 648, 650, 652 and 654 is improved. . Conventional processes for forming spacers on top of the oxide liner tend to have the spacers taper inwardly away from the surface of the substrate. In addition to providing adhesion and bucking for the spaces 620-634, the intervening layer can act as an etch stop to reduce the etching of the HTO liner layer 606, thus providing a more vertical profile for the spacing. As illustrated in Figure 6H, portions of the thin oxide liner layer 606 over each floating gate region have substantially the same thickness as the thickness of the original HT layer. In conventional processes, it is possible that the thickness of the oxide liner may be poorly reduced by etching the nitride layer 61 0 . After the polycrystalline spine is formed to form a floating gate region, source and drain ion implantation can be performed using a floating gate and an oxide liner as a mask, as shown in FIG. Various ranges of n+ ion implant doses can be used, for example, from 5E13 to 1E15. The implanted region 660-672 between the floating gates 640-654 is the result of ion implantation. The inter-gate dielectric 660 is then deposited and etched. The dielectric between the gates is often 0N0, but other materials can be used. The iso-form process can be used to provide a substantially constant thickness of the inter-gate dielectric relative to the upper surface and sidewalls of the floating gate regions 640-654. A second doped polysilicon layer is then deposited over the array by LPCVD to contact the dielectric layer 660 to fill the gap between the floating gates. Excess polycrystalline germanium is removed to the top oxide layer of tantalum or to the upper surface of thin oxide liner layer 606 by CMp or anisotropic etch back. An additional polysilicon etch step can be performed to ensure that the polysilicon is not shorted between word lines and sufficiently separated to form individual word lines 680-692, as illustrated in FIG. Individual word lines 680-692 form a control gate for the memory cell. As described in the earlier description of 128,354. doc -22-200837898, the specific embodiment of the towel described in Figures 6A-6I provides two control gates at each side of the floating pole, rather than as in the traditional wealth Provided above in the memory array. Various post-processes can be performed to end the fabrication of the array. For example, a passivation dielectric layer can be deposited, followed by metal conductive lines and vias along the length to connect the line and source regions and the drain regions at the ends of the memory strings, and form control along the length Gate line. As mentioned first, the formation of shallow trenches or other isolated regions can be performed at different stages of the fabrication process. In one embodiment, the control gate layer is deposited and etched to form a word line prior to etching the previously formed floating gate layer. The floating gate layer is then etched to form individual floating gates. After patterning and forming the control gate and floating gate, an isolation trench can be formed at the end of the process. FIG. 7 depicts an illustrative structure of a five-body array 702 that can be fabricated using one or more embodiments of the disclosed technology. As an example, a NAND flash EEPR0M that is divided into 1,024 blocks is described. The data stored in each block can be erased at the same time. In one embodiment, the block is the smallest unit of cells that are simultaneously erased. In this example, there are 8, 5 12 rows in each block' which are divided into even rows and odd rows. The bit lines are also divided into even bit lines (BLE) and odd bit lines (BL0). Figure 7 shows four memory cells connected in series to form a NAND string. Although four cells are not included in each NAND string, more than four or less than four (e.g., 16, 32, or another number) may be used. One terminal of the NAND string is connected to the phase 128354.doc -23-200837898 via bit line via a first select transistor (also referred to as select gate) SGd, and the other terminal is connected via a second select transistor SGS To 0 source. During the reading and stylizing operations of the memory cells of one embodiment, 4,256 memory cells are simultaneously selected. The selected memory cells have the same word line (e.g., WL2-i) and the same type of bit line (e.g., even bit lines). Therefore, the 532-bit data can be read or programmed simultaneously. The data of these 532-bit tuples that are simultaneously read or programmed form a logical page. Thus, in this example, one block can store at least eight pages. When each memory unit stores two bits of data (e.g., multi-level cells), one block stores 16 pages. In another embodiment, a memory array is formed that utilizes all bit line architectures such that each bit line within the block (including bit lines adjacent in the X direction) is simultaneously selected. Figure 8 is a block diagram of one embodiment of a flash memory system that can be used to implement one or more embodiments of the present disclosure. Other systems and implementations are also available. The memory cell array 802 is controlled by a row control circuit 804, a column control circuit 806, a c source control circuit 81A, and a p-well control circuit 808. The row control circuit 8〇4 is connected to the bit line of the memory cell array 802 for reading data stored in the memory cell, for determining the state of the memory cell during the stylizing operation' and for controlling the bit The potential level of the line is to promote or inhibit stylization and erasure. Column control circuit 806 is coupled to the word line to select one of the word lines, apply a read voltage, apply a program voltage in combination with a bit line potential level controlled by row control circuit 8, and apply an erase voltage. The c source control circuit 810 controls a common source line (labeled "C source) in Figure 7). The P well control circuit 808 controls the p-well voltage. 128354.doc -24- 200837898 The data stored in the brother memory unit is read by the row control circuit 8G4 and output to the external I/O line via the data wheel input/output buffer 812. The program data to be stored in the memory unit is via external I/O. The line is input to the data input/output buffer 8 i 2 and transferred to the row control 1 channel 8 〇 4. The external j / 〇 line is connected to the controller 81 8. The instruction data for controlling the flash memory device is input. To the controller 818. The instruction data informs the flash memory what operation is requested. The input finger 7 is transferred to the state machine 81 that is part of the control circuit 815. The state machine 8 16 controls the row control circuit 8〇4, the column control circuit (9) $, ^ source control 810 well control circuit 808 and data input/output buffer 812. State machine 816 can also output status data of flash memory, such as READY/BUSY or PASS/FAIL. To the host system or can be connected to the host system , for example, a personal computer, a digital camera, or a personal digital assistant, etc., which communicates with a host computer, such as a host device for storing data to the memory array 8〇2 or the self-recording k-body array 802. The data is provided or received. Controller 818 converts the instructions into command signals that can be interpreted and executed by instruction circuitry 814 as part of control circuitry 8.5. Instruction circuitry 814 is in communication with state machine 816. Controller 818 Typically, a buffer memory is provided for user data that is written to or read from the memory array. An exemplary memory system includes an integrated circuit including controllers 8 and 8 and respective One or more integrated circuit chips including a memory array and associated control, input/output, and state machine circuits. There is a system for integrating the tracking and controller circuits of the system 128354.doc -25-200837898 into one or A plurality of integrated circuit wafers. The memory system can be embedded as part of the host (4), or can be included in a memory card that is removably inserted into the host system (or

他封裝)中。# + _ ^ t T ^ 、 匕括整個記憶體系統(例如,包括控制 器)^僅包括具有目聯周邊電路(具有經散入於主機中之控 '器或控制功旬之記憶體陣列。因&,控制器可經嵌入 於主機中或包括於可移除之記憶體系統内。He encapsulates). # + _ ^ t T ^ , including the entire memory system (for example, including the controller) ^ only includes a memory array having a peripheral circuit (having a control device or control power scattered into the host). Because &, the controller can be embedded in the host or included in the removable memory system.

,、、出於A明及描述之目的而呈現上述詳細描述。其不意 欲為坪盡的或將本文所主張之標的物限於所揭#之精破形 式與按照以上教示,許多修改及變化係可能的。選擇所描 述貝轭例以便最佳地解釋所揭示技術之原理及其實踐應 用,以進而使熟習此項技術者能夠在各種實施例中且以適 於所預期之特定用途的各種修改來最佳地利用該技術。意 欲藉由此處隨附之申請專利範圍來界定本發明之範疇。 【圖式簡單說明】 圖1為NAND串之俯視圖。 圖2為圖1中所描繪之NAND串之等效電路圖。 圖3為可根據一實施例而製造之兩個NAND串之一對四個 字線長部分的三維圖式。 圖4為根據一實施例之NAND快閃記憶體陣列之一部分的 平面圖。 圖5為沿圖4中所描繪之快閃記憶體陣列之部分的線β·β 所截取之正交橫截面圖。 圖6A_6I描繪根據一實施例之在一製造製程期間的各種 128354.doc -26- 200837898 步驟處圖4之記憶體陣列之一部分。 圖7描繪根據一實施例之記憶體陣列之例示性組織。 圖8為可用於實施揭示技術之一實施例的例示性記憶體 系統之方塊圖。The above detailed description is presented for the purpose of A and the description. It is not intended to be exhaustive or to limit the scope of the subject matter claimed herein. The described examples of the conjugate are chosen to best explain the principles of the disclosed technology and its practical applications in order to enable those skilled in the art to <RTIgt; Use this technology. It is intended that the scope of the invention be defined by the scope of the appended claims. BRIEF DESCRIPTION OF THE DRAWINGS Fig. 1 is a plan view of a NAND string. 2 is an equivalent circuit diagram of the NAND string depicted in FIG. 1. 3 is a three-dimensional illustration of one of four NAND strings that can be fabricated in accordance with an embodiment. 4 is a plan view of a portion of a NAND flash memory array in accordance with an embodiment. Figure 5 is an orthogonal cross-sectional view taken along line β·β of a portion of the flash memory array depicted in Figure 4. Figures 6A-6I depict a portion of the memory array of Figure 4 at various steps of 128354.doc -26-200837898 during a fabrication process, in accordance with an embodiment. FIG. 7 depicts an exemplary organization of a memory array in accordance with an embodiment. 8 is a block diagram of an exemplary memory system that can be used to implement one embodiment of the disclosed technology.

【主要元件符號說明】 21 NAND 串 22 NAND 串 23 NAND 串 24 NAND 串 25 NAND 串 27 浮動閘極 28 浮動閘極 29 浮動閘極 30 浮動閘極 31 浮動閘極 32 浮動閘極 33 浮動閘極 34 浮動閘極 35 浮動閘極 36 浮動閘極 37 浮動閘極 38 浮動閘極 39 浮動閘極 40 浮動閘極 128354.doc -27- 200837898 41 浮動閘極 43 源極選擇閘極 44 源極選擇閘極 45 源極選擇閘極 46 源極選擇閘極 47 源極選擇閘極 55 源極區域 56 源極區域 57 源極區域 5 8 源極區域 59 源極區域 60 源極區域 61 源極區域 62 源極區域 63 源極區域 64 源極區域 65 汲極區域 66 沒極區域 67 汲極區域 68 汲極區域 69 汲極區域 70 汲極區域 71 汲極區域 72 汲極區域 128354.doc ^ 28 - 200837898 73 &gt;及極區域 74 &gt;及極區域 82 控制閘極線 83 控制閘極線 84 控制閘極線 - 97 溝槽 98 溝槽 99 溝槽 100 溝槽/電晶體 100CG 控制閘極 100FG 浮動閘極 102 電晶體 102CG 控制閘極 102FG 浮動閘極 104 電晶體 • 104CG 控制閘極 104FG 浮動閘極 106 電晶體 « 106CG 控制閘極 106FG 浮動閘極 120 第一選擇閘極 122 第二選擇閘極 126 位元線觸點 128 源極線觸點 128354.doc •29- 200837898[Main component symbol description] 21 NAND string 22 NAND string 23 NAND string 24 NAND string 25 NAND string 27 floating gate 28 floating gate 29 floating gate 30 floating gate 31 floating gate 32 floating gate 33 floating gate 34 Floating Gate 35 Floating Gate 36 Floating Gate 37 Floating Gate 38 Floating Gate 39 Floating Gate 40 Floating Gate 128354.doc -27- 200837898 41 Floating Gate 43 Source Select Gate 44 Source Select Gate 45 Source Select Gate 46 Source Select Gate 47 Source Select Gate 55 Source Region 56 Source Region 57 Source Region 5 8 Source Region 59 Source Region 60 Source Region 61 Source Region 62 Source Region 63 Source region 64 Source region 65 Datum region 66 Well region 67 Bungee region 68 Bungee region 69 Bungee region 70 Bungee region 71 Bungee region 72 Bungee region 128354.doc ^ 28 - 200837898 73 &gt ; and the polar region 74 &gt; and the polar region 82 control gate line 83 control gate line 84 control gate line - 97 trench 98 trench 99 trench 100 trench / transistor 100C G control gate 100FG floating gate 102 transistor 102CG control gate 102FG floating gate 104 transistor • 104CG control gate 104FG floating gate 106 transistor « 106CG control gate 106FG floating gate 120 first selection gate 122 Second selection gate 126 bit line contact 128 source line contact 128354.doc •29- 200837898

302 NAND 串 3 04 NAND 串 3 06 空隙 320 P井 326 N井 332 浮動閘極層 336 控制閘極層 702 記憶體單元陣列 802 記憶體單元陣列 804 行控制電路 806 列控制電路 808 P井控制電路 810 c源極控制電路 812 資料輸入/輸出緩衝器 814 指令電路 815 控制電路 816 狀態機 818 控制器 BLO 位元線 BL1 位元線 BL2 位元線 BL3 位元線 BL4 位元線 BLE〇 偶數位元線 128354.doc -30- 200837898302 NAND string 3 04 NAND string 3 06 gap 320 P well 326 N well 332 floating gate layer 336 control gate layer 702 memory cell array 802 memory cell array 804 row control circuit 806 column control circuit 808 P well control circuit 810 c source control circuit 812 data input/output buffer 814 instruction circuit 815 control circuit 816 state machine 818 controller BLO bit line BL1 bit line BL2 bit line BL3 bit line BL4 bit line BLE 〇 even bit line 128354.doc -30- 200837898

BLEi 偶數位元線 ble2 偶數位元線 BLE4255 偶數位元線 BLO〇 奇數位元線 BL〇! 奇數位元線 blo2 奇數位元線 BLO4255 奇數位元線 SGD 第一選擇電晶體 SGD—i 選擇電晶體 SGS 第二選擇電晶體 SGS_i 選擇電晶體 WLO 字線 WL1 字線 WL2 字線 WL3 字線 WL0_i 字線 WL1 一i 字線 WL2_i 字線 WL3 i 字線 128354.doc -31 -BLEi even bit line ble2 even bit line BLE4255 even bit line BLO 〇 odd bit line BL〇! odd bit line blo2 odd bit line BLO4255 odd bit line SGD first select transistor SGD-i select transistor SGS second select transistor SGS_i select transistor WLO word line WL1 word line WL2 word line WL3 word line WL0_i word line WL1 one i word line WL2_i word line WL3 i word line 128354.doc -31 -

Claims (1)

200837898 申請專利範圍: 1. 一種形成一整合式半導體裝置之方法,其包含: 在一基板上方提供一第一介電層; 在該第-介電層上方提供一第—導電層; 在該第一導電層上方提供一介入層; 在該::層上方提供具有在—第:方向上伸 之一組犧牲材料條帶; 土 =等犧牲材料條帶之該等側壁而形成間隔,該等間 隔具有-大體上類似於該介入層之材料組合物;及 蝕刻該等條帶、該介入層及該第一導電層 數個導電閘極區域。 θ ^ 2·如請求項1之方法,其中: :㈣包括對該等犧牲材料條帶之一材料組合物有選 ―弟—钱刻製程,該等條帶之該材料組合物大體 f不同t該介人層之該材料組合物.,該介人層為該選擇 性钱刻提供一餘刻終止;且 該姓刻包括使用該等間隔作為一用於姓刻該第一導電 層之光罩的至少一額外蝕刻製程。 3.如請求項1之方法,其中: 該整合式半導體裝置包括一非揮發性記憶體陣列; 該複數個導電閘極區域為該記憶體陣列之浮動閘極;且 該方法進一步包含: 在該等浮動閘極之上提供一第二介電層,及 為該等浮動閘極中之每—者提供—單—控制開極, 128354.doc 200837898 介電層而與一相應浮動閘極 該等控制閘極藉由該第 之一上部表面分離。 4·如請求項1之方法,其中: 該整合式半導體裝置包括一 —&amp; i ^ 非揮發性記憶體陣列; 該複數個導電閘極區域為 外b各 π X 〇己體陣列之洋動閘極, =㈣間極具有在該第一方向上伸長之大體上垂直的 ::且在一第二方向上以其間之間隔而與相鄰浮動閉極 刀離^,且200837898 Patent Application Range: 1. A method of forming an integrated semiconductor device, comprising: providing a first dielectric layer over a substrate; providing a first conductive layer over the first dielectric layer; Providing an intervening layer over a conductive layer; providing a spacer having a set of sacrificial material strips extending in a -first direction; and a sidewall of the sacrificial material strip forming a space above the :: a material composition substantially similar to the intervening layer; and etching the strips, the intervening layer and the plurality of electrically conductive gate regions of the first conductive layer. θ ^ 2· The method of claim 1, wherein: (d) comprises a material composition of one of the strips of the sacrificial material, wherein the material composition of the strips is substantially different. The material layer of the interposer layer, the interposer layer provides a momentary termination for the selective money engraving; and the surname includes using the spacers as a mask for the first conductive layer At least one additional etching process. 3. The method of claim 1, wherein: the integrated semiconductor device comprises a non-volatile memory array; the plurality of conductive gate regions are floating gates of the memory array; and the method further comprises: Providing a second dielectric layer over the floating gate, and providing a single-control open-pole for each of the floating gates, 128354.doc 200837898 dielectric layer and a corresponding floating gate The control gate is separated by the first upper surface of the first. 4. The method of claim 1, wherein: the integrated semiconductor device comprises a -&amp; i ^ non-volatile memory array; the plurality of conductive gate regions are externally π X 〇 The gate, the (four) interpole has a substantially vertical extension in the first direction: and is spaced apart from the adjacent floating closed-pole knife at a distance therebetween in a second direction, and 該方法進一步包含: 沿該等浮動閘極之該等側壁而提供一第二介電層,及 提供至少部分地佔據相鄰浮動閘極之間的該;間隔 f控制間極’該等控制閘極藉由該第二介電層而與該 等浮動閘極之該等側壁分離。 5·如μ求項4之方法,其中該非揮發性記憶體卩車列為快閃 ^ 體單元之一 NAND記憶體陣列。 6·如請求項4之方法,其中提供該第二介電層包含沈積一 為氧化物、氮化物及氧化物之三層。 7.如請求項4之方法,其進一步包含: 在提供該介入層之前,在該第一導電層之上形成一襯 塾層’其中該介入層形成於該襯墊層之上。 8·如請求項7之方法,其中: 該等間隔係由多晶矽形成; 該介入層係由多晶矽形成; 該襯墊層係由一氧化物介電質形成;且 128354.doc 200837898 該犧牲材料為一氮化物介電質。 9. 如請求項8之方法,其中: 該第一導電層係由摻雜多晶矽形成; 該等間隔係由無摻雜多晶矽形成;且 該介入層係由無摻雜多晶矽形成。 10. 如請求項1之方法,其中: ,該介入層與該等間隔具有大體上類似的熱膨脹係數。The method further includes: providing a second dielectric layer along the sidewalls of the floating gates, and providing the at least partially occupying between the adjacent floating gates; the interval f controlling the gates of the control gates The poles are separated from the sidewalls of the floating gates by the second dielectric layer. 5. The method of claim 4, wherein the non-volatile memory is listed as one of the flash memory cells. 6. The method of claim 4, wherein providing the second dielectric layer comprises depositing three layers of oxides, nitrides, and oxides. 7. The method of claim 4, further comprising: forming a liner layer over the first conductive layer prior to providing the intervening layer, wherein the intervening layer is formed over the liner layer. 8. The method of claim 7, wherein: the spacers are formed of polysilicon; the intervening layer is formed of polysilicon; the liner layer is formed of an oxide dielectric; and 128354.doc 200837898 the sacrificial material is A nitride dielectric. 9. The method of claim 8, wherein: the first conductive layer is formed of doped polysilicon; the spacers are formed of undoped polysilicon; and the intervening layer is formed of undoped polysilicon. 10. The method of claim 1, wherein: the intervening layer has a substantially similar coefficient of thermal expansion as the intervals. 128354.doc128354.doc
TW097101538A 2007-01-15 2008-01-15 Methods of forming spacer patterns using assist layer for high density semiconductor devices TWI365515B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/623,315 US7773403B2 (en) 2007-01-15 2007-01-15 Spacer patterns using assist layer for high density semiconductor devices
US11/623,314 US7592225B2 (en) 2007-01-15 2007-01-15 Methods of forming spacer patterns using assist layer for high density semiconductor devices

Publications (2)

Publication Number Publication Date
TW200837898A true TW200837898A (en) 2008-09-16
TWI365515B TWI365515B (en) 2012-06-01

Family

ID=39361803

Family Applications (1)

Application Number Title Priority Date Filing Date
TW097101538A TWI365515B (en) 2007-01-15 2008-01-15 Methods of forming spacer patterns using assist layer for high density semiconductor devices

Country Status (2)

Country Link
TW (1) TWI365515B (en)
WO (1) WO2008089153A2 (en)

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4776922A (en) * 1987-10-30 1988-10-11 International Business Machines Corporation Formation of variable-width sidewall structures
US6960510B2 (en) * 2002-07-01 2005-11-01 International Business Machines Corporation Method of making sub-lithographic features
US6888755B2 (en) * 2002-10-28 2005-05-03 Sandisk Corporation Flash memory cell arrays having dual control gates per memory cell charge storage element
US7183205B2 (en) * 2004-06-08 2007-02-27 Macronix International Co., Ltd. Method of pitch dimension shrinkage
US7151040B2 (en) * 2004-08-31 2006-12-19 Micron Technology, Inc. Methods for increasing photo alignment margins
US7115525B2 (en) * 2004-09-02 2006-10-03 Micron Technology, Inc. Method for integrated circuit fabrication using pitch multiplication

Also Published As

Publication number Publication date
WO2008089153A3 (en) 2008-09-12
TWI365515B (en) 2012-06-01
WO2008089153A2 (en) 2008-07-24

Similar Documents

Publication Publication Date Title
TWI387059B (en) Integrated non-volatile memory and peripheral circuitry fabrication
US7773403B2 (en) Spacer patterns using assist layer for high density semiconductor devices
JP4933048B2 (en) Flash memory cell array having double control gates per memory cell charge storage element
US7795080B2 (en) Methods of forming integrated circuit devices using composite spacer structures
TWI324390B (en) Integrated circuit and method of fabricating the same
US7592223B2 (en) Methods of fabricating non-volatile memory with integrated select and peripheral circuitry and post-isolation memory cell formation
TWI594420B (en) Non-volatile memory components and methods of making the same
TWI223871B (en) Floating gate memory fabrication methods comprising a field dielectric etch with a horizontal etch component
US8143156B2 (en) Methods of forming high density semiconductor devices using recursive spacer technique
US7736973B2 (en) Non-volatile memory arrays having dual control gate cell structures and a thick control gate dielectric and methods of forming
WO2014092943A1 (en) Air gap isolation in non-volatile memory using sacrificial films
WO2011156695A1 (en) Air gap isolation between the bit lines of a non-volatile memory and methods of manufacturing the same
US7592225B2 (en) Methods of forming spacer patterns using assist layer for high density semiconductor devices
TW201214630A (en) Non-volatile memory with flat cell structures and air gap isolation
EP1815519A1 (en) Self-aligned trench filling with high coupling ratio
TW200929448A (en) Non-volatile storage with substrate cut-out and process of fabricating
TW201242029A (en) PN floating gate non-volatile storage element
CN109979818A (en) The semiconductor device and its manufacturing method of pattern with tool different characteristic size
WO2015112404A1 (en) Non-volatile storage element with suspended charge storage region
TW200837898A (en) Methods of forming spacer patterns using assist layer for high density semiconductor devices
JP2005260235A (en) Embedded bit line type nonvolatile floating gate memory cell having independently controllable control gate in trench, array of cell, and method for manufacturing cell
US7982262B2 (en) NAND memory device with inversion bit lines
KR20040059276A (en) Flash Memory Device And Method For Manufacturing The Same

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees