TW200814193A - Heat treatment method, heat treatment apparatus and substrate processing apparatus - Google Patents

Heat treatment method, heat treatment apparatus and substrate processing apparatus Download PDF

Info

Publication number
TW200814193A
TW200814193A TW096119228A TW96119228A TW200814193A TW 200814193 A TW200814193 A TW 200814193A TW 096119228 A TW096119228 A TW 096119228A TW 96119228 A TW96119228 A TW 96119228A TW 200814193 A TW200814193 A TW 200814193A
Authority
TW
Taiwan
Prior art keywords
heat treatment
organic compound
processing container
substrate
film
Prior art date
Application number
TW096119228A
Other languages
English (en)
Inventor
Hidenori Miyoshi
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of TW200814193A publication Critical patent/TW200814193A/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • H01L21/3122Layers comprising organo-silicon compounds layers comprising polysiloxane compounds
    • H01L21/3124Layers comprising organo-silicon compounds layers comprising polysiloxane compounds layers comprising hydrogen silsesquioxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • H01L21/3122Layers comprising organo-silicon compounds layers comprising polysiloxane compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31629Deposition of halogen doped silicon oxide, e.g. fluorine doped silicon oxide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31633Deposition of carbon doped silicon oxide, e.g. SiOC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31695Deposition of porous oxides or porous glassy oxides or oxide based porous glass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76828Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)
  • Coating Apparatus (AREA)
  • Application Of Or Painting With Fluid Materials (AREA)

Description

200814193 九、發明說明 【發明所屬之技術領域】 本發明是關於對藉由塗佈形成有特定膜之基板,或是 形成有低介電率層間絕緣(low-k )膜之基板施予熱處理 之熱處理方法及熱處理裝置,以及具備該熱處理裝置之基 板處理裝置。 【先前技術】 半導體裝置近來是以提升動作速度以及小型化爲目的 ’多層設置配線。再者,爲了提高動作速度,因必須降低 配線之電阻及配線間之電容,故配線多使用電阻低之Cu (銅),設置在Cu配線間之層間絕緣膜多使用低介電率 材料以降低Cu配線間之電容。 由低介電率所構成之低介電率層間絕緣膜(l〇w-k膜 )是依據藉由將塗佈液供給至半導體晶圓之表面而使半導 體晶圓旋轉,擴散塗佈液之塗佈法(SOD : Spin on Dielectric),或是藉由將原料氣體供給至半導體晶圓之表 面利用化學反應使分解或合成而堆積生成物的化學性氣相 沉積(CVD: Chemical Vapor Deposition),被形成在半 導體晶圓之表面。 於藉由SOD形成low-k膜之時,因通常緩和l〇w-k膜 之內部應力,並且確保機械性強度,對成膜厚之半導體晶 圓施予熱處理。再者,即使爲藉由CVD形成l〇w-k膜, 依所選擇之低介電率材料佈同,有必須於成膜後施予熱處 200814193 理之情形。熱處理一般雖然在真空或氮氣環境下執行,但 是要製造出完全真空或氮氣環境極爲困難,因環境中容易 含有氧等之不純物,故如此之方法則須擔心因環境中所含 之氧而導致low-k膜惡化(氧化)。 ' 因此,雖然嘗試在當作反應性(還原性)氣體被使用 * 之氫氣體或是氨氣體的環境下,執行熱處理,但是(參照 例如專利文獻1 )、氫氣體或是氨氣體之反應性也有無法 φ 抑制low-k膜惡化之情形。 〔專利文獻1〕日本特開2003-1 58 1 26號公報 【發明內容】 本發明之目的在於提供能夠確實抑制藉由塗佈所形成 之膜或是l〇w-k膜之惡化的熱處理方法及熱處理裝置。 本發明之其他目的在於提供具備有如此熱處理裝置之 基板處理裝置。 • 若藉由本發明之第1觀點,則提供一種熱處理方法, 包含準備具有藉由塗佈而形成之膜的基板;和在具有還原 性之有機化合物之環境下加熱上述基板。 若藉由本發明之第2觀點,則提供一種熱處理方法, 包含準備形成有低介電率層間絕緣(low-k )膜的基板; 和在具有還原性之有機化合物之環境下加熱上述基板。 若藉由本發明之第3觀點,則提供一種熱處理方法, 包含使具有藉由塗佈所形成之膜的基板收容在處理容器內 ;將具有還原性之氣相之有機化合物供給至上述處理容器 -5- 200814193 內;和在供給上述氣相之有機化合物之上述處理容器內加 熱上述基板。 若藉由本發明之第4觀點,則提供一種熱處理方法, 使形成有低介電率層間絕緣(l〇w-k )膜的基板收容在處 理容器內;將具有還原性之氣相之有機化合物供給至上述 處理容器內;和在供給上述氣相之有機化合物之上述處理 容器內加熱上述基板。 φ 在上述第3、4之觀點中,上述氣相之有機化合物是 可以藉由利用惰性氣體使液相或是固相之有機化合物蒸發 而生成。再者,於供給上述有機化合物時,可以將用以稀 釋上述有機化合物之稀釋氣體供給至上述處理容器內。並 且,在上述處理容器內加熱基板,是可以一面將上述處理 容器內減壓至特定壓力一面執行。 在上述第1〜4之觀點中,可以使用包含醇、醛、羧 酸中之至少一種類,當作上述有機化合物。 # 若藉由本發明之第5觀點,則提供熱處理裝置,具備 處理容器,收容具有藉由塗佈所形成之膜的基板;有機化 _ 合物供給機構,將具有還原性之氣相之有機化合物供給至 上述處理容器內;和加熱機構,在供給上述氣相之有機化 合物之上述處理容器內加熱基板。 若藉由本發明之第6觀點,則提供一種熱處理裝置, 其特徵爲:具備處理容器,收容形成有低介電率層間絕緣 (Low-k )膜的基板;有機化合物供給機構,將具有還原 性之氣相之有機化合物供給至上述處理容器內;和加熱機 - 6 - 200814193 構,在供給上述氣相之有機化合物之上述處理容器內加熱 基板。 在上述第5、6觀點中,上述有機化合物供給機構是 可以藉由利用惰性氣體使液相或是固相之有機化合物蒸發 成爲氣相而供給至上述處理容器內。再者,可以又具備有 稀釋氣體供給機構,將用以稀釋上述有機化合物之稀釋氣 體供給至上述處理容器內。 並且,在以上第5、6觀點中,可以又具備減壓機構 ,至少於藉由上述加熱機構加熱基板時,將上述處理容器 內減壓至特定壓力。 並且,在以上之本發明之第5、6觀點中,上述有機 化合物供給機構可以供給包含醇、醛、羧酸中之至少一種 類的有機化合物。 本發明之第7觀點,是提供一種基板處理裝置,具備 藉由塗佈在基板形成膜的塗佈處理裝置;和對基板施予熱 處理之熱處理裝置,上述熱處理裝置具有處理容器,用以 收容基板;有機化合物供給機構,用以將具有還原性之氣 相的有機化合物供給至上述處理容器內;和加熱機構,用 以在供給有上述氣相之有機化合物的上述處理容器內加熱 基板。 本發明之第8觀點,是提供一種電腦可讀取之記憶媒 體,爲記憶有在電腦上動作,並控制熱處理裝置之程式的 記億媒體,上述程式於實行時,以實行熱處理方法之方式 ,使電腦控制熱處理裝置,該熱處理方法包含:使具有藉 200814193 由塗佈而形成膜之基板收容在處理容器內;將具有還原性 之氣相之有機化合物供給至上述處理容器內;和在供給有 上述氣相之有機化合物的上述處理容器內加熱上述基板。 本發明之第9觀點,是提供一種電腦可讀取之記億媒 體,爲記憶有在電腦上動作,並控制熱處理裝置之程式的 記憶媒體,其特徵爲:上述程式於實行時,以實行熱處理 方法之方式,使電腦控制熱處理裝置,該熱處理方法包含 使具有形成低介電率層間絕緣(l〇w-k )膜的基板收容在 處理容器內;將具有還原性之氣相之有機化合物供給至上 述處理容器內;和在供給有上述氣相之有機化合物的上述 處理容器內加熱上述基板。 若藉由本發明之第1、第2觀點時,因於在基板形成 塗佈膜或是低介電率層間絕緣膜之後,在具有還原性比氫 及氨高之有機化合物之環境下加熱基板,故可以一面藉由 有機化合物之還原反應有效果性除去加熱環境中之氧,一 面對基板施予熱處理。因此,能夠抑制藉由塗部所形成之 特定膜或是低介電率層間絕緣膜之惡化。 再者,若藉由本發明之第3、4、5、6、7之觀點,因 於在基板形成塗佈膜或是低介電率層間絕緣膜之後,將基 板收容在處理容器內,將具有還原性比氫及氨高之氣相的 有機化合物供給至處理容器內,在供給有機化合物之處理 容器內加熱基板,故可以以有機化合物效率佳充滿處理容 器內,一面藉由有機化合物之還原反應有效果除去加熱環 境中之氧,一面對基板施予熱處理。因此,能夠抑制藉由 -8- 200814193 塗佈所形成之特定膜或是低介電膜層間絕緣膜之惡化。 【實施方式】 以下’參照附件圖面針對本發明之實施形態具體予以 - 說明。 ' 第1圖爲具備有能夠實施本發明所涉及之熱處理方法 之熱處理單元之SOD系統之槪略平面圖。 • SOD系統1〇〇 (基板處理裝置)具備有處理部1和側 機櫃2和載體站(CSB ) 3。側機櫃2和載體站(CSB ) 3 各被設置在處理部1之兩側。 處理部1具有塗佈處理單元(SCT) 11、12、多層4 層多數處理單元之處理單元群1 3、1 4,和在該些之間搬運 半導體晶圓W (基板)之搬運臂15。搬運臂15是被設置 在處理部1之中央部,處理單元群13、14各設置在搬運 臂1 5之側機櫃2側及載體站(CSB ) 3側。塗佈處理單元 _ ( SCT) 11、12各被設置在處理單元群13、14之正前側 ,在塗佈處理單元(SCT ) 1 1、12之例如下方,設置有貯 留在塗佈處理單元(SCT ) 1 1、12中所使用之塗佈液等之 無圖式之塗佈液貯流部。 塗佈處理單元(SCT) 1 1、12是各將low-k膜用或硬 掩模層用等之特定塗佈液供給置藉由旋轉夾具所保持之晶 圓W表面,依據使旋轉夾具旋轉,將塗佈液擴散至晶圓 W之表面,構成low-k膜或硬掩模層等之塗佈膜。 處理單元群1 3是上下疊層以低溫烘烤晶圓W之低溫 -9- 200814193 用熱板單元;使在晶圓W上成膜之l〇w-k膜等之塗佈膜凝 膠狀化之老化單元、對成膜在晶圓W之塗佈膜,執行本 發明之熱處理,例如硬化處理之熱處理單元而所構成,熱 處理單元是在具有還原性之有機化合物之環境下,構成加 熱形成塗佈膜之晶圓W。處理單元群14是在上下疊層以 高溫烘烤晶圓W之高溫用熱板單元;用以執行在載體站 (CSB ) 3之間交接晶圓W之交接單元;和冷卻晶圓W之 冷卻板單元而所構成。 搬運臂15是以可以存取於塗佈處理單元(SCT) 11、 1 2及處理單元群1 3、1 4之各處理元件的方式,構成能夠 升降、水平旋轉及朝前後進退。 側機櫃2設置有處理單元群1 3、1 4等所使用之起泡 器(Bub ) 27,和用以洗淨自各單元所排出之排氣氣體的 汽水閥(TRAP) 28。再者,在起泡器(Bub) 27之例如下 方設置有電路供給源、用以貯留純水或有機化合物例如蟻 酸(HCOOH )等之藥液貯留部,和用以排出在SOD系統 1 00中所使用之處理液之廢液的排液管(任一者皆無圖示 )^ 載體站(C SB ) 3具有載置收容晶圓W之匣盒的載置 台,和在被載置於該載置台之匣盒和設置在處理部1之交 接單元之間執行晶圓W之搬運的搬運機構(任一者皆無 圖示)。 SOD系統100之各構成部,例如各處理單元爲連接於 具備有微處理器(電腦)之系統控制器90而被控制之構 -10- 200814193 成。系統控制器90連接有由操作器爲了管理SOD系統 1〇〇執行指令之輸入操作等之鍵盤,或將SOD系統100之 運轉狀況可視化而予以顯示之顯示器等所構成之使用者介 面91 ;和用以系統控制器90之控制實現在SOD系統100 所實行之各種處理之控制程式,或因應處理用以使SOD 系統1 〇〇之各構成部實行處理之程式,即是儲存有處理程 式之記憶部92。處理程式是被記亦在記憶部92中之記憶 媒體。記憶媒體即使爲硬碟半導體記憶體亦可,CD-ROM 、DVD、快閃記憶體等之可攜式者亦可。再者,即使由其 他裝置經例如專用電線使處理程式適當傳送亦可。 然後,因應所需,利用來自使用者介面9 1之指示等 ’自記憶部92叫出任意處理程式,使系統控制器90實行 ,依此在系統控制器90之控制下,執行SOD系統100的 所欲處理。 在如此構成之 SOD系統100中,依據 Silk法及 Speedfilm法形成l〇w-k膜等之塗佈膜時,將晶圓自載體 站(CSB ) 3依照交接單元冷卻板單元—塗佈處理單元 (SCT) 12->低溫用熱板單元—塗佈處理單元(3(^)12 —低溫用熱板單元-> 冷卻板單元-> 塗佈處理單元(SCT ) 1 1 —低溫用熱板單元—高溫用熱板單元·-熱處理單元之順 序搬運,在各單元對晶圓 W施予特定處理。此時,塗佈 處理單元(SCT ) 12塗佈附著促進劑,塗佈處理單元( SCT) 11是塗佈i〇w-k膜用之塗佈液。於藉由Fox法形成 l〇w-k膜等之塗佈膜時,將晶圓w依照交接單元冷卻板 -11 - 200814193 單兀—塗佈處理單兀(S C T ) 1 1 θ低溫用熱板單元―高溫 用熱板單元—熱處理單元之順序搬運,在各單元對晶圓實 施特定處理。於藉由Sol-Gel法形成low-k膜等之塗佈膜 時,將晶圓W依照交接單元-冷卻板單元-塗佈處理單 元(SCT ) 1 1-冷化單元-> 低溫用熱板-> 高溫用熱板之順 序搬運,在各單元對晶圓W施予特定處理。 於使用Silk法、Speedfilm法及Fox法時,在最終工 程,以熱處理單元對l〇w-k膜之塗佈膜施予熱處理,例如 硬化處理。 塗佈膜之硬化處理等之熱處理以往雖然如上述般,依 據在氮氣或是氫氣體或是氨氣體之環境下加熱晶圓而執行 ,但是如此之方法要充分抑止因不純物如環境中所含之氧 造成塗佈膜惡化(氧化)則有困難。在此,本實施形態注 目於有機化合物比起氫或氨等容易解離,藉由在具有還原 性之有機化合物之環境下加熱晶圓W,構成對low-k膜般 之塗佈膜施予熱處理。因此,藉由有機化合物之還原反應 ,可以效果性除去該環境中之氧,依此,可以確實抑止 low-k膜等之塗佈膜之惡化。 當作具有如此還原性之有機化合物,可舉出具有羥基 (-OH )之醇、醛基(-CHO )之醛或是具有羧基(-COOH )之羧酸。並且,即使使用醇、醛及羧酸中之兩種類以上 亦可。 就以醇而言,可舉出 第1級醇,尤其以具有以下之一般式(1 )的第1級 -12 - 200814193
R^OH··· ( 1 ) (R1爲直鏈或分歧鏈狀之之烷基或是烯烴基 ,最佳爲甲基、乙基、丙基、丁基、戊基或是已基),例 如甲醇(ch3oh )、乙醇(ch3ch2oh )、丙醇( CH3CH2CH20H ) 、丁醇(CH3CH2CH2CH2OH) 、2 -甲基丙 醇((CH3)2CHCH2OH ) 、2-甲基丁醇(CH3CH2CH(CH3)CH2OH) , 第2級醇,尤其以具有以下之一般式(2 )的第2級 醇,
0H …⑵
1 1 1
R-CH-R (R1爲直鏈或分歧鏈狀之山〜02()之烷基或是烯烴基 ,最佳爲甲基、乙基、丙基、丁基、戊基或是已基),例 如,2-丙醇((CH3)2CHOH) 、2-丁醇(CH3CH(OH)CH2CH3 ) 二元醇及三元醇般之聚羥基醇,例如乙二醇( hoc2ch2〇h )、丙三醇(HOCH2CH ( OH ) CH2OH ); 在環之一部份具有1〜10個,典型爲具有5〜6個炭 -13- 200814193 原子之環狀醇; 苯甲醇(C6H5CH2OH ) 、(Γ、或是ηΤ甲酚、間苯二 酚等之芳香族醇; 鹵化醇,尤其具有以下一般式(3)之鹵化醇, CHnX3_n-R2-OH··. ( 3 ) φ (X爲F、Cl、Br或是I,最佳爲F或是Cl,n爲0 〜2之整數,R2爲直鏈或分歧鏈狀之C!〜C2Q之烷基或是 烯烴基,最佳爲甲基、乙基、丙基、丁基、戊基或是已基 ),例如 2,2,2-三氟乙醇(CF3CH2OH ); 其他之醇誘導體,例如乙基乙醇氨 ( CH3NHCH2CH2OH)等。 就以醛而言,可舉出 具有以下一般式(4)之醛, R3-COH " ( 4 ) (R3爲氫,再者直鏈或分歧鏈狀之Ci〜C2〇之院基或 是烯烴基,或者最佳爲甲基、乙基、丙基、丁基、戊基或 是已基),例如,甲醛(HCHO )、乙醛(CH3CHO )及丙 醛(CH3CH2CH2CHO ); 具有以下一般式(5 )之烷二醇化合物 -14- 200814193 OHC-R4-CHO …(5 ) (R4雖然爲直鏈或分歧鏈狀之Ci-CM之飽和或是不 飽和碳化氫,但是R4可以不存在,即是兩醛基互相結合 ); 鹵化醛; 其他之醛誘導體等。 就以羧酸而言,可舉出 具有以下一般式(6 )之羧酸 R5-COOH··· ( 6 ) (R5爲氫,再者直鏈或分歧鏈狀之Q〜C2G之烷基或 是烯烴基,或者最佳爲甲基、乙基、丙基、丁基、戊基或 是已基),例如,上述蟻酸、醋酸(CH3COOH);
聚羧酸; 羧酸鹵化物; 其他羧酸誘導體等。 本實施形態之熱處理方法中,作爲特別有效之l〇w-k 膜之材料,可舉出包含例如矽氧系之Si、0、Η之HSQ ( Hydrogen-silsesqioxane)或含有 Si、C、Η 之 MSQ( Methyl-Silsesquioxane)等,由有機系之聚芳基酯所構成 之FLAME ( Honey'well公司製)或由聚芳基碳化氫所構成 之 SILK ( Dow Chemical 公司製)、Parylene、BCB、 -15- 200814193 PTFE、氟化聚醯亞胺等、多孔質之多孔MSQ、多孑| 、多孔氧化Ϊ夕。 再者,本實施形態之熱處理方法中,作爲特別 硬掩模或者飩刻阻擋膜之材料,可舉出聚苯并 Polybenzoxazole) 〇 接著,針對被搭載於SOD系統100之熱處理 以詳細說明。 第2圖爲熱處理單元之槪略剖面圖。 若處理單元4 (熱處理裝置)具備有當作能夠 圓W之處理容器的腔室42,和當作在反應室42內 圓W之加熱機構的加熱器43,和當作將上述具有 之有機化合物,例如蟻酸(HCOOH )供給製反應室 之有機化合物供給機構的蟻酸供給機構44。 反應室42具有上部開口之略筒狀或是箱狀之 本體42a,和關閉反應室本體42a之上部開口的蓋 。在反應室本體42a之側壁部,形成有藉由搬運臂 照第1圖),將晶圓W在反應室42內外之間搬出 的搬出入口 42c,並且設置有開關該搬出入口 42c 42d 〇 在反應室本體42a內之例如底部設置有用以將 酸供給機構44所供給之蟻酸等排出製反應室42外 口 421。再者,在反應室本體42a內之例如底部, 用以載置晶圓W之載置台42h。加熱器43構成內 置台42h,經載置台42h將晶圓W經晶圓W加熱 t SILK 有效之 惡哩( 單元予 收容晶 加熱晶 還原性 42內 反應室 體42b 15 (參 搬入用 之快門 藉由犠 之排出 設置有 藏於載 至特定 -16- 200814193 溫度,例如200〜400°C。在載置台42h上設置有以自該上 面出沒之方式而升降之支撐銷42i,支撐銷42i是構成於 突出時,則在搬運臂之間執行晶圓W之交接,於沉沒時 則將晶圓W載置在載置台42h。 蓋體42b是形成在該內部具有扁平之擴散空間42j之 略筒狀或是箱狀。再者,蓋體42b是在該下面多數具有用 以藉由蟻酸供給吐出蟻酸之吐出孔42k,蟻酸自該上面藉 由蟻酸供給機構44被導入至擴散空間42j內,構成在擴 散空間42j內擴散之蟻酸自吐出孔42k被供給至反應室42 內或是反應室本體42a內。 蟻酸供給機構44具有例如貯留液體之蟻酸的蟻酸貯 留部44a ;將惰性氣體例如氮(N2 )氣體供給至蟻酸貯留 部44a內而使蟻酸貯流部44a之蟻酸起泡之起泡機構44b :將藉由起泡機構44b而起泡之蟻酸及氮氣引導至蓋體 42b之擴散空間42j內之供給管44c ;和開關供給管44c之 閥44d,起泡機構44b具有貯留氮氣之惰性氣體貯留部 44e ;將惰性氣體貯留部44e之氮氣引導至蟻酸貯留部44a 之供給管44f;和用以調整流通供給管44f之氮體之流量 的質量流量控制器44g及閥44h。 熱處理單元4爲藉由連接於系統控制器90之單元控 制器80而被控制之構成。然後,因應所需,利用來自使 用者介面9 1之指示等,系統控制器90自記憶部叫出任意 處理程式使單元控制器80控制。 接著,針對在熱處理單元4中之處理予以詳細說明。 -17-
200814193 在熱處理單元4中,首先,當晶圓W藉 (參照第1圖)經搬出入口 42c被搬入至反靡 ,支撐銷42i上昇從載置台42h之上面突出, 接收晶圓W。接著,支撐銷42i下降而沉沒濯 ,使晶圓W載置在載置台42h。再者,此時 15自搬出入口 42c退至反應室42外,藉由快 搬出入口 42c。 當晶圓W被載置在載置台42h,關閉搬出 ,藉由蟻酸供給機構44,將藉由起泡成爲氣相 氣供給至反應室42,反應室42內保持低氧 5 Oppm以下)之犠酸及氮氣環境。在常溫常壓 蟻酸予以起泡而供給至反應室42內,依此不丨 內減壓至特定壓力,可以使蟻酸擴散至反應室 以藉由蟻酸之還原反應效果性除去反應室42 再者,此時,蟻酸因在藉由氮氣所稀釋之狀態 管44c而被供給至反應室42內,故可以抑止 管44c及反應室42之腐蝕。並且,充滿於反β 蟻酸及氮氣自排出口 421被排出。 當反應室42內保持低氧濃度之蟻酸及氮 晶圓W藉由加熱器43被加熱至特定溫度例如 。依此,被設置在晶圓W之l〇w-k膜等之塗佈 不與氧接觸之狀態下進行硬化,故抑止惡化。 有機化合物之蟻酸之還原反應而所生成之生成 分及二氧化碳自排出口 42 1排出。 由搬運臂15 ί室42內時 自搬運臂15 :載置台42h ,搬運手臂 門42d關閉 入口 42c時 之蟻酸及氮 濃度(例如 下使液體之 丨夸反應室42 42 內,可 內之氧氣。 下流通供給 蟻酸對供給 I室42內之 氣環境時, 200 〜400 〇C 膜因在幾乎 並且,藉由 物,例如水 -18- 200814193 當完成藉由加熱器42加熱晶圓W時’則停止藉由蟻 酸供給機構4 4供給蟻酸及氮氣。然後’支撐銷4 2 i上昇 ,自載置台42h接收晶圓W,並且藉由快門42d開放搬出 入口 42c,搬運臂15自支撐銷42i接收晶圓W而經搬出 入口 42c搬出至反應室42外。 並且,於藉由熱處理單元4執行熱處理後’因以快速 冷卻low-k膜等之塗佈膜及晶圓W爲佳,故使冷卻晶圓W 之冷卻單元設置鄰接於熱處理單元4,於藉由熱處理單元 4執行熱處理後,將晶圓搬運至該冷卻單元,在此即使構 成執行晶圓W之冷卻亦可。 接著,針對藉由熱處理單元4所執行之熱處理之金屬 鑲嵌製程之適用例予以說明。 第3圖爲金屬鑲嵌製程之過程中之晶圓W之剖面圖 〇 金屬鑲嵌製程中,例如首先在Si基板(Sub) 20 0上 形成第llow-k膜101。第llow-k膜101是藉由上述SOD 系統100之處理工程而形成,在使用Silk法、Speedfilm 法及Fox法之時的最終工程中,以熱處理單元4施予熱處 理,例如硬化處理。接著,在第llow-k膜101上形成硬 掩模膜102。硬掩模膜102也藉由與第llow-k膜101形成 工程相同之工程而形成。 於形成第ll〇w-k膜101及硬掩模102之後,藉由微 影成像將圖案化之無圖式之光阻膜當作掩模而蝕刻硬罩膜 ,並且,將光阻膜及鈾刻之硬掩模1〇2予以掩模在第 -19- 200814193 1 low膜101藉由蝕刻形成溝。然後,在形成友 膜101之溝內形成阻障金屬膜103及由銅(Cu 配線層1 〇 4,在阻障金屬膜1 〇 3、配線層1 0 4 102上,蝕刻阻擋膜105、第21ow-k膜106 107。蝕刻阻擋膜105、第21ow-k膜106及硬 也各藉由與第ll〇w-k膜101之形成工程相同 成。 於形成硬掩模膜107之後,將藉由微影成 無圖示之光阻膜當作掩模而触刻硬掩模1 07,: 阻膜及蝕刻之硬掩模107當作掩模在第210W-1 由蝕刻形成孔1 〇8 (第3圖所示之狀態)。 之後,將硬掩模膜1 07當作掩模,以配線J 之方式鈾刻蝕刻阻擋膜1 〇5而形成通孔,在該 阻障金屬及由 Cu所構成之貫通接觸孔,並」 CMP法硏磨Cu表面(拋光),設置有金屬鑲 線部。 在此,雖然針對飩刻前並且以設置在晶圓 個別執行之例,予以說明,但是並不限至於此 執行第llow-k膜101、第21ow-k膜106、硬掩 107及蝕刻阻擋膜105中之多數熱處理亦可, 後或拋光後執行亦可。 接著,針對能夠實施本發明所涉及之熱處 他實施形態之熱處理裝置予以說明。 第4圖爲能夠實施本發明所涉及之熱處理 >第 llow-k )所構成之 及硬掩模膜 及硬掩模膜 掩模膜107 之工程而形 像圖案化之 並且,將光 :膜106藉 罾104露出 通孔內埋入 乱藉由利用 嵌構造之配 W之順序 ,即使同時 模膜102、 即使於飩刻 理方法之其 方法之其他 -20- 200814193 實施形態之熱處理裝置的槪略剖面圖。 本實施形態是針對對晶圓W在特定減壓環境例如真 空環境下施予熱處理之熱處理5予以說明。熱處理裝置5 是藉由 CVD法等在減壓或是真空製程下形成例如low-k 膜或是硬掩模膜等之時所使用者,具備有能夠收容晶圓W 之反應室5 1、將蟻酸供給至腔室51內之蟻酸供給機構52 、稀釋蟻酸之稀釋氣體或是將當作惰性氣體之氮氣供給至 腔室5 1內之氮氣供給機構5 3,和能將反應室.5 1內減壓至 特定壓力例如真空壓之減壓機構54。並且,熱處理裝置5 也與熱處理單元4相同被控制。 反應室51形成有上部開口之略筒狀或是箱狀。反應 室51之底部設置有用以載置收容之晶圓W的感應器51a ,該感應器5 1 a埋設有當作加熱晶圓W之加熱機構的加熱 器5 1 b。在反應室5 1之側壁形成有用以搬入搬出晶圓W 之搬出入口 5 1 c,並且設置有開關該搬出入口 5 1 c之閘閥 51d 〇 在反應室51之上部設置有關閉開口,並且以對向於 感應器51a之方式設置有噴淋頭51e。噴淋頭51e在內部 具有使藉由蟻酸供給機構5 1之使蟻酸擴散及藉由氮氣供 給機構5 3使氮氣擴散之擴散空間5 1 f,並且在與感應器 5 1 a之對向面形成有藉由蟻酸供給機構52將蟻酸吐出至反 應室5 1內及藉由氮氣供給機構5 3 .將氮氣吐出至反應室5 1 內之多數或多數吐出孔5 1 g。 在反應室51之底壁形成有排氣口 51h,減壓機構54 -21- 200814193 具有連接於排氣口 51h之排氣管54a、將排氣管54a將反 應室5 1內強制排氣之排氣裝置54b。 蟻酸供給機構52具有貯流蟻酸之蟻酸貯留部52a、將 蟻酸貯留部52a之蟻酸引導至噴淋頭5 le之擴散空間5 lf 內之供給管52b,和當作調整流通供給管52b之蟻酸之流 量的流量調整機構的質量流量52c及閥52d。在蟻酸貯留 部5 2a設置有將以加熱至特定溫度之加熱器52e。 氮氣供給機構5 3具有氮氣供給源5 3 a、將氮氣供給源 53a之氮氣引導至噴淋頭51e之擴散空間51f之供給管 53b,和調整流通供給管53b之氮氣之流量的流量調整機 構之質量流量控制器5 3 c及閥5 3 d。 在如此構成之熱處理裝置5中,首先將晶圓W自搬 出入口 5 1 c搬入至反應室5 1內而載置在感應器5 1 a,閘閥 51d關閉搬出入口 5 1c而密閉反應室51內。接著,藉由減 壓機構54將反應室5 1內減壓置特定壓力,例如真空壓, 並且藉由氮氣供給機構5 3將氮氣供給至反應室5 1內,並 且藉由蟻酸供給機構52將蟻酸供給至反應室5 1內,將反 應室51內保持在低氧濃度(例如50PPm以下)之蟻酸及 氮氣環境。在此,反應室51內因藉由減壓機構54被保持 在特定壓力例如真空壓,故可以使蟻酸散至反應室5 1內 ,並且反應室51內之蟻酸因藉由氮氣被稀釋,故可以抑 制反應室5 1內之腐蝕。並且,即使藉由減壓機構54的減 壓,藉由氮氣供給機構53供給氮氣,及藉由蟻酸供給機 構5 2供給蟻酸同時執行亦可,即使以特定時間平均交互 -22- 200814193 執行亦可。於將反應室5 1內保持於低氧濃度之蟻酸及氮 氣環境之後,藉由加熱器5 1 b將晶圓W加熱至特定溫度 ,例如200〜400°C。依此,進行被設置在晶圓W之l〇w_k 膜或硬掩模膜等之硬化。當完成藉由加熱器5 1 b加熱晶H W時,則停止藉由減壓機構5 4之減壓、藉由氮氣供給機 構5 3供給氮氣及藉由蟻酸供給機構供給蟻酸,藉由閘閥 51d開啓搬出入口 51c,自搬出入口 51c將晶圓W搬出g 反應室5 1外。 本實施形態中,因不將晶圓W曝曬於大氣,在蟻酸 環境下加熱,故可以確實抑止被設置在晶圓W之l〇w-k膜 或硬掩模膜等之膜的惡化。 當作藉由CVD形成膜,爲本實施形態之熱處理方法 尤其有效之l〇w-k膜之材料,可舉出 Black Diamond ( Applied Materials 公司製、Coral ( Novellus 公司製)、 Aurora (ASM公司製)等之SiOC系材料(將甲基(-CH3 )導入至Si02之Si-0結合而混入Si-CH3者)或SiOF系 材料(將氟(F )導入至Si02者)、使用碳化氟之CF系 材料等。 再者,作爲能夠適用藉由CVD形成膜之low-k膜以 外之本發明之方法的膜,可以舉出例如硬掩模膜或是蝕刻 阻擋膜,作爲該材料,可舉出與上述low_k膜之材料相同 系之材料(但是,介電率比i〇w-k膜高者)、碳化氫( Sic〇或碳化矽(SiCN )等。 接著’針對本發明所涉及之熱處理方法的其他實施形 -23- 200814193 態之熱處理裝置予以說明。 第5圖爲當作能夠實施本發明所涉及之熱處理方法的 其他實施形態的熱處理之槪略剖面圖。 在上述實施形態中,雖然針對於真空環境下一片一片 加熱晶圓W,即是所謂的葉片式之熱處理裝置予以說明, 但是在本實施形態中,是針對在真空環境下將晶圓W多 數片同時加熱,所謂的分批式之熱處理裝置6予以說明。 在熱處理裝置6中,針對與第4圖所示之熱處理裝置5相 同之部份賦予相同符號,省略說明。 熱處理裝置6是與熱處理裝置5相同,例如藉由CVD 法等在減壓或是真空製程下形成l〇w-k膜或是硬掩模膜等 之時所使用者,具備有下部開口,收容晶圓W而加熱之 略筒狀之熱處理爐60 (處理容器);用以保持多數片之晶 圓W而用以收容於熱處理爐60內之晶舟62 ;使該晶舟 62升降而在熱處理爐60內外之間進退之部升降機63 ;在 熱處理爐60內供給蟻酸之蟻酸供給機構52 ;將稀釋蟻酸 之稀釋氣體或是當作惰性氣體之氮氣供給至熱處理爐60 內之氮氣供給機機構5 3 ;和能夠將熱處理爐6 0內減壓至 特定壓力例如真空壓之減壓機構5 4。並且,熱處理裝置6 也與熱處理單元4及熱處理裝置5相同被控制。 在熱處理爐60內設置具有與熱處理爐60對應之形狀 的石英製之製程管6 1,在該製程管6 1之外圍以圍繞著製 程管之方式,設置有當作加熱晶圓W之加熱機構的加熱 器64。在製程管61之下端部設置有環狀或是筒狀之多歧 -24- 200814193 52b (管 !構 ^管 €保 卜降 f於 〔容 60 Ϊ機 E給 內 1環 【環 J如 【或 時 52 ‘升 60 ;酸 管65 ’該多歧管65連接有蟻酸供給機構52之供給管 、氮氣供給機構5 3之供給管5 3 b及減壓機構5 4之排 54a (針對蟻酸供給機構52、氮氣供給機構53及減壓 54之其他構成要素無圖式)。 在晶舟升降機63設置有與多歧管65抵接而使製 6 1內保持密閉狀態之蓋部66,在該蓋部66之上部搭 溫筒67。
在如此構成之熱處理裝置6中,首先在藉由晶舟为 機6 3使晶舟6 2降下之狀態中,將多數片晶圓w保㈣ 晶舟62。接著,藉由晶舟升降機63使晶舟62上昇而迟 於熱處理爐60內。然後,藉由減壓機構54使熱處理爐 內減壓製特定壓力,例如真空壓,並且藉由氮氣體供齡 構53將氮氣體供給製熱處理爐60內,並且藉由蟻酸供 機構52將蟻酸供給製熱處理爐6〇內,將熱處理爐60 保持於低氧濃度(例如500ppm以下0之蟻酸及氮氣儀 境。將熱處理爐6 0內保持於低氧濃度之蟻酸及氮氣鬅 境之後,藉由加熱器64將各晶圓W加熱至特定溫度倒 200〜40 0 °C。依此,進行被設置在各晶圓W之low-fc腹 硬掩模膜等之硬化。當完成藉由加熱器64加熱晶圓W ,則停止藉由減壓機構5 4之減壓、藉由蟻酸供給機構 供給蟻酸及藉由氮氣供給.機構5 3供給氮氣’藉由晶舟 降機晶舟62下降,將多數片之晶圓W搬出至處理爐 外。 本實施形態中,因不將晶圓W暴露於大氣,在 -25- 200814193 環境下加熱,故可以更確實抑止被設置在晶圓W之膜的 氧化,並且可以同時對多數片晶圓 W施予加熱處理’故 可以謀求提升生產量。 並且,當作本實施形態之熱處理方法特別有效之low-k膜,及l〇w-k膜以外之膜,例如硬掩模膜再者飩刻阻擋 膜之材料,可舉出與在上述實施形態(熱處理裝置5 )中 所舉出之材料相同者。 並且,本發明並不限定於上述實施形態,可在本發明 之思想之範圍內作各種變形。例如,上述實施形態中,雖 然針對在常壓下加熱之葉片式之加熱裝置、在減壓下加熱 之葉片式的加熱裝置、在減壓下加熱的所謂分批式之加熱 裝置予以表示,但是當然可以適用於在常壓下加熱之分批 式之加熱裝置。再者,作爲具有還原性之有機化合物,可 以適用上述以外之各種有機化合物,再者,關於該些之供 給方法,即使與上述蟻酸之情形相同,於常溫常壓下爲固 體或是液體之時,可以使用藉由起泡或加熱而予以氣化之 手法,以氣相供給至處理容器內。 〔產業上之利用可行性〕 本發明並不限於設置在基板上之l〇w-k膜、硬掩模膜 或是触刻阻擋膜之硬化處理,亦可以適用於藉由適當設定 加熱溫度,在硬化處理前之高溫或者低溫下執行烘烤處理 或使用Sol-Gel法之時的老化等。 -26- 200814193 【圖式簡單說明】 第1圖爲具備有能夠實施本發明所涉及之熱處理方法 之熱處理單元之SOD系統之槪略平面圖。 第2圖爲熱處理單元之槪略剖面圖。 第3圖爲金屬鑲嵌製程之過程中之晶圓W之剖面圖 〇 第4圖爲當作能夠實施本發明所涉及之熱處理方法之 其他實施形態之熱處理裝置之槪略剖面圖。 第5圖爲當作能夠實施本發明所涉及之熱處理方法之 又一其他實施形態之熱處理裝置之槪略剖面圖。 【主要元件符號說明】 1 :處理部 2 :側機櫃 3 :載體站 1 1 :塗佈處理單元 1 2 :塗佈處理單元 1 3 :處理單元群 1 4 :處理單元群 1 5 :搬運臂 27 :起泡器 28 :汽水閥 8〇 :單元控制器 9〇 :系統控制器 -27- 200814193 91 :使用者介面 92 :記憶部 4 :熱處理單元 42 :反應室 42a :反應室本體 42b :蓋體 42c :搬出入口 42d :快門 42h :載置台 42i :支撐銷 42j :擴散空間 42k :吐出孔 44 :蟻酸供給機構 44a :蟻酸貯留部 4 4 b :起泡機構 44c :供給管 44d :閥 44e :惰性氣體貯留部 44f :供給管 44g :質量流量控制器 44h :閥 101 :第 llow-k 膜 102 :硬掩模膜 103 :阻障金屬膜 200814193 104 :配線層 105 :蝕刻阻擋膜 106 : low-k 膜 107 :硬掩模膜 108 :孔 5 :熱處理裝置 51 :反應室 51a :感應器 5 1 b :加熱器 5 1 c :搬出入口 5 1 d :閘閥 5 1 e :噴淋頭 5 1 f :擴散空間 5 1 g :吐出孔 5 1 h :排氣口 52 :蟻酸供給機構 52a :蟻酸貯留部 52b :供給管 52c :質量流量控制器 52d :供給管 52e :加熱器 53 :氮氣供給機構 5 3 a :氮氣供給源 5 3 b :供給管 -29- 200814193 53c :質量流量控制器 53d :閥 54 :減壓機構 54a :排氣管 54b :排氣裝置 6 0 :熱處理爐 61 :製程管 62 :晶舟 63 =晶舟升降機 64 :加熱器 65 :多歧管 6 6 ·· 蓋咅 67 :保溫筒
-30

Claims (1)

  1. 200814193 十、申請專利範園 1. 一種熱處理方法,其特徵爲:包含 準備具有藉由塗佈而形成之膜的基板;和 在具有還原性之有機化合物之環境下加熱上述基板。 2·如申請專利範圍第1項所記載之熱處理方法,其 中’上述有機化合物包含醇、醛、羧酸中之至少一種類以 上。 ' 3· —種熱處理方法,其特徵爲:包含 準備形成有低介電率層間絕緣(low-k )膜的基板; 和 在具有還原性之有機化合物之環境下加熱上述基板。 4 ·如申請專利範圍第3項所記載之熱處理方法,其 中,上述有機化合物包含醇、醛、羧酸中之至少一種類。 5 . —種熱處理方法,其特徵爲:包含 使具有藉由塗佈所形成之膜的基板收容在處理容器內 9 將具有還原性之氣相之有機化合物供給至上述處理容 器內;和 在供給上述氣相之有機化合物之上述處理容器內加熱 上述基板。 6. 如申請專利範圍第5項所記載之熱處理方法,其 中,上述氣相之有機化合物是藉由利用惰性氣體使液相或 是固相之有機化合物蒸發而生成。 7. 如申請專利範圍第6項所記載之熱處理方法,其 -31 - 200814193 中,於供給上述有機化合物時,將用以稀釋上述有機化合 物之稀釋氣體供給至上述處理容器內。 8 ·如申請專利範圍第5項所記載之熱處理方法,其 中,在上述處理容器內加熱基板,是一面將上述處理容器 內減壓至特定壓力一面執行。 9. 如申請專利範圍第5項所記載之熱處理方法,其 中,上述有機化合物包含醇、醛、羧酸中之至少一種類。 10. —種熱處理方法,其特徵爲:包含 使形成有低介電率層間絕緣(l〇w-k )膜的基板收容 在處理容器內; 將具有還原性之氣相之有機化合物供給至上述處理容 器內;和 在供給上述氣相之有機化合物之上述處理容器內加熱 上述基板。 11. 如申請專利範圍第1 0項所記載之熱處理方法, 其中,上述氣相之有機化合物是藉由利用惰性氣體使液相 或是固相之有機化合物蒸發而生成。 12. 如申請專利範圍第1 1項所記載之熱處理方法, 其中,於供給上述有機化合物時,將用以稀釋上述有機化 合物之稀釋氣體供給至上述處理容器內。 13. 如申請專利範圍第1 〇項所記載之熱處理方法, 其中,在上述處理容器內加熱基板,是一面將上述處理容 器內減壓至特定壓力一面執行。 1 4.如申請專利範圍第1 0項所記載之熱處理方法, -32- 200814193 其中,上述有機化合物包含醇、醛、羧酸中之至少一種類 〇 15. —種熱處理裝置,其特徵爲:具備 處理容器,收容具有藉由塗佈所形成之膜的基板; 有機化合物供給機構,將具有還原性之氣相之有機化 合物供給至上述處理容器內;和 加熱機構,在供給上述氣相之有機化合物之上述處理 φ 容器內加熱基板。 1 6 .如申請專利範圍第1 5項所記載之熱處理裝置, 其中,上述有機化合物供給機構是藉由利用惰性氣體使液 相或是固相之有機化合物蒸發成爲氣相而供給至上述處理 容器內。 17.如申請專利範圍第15項所記載之熱處理裝置, 其中,又具備有稀釋氣體供給機構,將用以稀釋上述有機 化合物之稀釋氣體供給至上述處理容器內。 # 1 8.如申請專利範圍第1 5項所記載之熱處理裝置, 其中,又具備減壓機構,至少於藉由上述加熱機構加熱基 。 板時,將上述處理容器內減壓至特定壓力。 1 9·如申請專利範圍第1 5項所記載之熱處理裝置, 其中,上述有機化合物供給機構供給包含醇、醛、羧酸中 之至少一種類的有機化合物。 20· —種熱處理裝置,其特徵爲··具備 處理容器,收容形成有低介電率層間絕緣(low-k ) 膜的基板; -33- 200814193 有機化合物供給機構,將具有還原性之氣相之有機化 合物供給至上述處理容器內;和 加熱機構,在供給上述氣相之有機化合物之上述處理 容器內加熱基板。 2 1 .如申請專利範圍第20項所記載之熱處理裝置, 其中,上述有機化合物供給機構是藉由利用惰性氣體使液 相或是固相之有機化合物蒸發成爲氣相而供給至上述處理 容器內。 22·如申請專利範圍第20項所記載之熱處理裝置, 其中,又具備有稀釋氣體供給機構,將用以稀釋上述有機 化合物之稀釋氣體供給至上述處理容器內。 23 ·如申請專利範圍第20項所記載之熱處理裝置’ 其中,又具備減壓機構,至少於藉由上述加熱機構加熱基 板時,將上述處理容器內減壓至特定壓力。 24·如申請專利範圍第20項所記載之熱處理裝置’ 其中,上述有機化合物供給機構供給包含醇、醛、羧酸中 之至少一種類的有機化合物。 25· —種基板處理裝置,其特徵爲:具備 藉由塗佈在基板形成膜的塗佈處理裝置;和 對基板施予熱處理之熱處理裝置, 上述熱處理裝置具有 處理容器,用以收容基板; 有機化合物供給機構,用以將具有還原性之氣相的胃 機化合物供給至上述處理容器內;和 -34- 200814193 加熱機構,用以在供給有上述氣相之有機化合物的上 述處理容器內加熱基板。 26. —種電腦可讀取之記憶媒體,爲記憶有在電腦上 動作,並控制熱處理裝置之程式的記憶媒體,其特徵爲: 上述程式於實行時,以實行熱處理方法之方式,使電 腦控制熱處理裝置,該熱處理方法包含 使具有藉由塗佈而形成膜之基板收容在處理容器內; 將具有還原性之氣相之有機化合物供給至上述處理容 器內;和 在供給有上述氣相之有機化合物的上述處理容器內加 熱上述基板。 27. —種電腦可讀取之記憶媒體,爲記憶有在電腦上 動作,並控制熱處理裝置之程式的記憶媒體,其特徵爲: 上述程式於實行時,以實行熱處理方法之方式,使電 腦控制熱處理裝置,該熱處理方法包含 使具有形成低介電率層間絕緣(l〇w-k )膜的基板收 容在處理容器內; 將具有還原性之氣相之有機化合物供給至上述處理容 器內;和 在供給有上述氣相之有機化合物的上述處理容器內加 熱上述基板。 -35-
TW096119228A 2006-05-31 2007-05-30 Heat treatment method, heat treatment apparatus and substrate processing apparatus TW200814193A (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2006152369A JP2007324350A (ja) 2006-05-31 2006-05-31 熱処理方法および熱処理装置、ならびに基板処理装置

Publications (1)

Publication Number Publication Date
TW200814193A true TW200814193A (en) 2008-03-16

Family

ID=38778570

Family Applications (1)

Application Number Title Priority Date Filing Date
TW096119228A TW200814193A (en) 2006-05-31 2007-05-30 Heat treatment method, heat treatment apparatus and substrate processing apparatus

Country Status (6)

Country Link
US (1) US8114786B2 (zh)
JP (1) JP2007324350A (zh)
KR (1) KR20090008426A (zh)
CN (1) CN101461042A (zh)
TW (1) TW200814193A (zh)
WO (1) WO2007139049A1 (zh)

Families Citing this family (327)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100089978A1 (en) * 2008-06-11 2010-04-15 Suss Microtec Inc Method and apparatus for wafer bonding
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
CN102446813B (zh) * 2010-10-13 2013-09-11 中芯国际集成电路制造(上海)有限公司 互连结构的制作方法
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
KR101491992B1 (ko) * 2013-01-08 2015-02-10 (주)에스티아이 반도체 웨이퍼의 연속 처리방법
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
JP2015024571A (ja) * 2013-07-26 2015-02-05 日東電工株式会社 シートの製造方法およびシート製造装置
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
KR20160106583A (ko) * 2014-01-15 2016-09-12 도쿄엘렉트론가부시키가이샤 성막 방법 및 열처리 장치
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9735024B2 (en) * 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
TWI671792B (zh) 2016-12-19 2019-09-11 荷蘭商Asm知識產權私人控股有限公司 基板處理設備
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN116732497A (zh) 2018-02-14 2023-09-12 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
JP7236953B2 (ja) * 2019-08-05 2023-03-10 東京エレクトロン株式会社 成膜装置および成膜方法
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021111783A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー チャネル付きリフトピン
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
TW202147543A (zh) 2020-05-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 半導體處理系統
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1995024639A1 (en) * 1994-03-11 1995-09-14 Kawasaki Steel Corporation Method of evaluating siloxane used for forming insulation coating, coating fluid used for forming insulation coating, process for producing the fluid, process for forming insulation coating for semiconductor device, and process for producing semiconductor device by applying the above process
AU2001260374A1 (en) * 2000-05-15 2001-11-26 Asm Microchemistry Oy Process for producing integrated circuits
JP4493192B2 (ja) * 2000-09-13 2010-06-30 東京エレクトロン株式会社 バッチ式熱処理装置及びその制御方法
JP2003006081A (ja) 2001-06-18 2003-01-10 Docomo Systems Inc 地域情報配信システム
JP4065670B2 (ja) * 2001-08-09 2008-03-26 株式会社ルネサステクノロジ 半導体集積回路装置の製造方法
JP3886424B2 (ja) * 2001-08-28 2007-02-28 鹿児島日本電気株式会社 基板処理装置及び方法
JP2004207751A (ja) * 2001-08-28 2004-07-22 Nec Kagoshima Ltd 基板処理装置及び方法
JP2004002752A (ja) * 2002-03-28 2004-01-08 Toray Ind Inc ポリ(脂環式オレフィン)組成物の処理方法並びに半導体装置、光学部品および有機電界発光装置
JP4737361B2 (ja) * 2003-12-19 2011-07-27 Jsr株式会社 絶縁膜およびその形成方法
WO2006073140A1 (en) * 2005-01-06 2006-07-13 Ebara Corporation Substrate processing method and apparatus
JP4828451B2 (ja) * 2006-03-27 2011-11-30 東京エレクトロン株式会社 基板処理方法、半導体装置の製造方法および基板処理装置

Also Published As

Publication number Publication date
US8114786B2 (en) 2012-02-14
KR20090008426A (ko) 2009-01-21
US20090163038A1 (en) 2009-06-25
JP2007324350A (ja) 2007-12-13
WO2007139049A1 (ja) 2007-12-06
CN101461042A (zh) 2009-06-17

Similar Documents

Publication Publication Date Title
TW200814193A (en) Heat treatment method, heat treatment apparatus and substrate processing apparatus
US7795148B2 (en) Method for removing damaged dielectric material
US8202803B2 (en) Method to remove capping layer of insulation dielectric in interconnect structures
KR100810163B1 (ko) 반도체 장치의 제조 방법, 기판 처리 시스템 및 기록 매체
US8383519B2 (en) Etching method and recording medium
TWI423330B (zh) 損壞之多重堆疊雙層膜的選擇性移除方法
US20100081094A1 (en) Mask pattern forming method, fine pattern forming method, and film deposition apparatus
CN105917440A (zh) 用于在双镶嵌结构中蚀刻电介质阻挡层的方法
JP2009010043A (ja) 基板処理方法,基板処理装置,記録媒体
JP5425404B2 (ja) アモルファスカーボン膜の処理方法およびそれを用いた半導体装置の製造方法
TWI489548B (zh) Processing methods, memory media and processing devices
JP2014135465A (ja) Cu配線の形成方法
US7288483B1 (en) Method and system for patterning a dielectric film
US20100043821A1 (en) method of photoresist removal in the presence of a low-k dielectric layer
JP2008034736A (ja) 熱処理方法および熱処理装置
US6713386B1 (en) Method of preventing resist poisoning in dual damascene structures
JP2012195613A (ja) 基板処理方法および記憶媒体
JP2003234402A (ja) 半導体製造方法及び半導体製造装置
TW200531168A (en) Resist removal method and semiconductor device manufactured by using the same
US7491036B2 (en) Method and system for cooling a pump
US6787445B1 (en) Method for fabricating semiconductor device
JP2012204669A (ja) 処理方法および記憶媒体
JP2011040563A (ja) 基板の処理方法及び基板の処理装置
JP2007324328A (ja) 処理方法および処理装置