TW200408732A - A method for plasma etching performance enhancement - Google Patents

A method for plasma etching performance enhancement Download PDF

Info

Publication number
TW200408732A
TW200408732A TW092128179A TW92128179A TW200408732A TW 200408732 A TW200408732 A TW 200408732A TW 092128179 A TW092128179 A TW 092128179A TW 92128179 A TW92128179 A TW 92128179A TW 200408732 A TW200408732 A TW 200408732A
Authority
TW
Taiwan
Prior art keywords
etching
gas
deposition
processing chamber
plasma processing
Prior art date
Application number
TW092128179A
Other languages
English (en)
Other versions
TWI315751B (en
Inventor
Zhisong Huang
Lu-Min Li
Reza Sadjadi
Original Assignee
Lam Res Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US10/295,601 external-priority patent/US6833325B2/en
Priority claimed from US10/674,675 external-priority patent/US7169695B2/en
Application filed by Lam Res Corp filed Critical Lam Res Corp
Publication of TW200408732A publication Critical patent/TW200408732A/zh
Application granted granted Critical
Publication of TWI315751B publication Critical patent/TWI315751B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • H01L21/30655Plasma etching; Reactive-ion etching comprising alternated and repeated etching and passivation steps, e.g. Bosch process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Description

200408732 (1) 玖、發明說明 【發明所屬之技術領域】 本發明係有關一種藉由蝕刻通過其由使用電漿之蝕刻 遮罩所界定之結構以獲得一半導體晶圓上之結構的方法。 【先前技術】
於半導體電漿蝕刻應用中,通常係使用電漿蝕刻器亦 將光抗蝕劑遮罩圖案轉移爲一矽晶圓上之所欲薄膜或薄膜 堆疊的電路及線路圖案。此係藉由將遮罩圖案之開口區域 中的光抗蝕劑材料底下的膜(及膜堆疊)蝕刻掉而達成。 此蝕刻反應係藉由化學活性物種及充電粒子(離子)而啓 動,該充電粒子(離子)係藉由激發一真空容室(亦稱爲 反應室)中所內含之反應物混合物的放電而產生。此外, 離子亦透過一產生於氣體混合物與晶圓材料之間的電場而 被加速朝向晶圓材料,其產生蝕刻材料之一方向性移除沿 著離子軌跡之方向,以一種被稱爲各向異性鈾刻之方式。 於完成蝕刻程序時,遮罩材料係藉由將其剝除而被移除, 而於其原位置上留下最初所欲遮罩圖案之橫向圖案的副本 。此蝕刻方法被描述於圖1 A · C。於此方法中,電漿蝕刻 製程被使用以直接將光抗蝕劑遮罩圖案1 04轉移爲底下氧 化物介電質薄膜1 〇 8之圖案,如圖1 A中所示。該蝕刻產 生一接觸孔11 2並腐蝕且損害光抗蝕劑1 0 4,如圖1 B中 所示。光抗蝕劑被接著移除而留下接觸孔1 1 2於氧化物 1 0 8中,如圖1 C中所示。於蝕刻製程期間遮罩材料常被 (2) 200408732 腐鈾及/或損害以交換圖案轉移。結果,某些損 亦可被轉移至底下層而留下此等不當的畸變( ),諸如條紋、CD放大,等等。 因此,蝕刻方法之目的包含減少光抗蝕劑遮 增進從光抗蝕劑遮罩圖案之圖案轉移的精確度。 ,已提議包含一種鈍化氣體於反應蝕刻混合物中 氣體可被選擇以致其存在會選擇性地減少遮罩材 損害及腐蝕,相對於待蝕刻薄膜材料之移除率。 可被選擇以致其一蝕刻延遲敷層被產生於遮罩材 上以作用爲一減緩蝕刻反應之障蔽。設計上,鈍 選擇以致其特別有利地形成一蝕刻延遲敷層於待 構之垂直表面上,以致其蝕刻反應於缺乏離子碰 法進行。由於帶電粒子之垂直軌跡,則蝕刻因而 於垂直方向,而極少於側向之無蝕刻,以產生一 触刻輪廓。因此,蝕刻混合物中之鈍化氣體的存 要的,以達成較佳蝕刻遮罩保護及高度各向異性 之優點,藉由使用相當高能量方向性的離子碰撞 已提議其反應氣體混合物含有蝕刻氣體及聚 物,以其後者作用爲鈍化氣體之角色。於此情況 氣體係藉由放電之激發而釋放高反應性物種,其 一種自發性反應之機構以蝕刻其待蝕刻薄膜材料 料。由於自發性反應,蝕刻反應係進行於垂直以 面,而產生等向性蝕刻輪廓。聚合物形成物之共 產生聚合物沈澱於蝕刻結構及遮罩材料之表面上 害或腐蝕 distortion 罩腐蝕以 爲此目的 。此鈍化 料之蝕刻 鈍化氣體 料之表面 化氣體被 蝕刻膜結 撞之下無 僅可進行 各向異性 在是極重 蝕刻輪廓 〇 合物形成 下,蝕刻 接著藉由 及遮罩材 及側向表 存(透過 )可被使 -6- (3) (3)200408732 用以同時地產生對於遮罩材料之高度選擇性及蝕刻各向異 性,配合離子碰撞。 亦已提議其反應氣體混合物含有聚合物形成物氣體及 蝕刻致能物氣體。蝕刻致能物之角色係藉由反應與聚合物 形成物氣體以致能聚合物形成物氣體釋放高反應性物種, 於放電存在時。另一方面’蝕刻材料以及遮罩材料上之一 延遲敷層亦可藉由適當選定之鈍化氣體直接與這些材料之 表面的化學反應而形成。 上述方法之一共同缺點在於其不同型態之蝕刻需求的 最佳條件通常不一致’而且藉由氣體之混合則各先質氣體 之獨特性質可能由於內反應而喪失。蝕刻條件最佳化幾乎 總是涉及複雜的交換以成爲一可能不是最佳的單一蝕刻條 件,假設不同蝕刻化學係分離的話。 蝕刻方法之一種變異被教導於美國專利5,5 0 1 ; 8 9 3, 其係於]996年三月26日准給Laermer等人,名稱爲 “Method of Anisotropic ally Etching Silicon”。此方法將蝕 刻氣體及聚合物形成物氣體離析爲兩步驟,其各單純包括 化學物之一型式而非另一型式。此容許於低離子碰撞能量 下之快速蝕刻率,因位於低離子碰撞能量下,可達成對遮 罩材料之高選擇性於某些自發性蝕刻反應,假如對於蝕刻 材料之表面上的反應啓動能量稍低於遮罩材料的話。另一 方面,藉由從蝕刻製程移除聚合物形成物,則蝕刻製程將 於蝕刻進行期間必要地爲等向性的,因爲無延遲層以避 免蝕刻發生。此外,蝕刻混合物中若無鈍化氣體,則將難 - 7- (4) (4)200408732 以獲得對於遮罩材料之足夠的蝕刻選擇性,假如想要使用 較高的離子能量。舉例而言,許多蝕刻應用可受惠自高離 子碰撞能量以獲得極小尺寸結構中之高的縱橫尺寸比( aspect ratio ) 〇 額外提議的方法包含一種堆疊遮罩技術,用以增進遮 罩材料之整體蝕刻抗性。此係圖示於圖2 A - F。於圖2 A中 ,提供一氧化物層2 0 4。圖2 B顯示一置於氧化物層之上 的硬遮罩層2 0 8。一光抗蝕劑遮罩2 1 2被置於硬遮罩層 2 0 8之上,如圖2 C中所示。光抗蝕劑遮罩2 1 2被用以使 硬遮罩層208圖案化來產生一圖案化的硬遮罩層214,且 光抗蝕劑遮層2 1 2可被移除,如圖2 D中所示。一接觸孔 2 1 6被蝕刻於氧化物層2 0 4中,其係使用圖案化的硬遮罩 層214爲一遮罩(如圖2E中所示)。硬遮罩被接著移除 而留下接點2 1 6於氧化物層2 04中,如圖2 F中所示。 此方法之優點在於:藉由具有一種更惰性的硬遮罩以 利從該硬遮罩轉移圖案(電路及線路)至底下膜,則蝕刻 性能被更爲增強且對於蝕刻及光微影術之要求亦更爲減少 。此方法之缺點在於:藉由引入新的製程步驟及新的工具 組至製程流程,其造成較高的成本及較低的總產量。此外 ’額外的製程複雜度本身亦引入困難點。例如,用於介電 質接點蝕刻應用之矽硬遮罩並不如光抗蝕劑遮罩般易於剝 除。 除了無橫向C D損失或損害地將遮罩圖案轉移爲蝕刻 層’已存在於蝕刻層中之橫向圖案的橫向CD可能亦需被 (5) 200408732 保存於δ午多触刻應用中,於鈾刻触刻層之製程期間。 蝕刻層圖案通常未被蝕刻遮罩材料所保護。此類蝕刻 之討論係使用一種藉由電漿蝕刻之雙金屬鑲嵌結構的 範例而被提供。 爲了幫助討論,圖9 Α係一用於習知技術雙金屬 製程中之晶圓1 1 0上之堆疊9 0 0的橫斷面圖。一接點 可被置於一晶圓910上之介電層908中。一障蔽層9 其可爲氮化矽或碳化矽)可被置於接點9 0 4上以避免 散。一通孔階氧化矽介電層9 1 6可被置於障蔽層9 j 2 。一溝槽停止層9 2 0 (碳化矽或氮化矽)可被置於通 介電質9 1 6之上。一溝槽階氧化矽介電層9 2 4可被置 槽停止層9 2 0之上。一抗反射層(a R L ) 9 2 8可被置 槽介電層9 2 4之上。一圖案化抗蝕劑層9 3 2可被置於 928之上。ARL 928可被形成自氮化5夕、SiON、或其 有高折射指數及高消光係數之材料。 圖1 〇係用於習知技術中以將堆疊900形成於一 屬鑲嵌結構中之製程的高階流程圖。堆疊9 0 0可接受 刻,其触刻一通孔向下至障蔽層9 1 2 (步驟1 〇 〇 4 )。 之蝕刻可形成一外殼944,其形成側壁。外殼944及 劑9 3 2可被移除並接著被再圖案化以一新的抗蝕劑層 ,其被圖案化以形成一溝槽(步驟1 〇 〇 8 ),如圖9 C 示。堆疊可接受一蝕刻,其蝕刻一溝槽9 6 4向下至中 槽蝕刻停止層9 2 0 (步驟1 0 1 2 ),如圖9 D中所示。 9 6 4之蝕刻可造成通孔階介電層9 1 6切面9 7 2。此切 這些 應用 形成 鑲嵌 904 12 ( 銅擴 之上 孔階 於溝 於溝 ARL 他具 雙金 一蝕 通孔 抗蝕 960 中所 間溝 溝槽 面可 (6) (6)200408732 被視爲對雙金屬鑲嵌結構之損害。中間溝槽蝕刻停止層 92 0可被使用以減少切面。溝槽9 64之蝕刻亦可形成一新 的外殼9 6 8,其形成側壁。抗蝕劑層1 6 0及外殼可接著被 剝除(步驟1 〇 1 6 )。堆疊9 0 0可接著接受一障蔽層蝕刻 (步驟1 0 2 0 ),其打開通孔9 4 0至銅接點9 0 4,以提供圖 E中所示之結構。一金屬障蔽層9 7 4可被沈積於銅接點之 上(步驟1〇24),如圖9F中所示。一銅晶種層976可接 著被使用以塗敷通孔及溝槽之內部。電鑛可被使用以用銅 9 7 8塡入溝槽及通孔,其被拋光向下至溝槽介電層924。 銅9 7 8可被使用爲下一階之一銅連接,故製程被重複以產 生多階的銅連接及介電層。 雖然中間溝槽蝕刻停止層可被使用以減少切面,但中 間溝槽蝕刻停止層之提供及蝕刻需要額外的處理步驟,其 增加處理時間及成本。 此外,積體電路使用介電層,其通常已被形成自二氧 化矽(S i Ο 2 )以絕緣—半導體結構之各個層上的導線。隨 著半導體電路變得更快速且更微小,操作頻率便增加且介 於半導體裝置中的導線間之距離減小。如此引入增加的耦 合電容位準至電路,其具有減緩半導體裝置之操作的缺點 。因此’重要的是使用其能夠有效地絕緣導線以纟彳ί几此等 增加之耦I合電容位準的介電層。 通常,一積體電路中之耦合電容係直接正比於其用以 形成介電層之材料的介電常數k。如上所述,習知積體電 路中之介電層傳統上被形成以S〗〇 2,其具有約4.0之介電 -10- (7) (7)200408732 吊數。由於增加半導體裝置中之線路密度及操作頻率,所 有由Si〇2所形成之介電層可能無法有效地絕緣導線至其 爲避免增加鍋合電容位準所需的程度。 爲了減小積體電路中之耦合電容位準,半導體工業已 致力於硏究以開發具有介電常數小於s i 〇 2之材料,該材 料係適於用來形成積體電路中之介電層。已開發數種優異 的材料,其有時被稱爲“低k材料”。於本案說明書及申 請專利範圍中,低k材料被界定爲具有介電常數k小於4 之材料。氟矽酸鹽玻璃係低k介電質之一範例,其具有約 3 . 7之介電常數。此構成摻雜入S〗〇 2之約7 - 9 %的氟。 另一種相關的低k材料係包含有機矽酸鹽玻璃(或 〇 S G )之化合物。舉例而言,但非限定,此等有機矽酸鹽 包含來自 Novellus of San Jose, C a 1 i f o r n i a z h 之 CORAL™ ;來自 Applied Materials of Santa Clara, California 之 Black Diamond™ ;可得自 ASM I n t e r n a t i ο n a 1 N . V ·,T h e N e t h e l· 1 a n d s 之 A u r o l· a T M ;可得自 Sumitomo Chemical America, Inc.. Santa Clara, California 之 Sumika Film⑧;來自 Allied Signal of Morristown,New
Jersey之H OS PTM。有機矽酸鹽材料具有內含於二氧化矽 中之碳及氫原子,其降低密度、及因而降低材料之介電常 數。此等膜之介電常數通常係< 3 . 〇。 爲了有助於討論,圖Π A係一種金屬鑲嵌結構之製 造中的一晶圓部分之橫斷面圖,其係無溝槽停止層且係使 用低k介電質。一接點】1 〇4可被置於晶圓Π 1 0上之低k -11 - (8) 200408732 介電層1108中。一介電障蔽層1112(通常爲,但不 ,氮化矽或碳化矽)可被置於接點1 1 04上以避免銅 。一低k介電層1120可被置於障蔽層1112之上。一 射層(ARL ) 1 128可被置於低k介電層1 120之上。 案化的抗蝕劑層1 1 32可被置於ARL 1 1 28之上。圖 的抗蝕劑層1 132被圖案化以提供一通孔1 140,其被 入低k介電層1 1 2 0。抗蝕劑層1 1 3 2被移除且一第二 化的抗蝕劑層1 1 60被置於ARL 1 1 28之上,如圖1 1 所示。第二圖案化的抗蝕劑層1 1 6 0被圖案化以提供 槽1 1 6 4,其被蝕刻入低k介電層1 1 2 0。 由於缺乏中間溝槽蝕刻停止層並使用低k介電質 範例中之切面1 1 7 2可能被增加。此切面可能造成銅 將被使用以塡入通孔及溝槽)太接近第二接點:Π 06 亦可增加通孔底部之尺寸。 爲了幫助瞭解,圖1 2 A係一種金屬鑲嵌結構之 中的一晶圓部分之橫斷面圖,其係無溝槽停止層且係 低k介電質。一第一接點1 204及一第二接點1 2 0 6可 於晶圓1 2 1 0上之低k介電層1 2 0 8中。一介電障 1 2 1 2 (通常爲,但不限定,氮化矽或碳化矽)可被置 —及第二接點1 204、1 206上以避免銅擴散。一低k 層1 220可被置於障蔽層1212之上。第一 1240及 1 244通孔可被蝕刻入低k介電層1 220。一底部抗反 敷(BARC)層1228可被旋塗於低k介電層1220之 B A R C上之此一旋塗易於至少部分地塡充通孔1 2 4 0、 限定 擴散 抗反 一圖 案化 蝕刻 圖案 B中 一溝 ,此 (其 。此 製造 使用 被置 蔽層 於第 介電 ΛτΛτ· -- 弟一 射塗 上。 -12- 1244 200408732 Ο) 並形成通孔中之側壁及插塞。通常,較窄的通孔 B A R C至一較高的深度,相較於較寬的通孔所被 同時,較分開的通孔可被塡入得較高,相較於僅 通孔。因此,可能難以使通孔被塡入至均勻的高 圖1 2 B係溝槽i 2 4 8、1 2 5 2已被鈾刻後之晶 之橫斷面圖。通孔中之BARC的出現產生籬( 1256、1260及(此外)切面1262、1264。切面 之尺寸係取決於B A R C之高度。因此,不均勻的 度可能造成不均勻的切面及籬。籬可能爲一壓力 可能造成電遷移、空隙、及其他故障,其可能縮 半導體裝置的可靠度。 此外’插塞塡入及剝除對製程流程增加了額 及複雜度。此外,此等插塞可能造成後續介電材 中毒(poisoning )。若無插塞塡入,則可能難以 之CD增加,由於藉由機構(諸如切面)之腐蝕 之目的係提供一般性方法,以供蝕刻一層或一層 特徵而獲得由遮罩材料所形成之橫向圖案的高精 ’其同時具有對於遮罩材料以及停止層之高触刻 及高選擇性。此外,本發明係欲提供一種一般性 供蝕刻其已存在於蝕刻層中之橫向圖案,該蝕刻 刻遮罩所覆蓋且未被犧牲性塡充物材料所保護或 護,而無對於蝕刻層橫向圖案之不當的橫向CE) 害。 被塡入以 塡入者。 密封裝的 度。 圓的部分 fences ) 之量及籬 B A R C 局 位置,其 減所得之 外的成本 料之介電 避免通孔 。本發明 堆疊中之 確度副本 各向異性 方法,以 層未被蝕 足夠地保 損失及損 (10) (10)200408732 【發明內容】 爲達成上述功效且依據本發明之目的,提供一種透過 一蝕刻遮罩以蝕刻一層中之特徵的方法。一保護層被形成 於蝕刻遮罩之暴露表面上及具有鈍化氣體混合物之特徵的 側壁上。該特徵係以反應蝕刻混合物而被蝕刻通過蝕刻遮 罩,該蝕刻混合物含有至少一蝕刻化學物及至少一鈍化化 學物。 於本發明之另一實施例中,提供一種用以蝕刻一蝕刻 遮罩底下之層的裝置,其中該層係由一基底所支撐。提供 一電漿處理室,其包含一室壁,用以形成一電漿處理室外 殼、一基底支撐,用以支撐電漿處理室外殼內之一基底、 一壓力調節器,用以調節電漿處理室外殼中之壓力、至少 一電極,用以提供電力至電漿處理室外殼以維持電漿、一 氣體入口,用以提供氣體進入電漿處理室外殼、及一氣體 出口,用以從電漿處理室外殼排出氣體。提供一沈積氣體 源及一蝕刻劑氣體源。提供一第一控制閥,其係流體連接 於電漿處理室的氣體入口與沈積氣體源之間、一第二控制 閥,其係流體連接於電漿處理室的氣體入口與蝕刻劑氣體 源之間。提供一控制器,其係可控制地連接至第一控制閥 、第二控制閥、及至少一電極,該控制器包含至少一處理 器及電腦可讀式媒體。電腦可讀式媒體包含電腦可讀式碼 ,以供開啓第一控制閥於至少一沈積步驟以提供從沈積氣 體源至電漿處理室外殼之沈積氣體、電腦可讀式碼,以供 封閉第二控制閥於至少一沈積步驟以避免來自蝕刻劑氣體 -14- (11) 200408732 源之蝕刻氣體進入電漿處理 開啓第二控制閥於至少一沈 至電漿處理室之蝕刻氣體、 一沈積步驟加能至少一電極 的偏壓。 於本發明之另一實施例 鑲嵌特徵之方法。通孔被形 化遮罩被提供於蝕刻層之上 蝕刻包含形成保護側壁於通 過溝槽圖案化遮罩的循環。 於本發明之另一實施例 遮罩底下之層的裝置,其中 一種電漿處理室。電漿處理 處理室外殼、一基底支撐, 一基底、一壓力調節器,用 力、至少一電極,用以提供 電漿、一氣體入口,用以提 及一氣體出口,用以從電漿 沈積氣體源及一蝕刻劑氣體 於電漿處理室的氣體入口與 閥係流體連接於電漿處理室 間。一控制器係可控制地連 、及至少一電極。控制器包 媒體。電腦可讀式媒體包含 室外殼、電腦可讀式碼,以供 積步驟以提供從蝕刻劑氣體源 及電腦可讀式碼,以供於至少 以提供基底上之大於2 5 0伏特 中,提供一種用以形成雙金屬 成於一蝕刻層中。一溝槽圖案 。一溝槽被蝕刻,其中溝槽之 孔之側壁上以及蝕刻一溝槽通 遮罩被接著剝除。 中,提供一種用以蝕刻一蝕刻 該層係由一基底所支撐。提供 室包含一室壁,其形成一電漿 用以支撐電漿處理室外殼內之 以調節電漿處理室外殼中之壓 電力至電漿處理室外殼以維持 供氣體進入電漿處理室外殼、 處理室外殼排出氣體。提供一 源。一第一控制閥係流體連接 沈積氣體源之間。一第二控制 的氣體入口與蝕刻劑氣體源之 接至第一控制閥、第二控制閥 含至少一處理器及電腦可讀式 電腦可讀式碼,以供開啓第一 - 15- (12) (12)200408732 控制閥於至少一沈積步驟以提供從沈積氣體源至電漿處理 室外殼之沈積氣體、電腦可讀式碼,以供封閉第二控制閥 於至少一沈積步驟以避免來自蝕刻劑氣體源之蝕刻氣體進 入電漿處理室外殻、及電腦可讀式碼,以供開啓第二控制 閥於至少一沈積步驟以提供從蝕刻劑氣體源至電漿處理室 之蝕刻氣體。 本發明之這些及其他特徵將配合下列圖形而被更詳細 地描述於以下實施方式中。 【實施方式】 現在將參考如附圖所示之一些較佳實施例以詳細地描 述本發明。於以下描述中,提出某些特定細節以提供對本 發明之透徹瞭解。然而,熟悉此項技術者將明白本發明可 被實施而無須這些特定細節之部分或全部。於其他範例中 ’未詳細地描述熟知的製程步驟及/或結構以免非必要地 模糊了本發明。 本發明係一種新穎的蝕刻方法,其中一就地(i η - s i t u )鈍化製程被結合涖整合與一蝕刻製程以增進整體蝕刻性 能而不會不當地犧牲簡單化及成本效益。 於此新穎方法中,一種就地電漿處理室被使用以增進 及/或修理光抗蝕劑遮罩、以及蝕刻特徵之垂直側壁,於 蝕刻進行期間。於此新穎蝕刻程序期間,一電漿化學製程 步驟被起始於一短暫期間,在晶圓被暴露至一蝕刻電漿一 段所欲期間之前及/或之後。電漿鈍化製程被選擇以致其 -16- (13) (13)200408732 材料敷層之一薄膜被形成於遮罩圖案上以保護遮罩不受後 續蝕刻腐蝕的侵害。最好是,此薄敷層係一種相容與後續 剝除製程(以利最終之移除)但較遮罩材料更能抵抗蝕刻 之材料。例如,富含碳薄膜(其含有極低至無的其他元素 量)可被使用以塗敷光抗蝕劑遮罩以致其受保護的遮罩特 徵不會輕易地由後續蝕刻製程所腐蝕。換言之,其改變遮 罩Η茱之表面組成以d其遮罩作用如—虛擬硬遮罩,其具 有非晶碳硬遮罩之某些有利的蝕刻特性。另一方面,鈍化 製程亦可被使用以致其遮罩圖案上之薄敷層的形成大大地 補償及/或修理習知蝕刻製程所損害/腐蝕之遮罩圖案。對 於後續蝕刻反應之塗敷的相對惰性係有利的,以不致改變 蝕刻步驟中所獲得的精細平衡。 蝕刻氣體混合物含有蝕刻劑物種及至少一鈍化物種以 不喪失與蝕刻化學中之鈍化氣體有關的優點。蝕刻相對於 鈍化成分之比率(配合多數其他的處理條件)被精細地平 衡以達成最佳的處理結果,諸如光抗蝕劑選擇性、蝕刻各 向異性及蝕刻率等等。放電功率被保持爲高且電荷粒子之 能量亦被保持爲高以獲得高的蝕刻率及良好的蝕刻各向異 性於小尺寸的結構中。鈍化及蝕刻程序可(但不一定要) 被重複並調整直到完成蝕刻工作。 爲了幫助瞭解,圖3係本發明之一實施例的流程圖。 一光抗蝕劑遮罩被提供於一待蝕刻之層上(步驟3 04 )。 圖4 A-F係該製程之槪略圖示。圖4A顯示一光抗蝕劑遮 罩4 04,其已被提供於待蝕刻之氧化物層4 0 8上,該氧化 -17- (14) (14)200408732 物層4 0 8係位於基底之上。基底被置於處理室中(步驟 3 0 6 )。 圖5係一可被使用於本發明之較佳實施例中的處理室 5 〇 〇之槪略視圖。於此實施例中,電漿處理室5 0 0包含侷 限環502、一上電極504、一下電極508、一氣體源510、 及一排出泵520。氣體源510包含一鈍化氣體源512、一 蝕刻劑氣體源5 1 4、及一額外氣體源5 1 6。於電漿處理室 5 0 0中,基底晶圓5 8 0 (其上沈積有氧化物層)被置於下 電極508之上。下電極508包含一適當的基底夾持( chucking )機構(例如,靜電、機械夾制,等等)以固持 基底晶圓5 8 0。反應器頂部5 2 8包含其緊鄰地配置正對著 下電極508之上電極504。上電極504、下電極508、及 侷限環5 0 2界定侷限的電發體積5 4 0。氣體係透過一氣體 入口 5 4 3而由氣體源5 1 0供應至侷限的電漿體積且從侷限 的電漿體積透過侷限環5 02及一排出埠而由排出泵5 2 0所 排出。排出泵5 20形成一氣體出口以用於電漿處理室。一 第一 RF源544被電連接至上電極504。一第二RF源548 被電連接至下電極5 0 8。室壁5 5 2界定一電漿封閉體’其 中配置有侷限環502、上電極504、及下電極508。第一 RF源5 44及第二RF源5 4 8可包含27 MHz之電源及2 MHz之電源。可能有連接RF電力至電極之不同組合。由 LAM Research CorporationTM of Fremont,California 所製 之修改的Exelan 23 00 DFC可被使用於本發明之一較佳實 施例中。一控制器5 3 5係可控制地連接至第一 RF源544 -18- (15) 200408732 、第二RF源5 4 8、排出泵5 2 0、一第一控讳 連接至沈積氣體源5 ] 2 )、一第二控制閥: 至蝕刻氣體源5 1 4 )、及一第三控制閥5 4 : 額外氣體源5 1 6 )。氣體入口 5 4 3提供來自 514、516之氣體進入電漿處理密封體。一 接至氣體入口 5 4 3。氣體入口 5 43可爲一供 一入口或者一供各氣體源之不同入口或者多 之入口或其他可能的組合。 一保護層4 1 2被形成於光抗蝕劑遮罩 4 B中所示(步驟3 0 8 )。最好是其沈積係非 沈積之量被優先地形成更多於遮罩材料上。 程係藉助於其沈積源之視線位置以及所選定 選擇性本質。換言之,沈積化學被選定以致 先地形成於遮罩材料上,由於材料之化學惰 圖4 B中所見,一較厚的保護層4 1 2被形成 罩4 0 4之頂部上,相較於光抗蝕劑遮罩之底 劑遮罩之側壁上的氧化物表面上所形成者。 中,沈積係使用化學氣相沈積而被就地實施 ,其亦沈積一薄的保護層於光抗蝕劑之側壁 沈積係使用某種離子能量以容許此沈積之選 於其他實施例中,處理條件可被改變以 厚度及空間分佈。例如,可能希望隨著蝕刻 形成一較厚的敷層於蝕刻結構之側壁上,以 不受後續蝕刻之進一步變形。處理條件之變 閥5 3 7 (其係 ;3 9 (其係連接 (其係連接至 氣體源5 1 2、 噴出頭可被連 各氣體源之單 數供各氣體源 4 04上,如圖 對稱的以致其 最好是此一製 CVD製程之 其一敷層被優 性的差異。如 於光抗蝕劑遮 部上及光抗鈾 於較佳實施例 於一蝕刻室中 上。最好是該 擇性。 變化保護層之 進行得較深而 保護鈾刻結構 化可提供此目 -19- (16) 200408732 的。因爲鈍化及触刻爲分離的步驟,所以I屯化之 可被最佳化以達成此結果而不干擾實施例製程。 於沈積期間’沈彳貝氣體之氯對碳比率不大於 可用於CVD之沈積化學物的範例可爲(但不
ch3f、ch2f2、c2h5f、c3h7f、c2h3F、Ch4 C2H6、C2H2、C3H8、及 SiH4、Si(CH3)4、Si(C2H 是其這些化學物爲無鹵素的或者具有不大於2: 對碳比率。未由理論所限制,相信其碳基的化學 —薄的鈾刻抵ί几非晶碳層。砂院SiH4將被使用 非晶砂層(或多非晶砂)於光抗蝕劑上。此外, 已被修改’以存在有某些F及Η成分。其他元素 )之存在可被使用以驅使不同材料表面上之選擇 以致其沈積係優先地發生於其中之一上而非其他 諸如於光抗蝕劑遮罩材料上而非於s i 〇 2層上, 離t碰撞之下。薄度及蝕刻抗性提供足夠的保護 抗触劑軸刻或損害且係足夠的薄以容許所欲特徵 刻。其他的方法(諸如濺射)可被使用以沈積一 光抗融劑遮罩上,在蝕刻之前。鈍化步驟係蝕刻 一獨立的步驟’其可包含不同材料之不同蝕刻應 m體之不同組合,其中沈積係使用可能的多重步 換程序以提供一保護敷層於其包含遮罩特徵之蝕 圍。爲了完成此歩驟,控制器5 3 5可致使第一閥 谷δ午沈積氣體從沈積氣體源5〗2進入處理室5 〇 〇 弟一閥5 3 9防止其來自蝕刻劑氣體源5 ] 4之蝕刻 處理條件 限定於) 、C2H4 、 5)4 〇 最好 1 之鹵素 物係形成 以形成一 保護層可 (諸如F 性活動, 材料上, 於適當的 以抵抗光 形狀之蝕 保護層於 製程中之 用的沈積 驟氣體切 刻特徵周 5 3 7得以 ,而致使 氣體進入 -20- (17) (17)200408732 處理室。控制器5 3 5亦可控制其由第一及第二RF源544 、5 4 8及排出泵5 2 0所供應的電力。控制器亦可被使用以 控制晶圓壓力、背側He冷卻壓力、基底上之偏壓、及各 種溫度。 表I係其可被使用於本發明之較佳實施例中之鈍化及 蝕刻步驟的某些參數之一表格。
較佳範圍 更理想範圍 最理想範圍 偏壓電壓 > 5 0伏特 > 1 〇 0伏特 > 3 0 0伏特 偏壓能量 >50 eV >100 eV >3 00 eV 偏壓之提供可藉由設置一恆定電壓於基底上的上電極 與基底下的下電極之間。於較佳實施例中,電負性可藉由 施加一由RF功率產生器所供應之射頻(RF )電壓而被形 成於其固持晶圓材料之基底上(藉此施加一偏壓至晶圓) 。此具有吸引正電粒子朝向電偏壓基底之效果,以一由 RF電壓振幅所控制之電負性所決定的能量。因而得以藉 由控制其供應至基底固持器之RF功率(及因而RF電壓 )來供應及改變離子碰撞能量。 接下來,氧化物層408被蝕刻通過光抗蝕劑遮罩404 ,以形成一特徵4 1 6,如圖4C中所示。蝕刻應用可包含 (但不限定於)一介電接點蝕刻(高縱橫尺寸比接點 -21 - (18) 200408732 (HARC)或金屬鑲嵌)、導體溝槽蝕刻(淺或深)、 直接點蝕刻、閘極遮罩開口蝕刻、接點蝕刻、通孔介 刻、雙金屬鑲嵌通孔蝕刻、雙金屬鑲嵌溝槽蝕刻、導 極蝕刻、導體深溝槽蝕刻、導體淺溝槽蝕刻、絕緣蝕 及硬遮罩開口。最好是,蝕刻係使用高離子能量以提 向性蝕刻。蝕刻可移除部分保護層4 1 2,如圖所示。 些表面上之所有保護層可被移除。於此範例中,形成 蝕劑遮罩404上之側壁的保護層已被移除。保護層之 部分僅可被部分地移除。於此範例中,僅有光抗蝕劑 4 04表面上之保護層412的部分已被移除。於其他實 中,保護層之其他部分亦可被部分地蝕刻掉或完全地 掉。爲了達成此步驟,控制器5 3 5可致使第一閥5 3 7 沈積氣體從沈積氣體源5 1 2流入處理室5Ό0,而致使 閥5 3 9容許來自蝕刻劑氣體源5 ] 4之蝕刻氣體流入處 。控制器5 3 5可改變其由第一及第二RF源5 44、54 供應之功率並改變排出泵5 2 0之設定以調適蝕刻。控 亦可被使用以改變晶圓壓力、背側壓力、及各種溫度 適蝕刻製程。因爲此蝕刻步驟係使用高能量離子以提 向性蝕刻,所以一聚合物形成物氣體被提供於蝕刻期 聚合物形成物氣體可(例如)爲碳氫化合物、碳氟化 、碳氫氟化合物,諸如 C4F6、C4F8、CH3F、CH2F2、 、(:3F6、C3Hs及CHF3。這些聚合物形成物氣體將形 聚合物層,其被恆定地加入並蝕刻掉於蝕刻期間。 表II係其可被使用於本發明之較佳實施例中之 自校 電蝕 體閘 刻、 供方 於某 光抗 其他 遮罩 施例 蝕刻 阻止 第二 理室 8所 制器 以調 供方 合物 CH4 成一 蝕刻 -22- (19) (19)200408732 製程的某些參數之一表格。 表π
較佳範圍 更理想範圍 最理想範圍 偏壓電壓 >2 0 0伏特 > 3 0 0伏特 > 4 0 0伏特 偏壓能量 >200 eV >3 0 0 eV >400 e V 在接觸孔被至少部分地蝕刻後,決定是否進一步蝕刻 (步驟3 1 6 )。此可藉由一既定處理程式或藉由執行量測 而被執行。假如希望更多蝕刻,則製程循環回到步驟3 0 8 ,其中一額外的保護層4 1 8被沈積於光抗蝕劑遮罩上,如 圖4 D中所不。與此範例中,舊保護層之剩餘部分變爲新 保護層4 1 8之部分。於此步驟中,控制器5 3 5在此開啓第 —控制閥5 3 7以提供沈積氣體並關閉第二控制閥5 3 9以阻 止蝕刻氣體之流動。控制器5 3 5亦可改變其他參數以調適 其沈積。 接觸孔被接著進一步蝕刻通過光抗蝕劑遮罩(步驟 3 12),其提供一較深的接觸孔4 1 6,如圖4 E中所示。於 此步驟中,控制器5 3 5再次關閉第一控制閥5 3 7以阻止沈 積氣體並開啓第二控制閥5 3 9以容許蝕刻氣體之流動。控 制器5 3 5亦可改變其他參數以調適其沈積。 最好是,此提供交替的沈積及蝕刻步驟之週期或循環 被重複不只一次。最好是,此週期被重複三次以上。最好 -23- (20) 200408732 是,此週期被重複至少五次。此週期可被重複數 能希望重複此週期1 0 0次。 最好是,於至少最後週期中,蝕刻步驟完全 保護層,如圖4E中所示。當不想要進~步蝕刻 ί几鈾劑遮罩被剝除(步驟3 2 0 )以產生具有一接 之氧化物層4 0 8,如圖4F中所示。光抗融劑遮 除於處理室5 0 0中或者在從處理室5 0 0移除後。 於其他實施例中,可在步驟3 08之前加入一 以供沈積一保護層於光抗蝕劑遮罩上。 最好是,保護層之蝕刻及沈積被執行於相同 亦可被執彳了於不同室中。一種Exelan (由 LAM C 〇 r ρ · 〇 f F 1· e in ο n t,C a 1 i f 〇 r n i a 所製造)可被採用 積及蝕刻步驟。因爲沈積及蝕刻被執行於相同室 介於沈積與蝕刻之間的循環可被快速地執行。 光抗蝕劑遮罩之材料的範例可包含(但不限 新世代的光抗飩劑,諸如深UV光抗蝕劑、1 9 3 η 劑、157 nm光抗蝕劑、EUV光抗蝕劑、e光束 、及X射線光抗蝕劑。較舊世代的光抗蝕劑聚合 設計以含有未飽和C-C鍵,諸如C-C雙鍵及甚] 鍵以提供所需的局触刻抗性,亦即,對於鈾刻氣 之化學惰性。這些鍵爲強健的且需要高的啓動能 ,而因此,於相對較低的離子能量下,較舊世代 劑可能展現對於蝕刻氣體混合之顯著爲低的餓刻 世代的光抗鈾劑(包含1 9 3 n m及]5 7 n m )不含 十次。可 地蝕刻掉 時,則光 觸孔4 1 6 罩可被剝 蝕刻步驟 室中,但 Research 以執行沈 中,所以 定於)較 ηι光抗蝕 光抗蝕劑 物材料被 巨C-C三 體混合物 量來打斷 的光抗蝕 率。較新 這些未飽 -24 - (21) (21)200408732 和鍵(因爲這些未飽和鍵會於微影術暴露波長下吸收), 其導致減少甚多的光抗蝕劑蝕刻抗性。藉由提供一保護敷 層於光抗蝕劑上於蝕刻階段期間,其係使用含有至少一鈍 化氣體之蝕刻混合物,則光抗蝕劑之飩刻抗性被顯著地增 進,即使於高離子碰撞能量下。本發明可採用以增進光抗 蝕劑之蝕刻抗性的高離子碰撞能量可爲5 0 - 2,0 0 0 e V。更 理想的,離子碰撞能量可爲2 0 0 -〗,5 0 0 e V。最理想的,, 離子碰撞能量爲5 00- 1,00 0 eV。 通孔蝕刻範例 本發明之一特定範例,用以蝕刻一具有1 9 3光抗蝕劑 遮罩之Si02層及一介於Si02層與光抗蝕劑遮罩之間的底 部抗反射敷層(BARC),係使用 Exelan DFC 23 00於處 理室5 0 0。於處理室5 00中,執行一 BARC蝕刻。BARC 蝕刻具有1 1 〇 mill itorr之壓力,其可由侷限環5 02、排出 泵520、及通過氣體入口 543之流率來設定。以27 MHz 供應之功率爲1200瓦,且無2 MHz之功率透過504、508 。蝕刻化學物係7 0 0 s c c m之蠤、6 0 s c c m之C F 4、及1 2 s c c m之0 2。上電極5 0 4被置於1 8 0 °C之溫度。由下電極 5 〇 8所形成之夾盤被置於1 0 °C之溫度。氨之一背側內區域 夾盤壓力被置於1 5 to rr。一背側外區域夾盤壓力被置於 1 5 torr。於此範例中,BARC蝕刻被維持 50秒。控制器 5 3 5控制這些參數。額外氣體源5 1 6可被使用以提供用於 BARC蝕刻之氣體。額外氣體源5]6可代表一個以上氣體 -25- (22) (22)200408732 源。第三閥5 4 1可代表一個以上的閥,以致其額外氣體可 由控制器5 3 5所獨立地控制。對於一 Ex elan DFC 2 3 00, 氦之一背側壓力被使用以冷卻夾盤。E x e 1 a n D F C 2 3 0 0容 許一較接近夾盤中心之內背側壓力及一較接近夾盤外邊緣 之外背側壓力。控制器5 3 5能夠控制這些壓力。 保護層之沈積係以一 50 millitori·之壓力被執行於 Exelan DFC 2300,以800瓦被施加於27 MHz及400瓦被 施加於2 Μ Η z。沈積化學物爲5 0 0 s c c m之氬及5 0 s c c m 之CH3F。上電極被置於180°C之溫度。夾盤被置於l〇°C 之溫度。氦之背側內區域夾盤壓力被置於3 0 torr。背側 外區域夾盤壓力被置於1 2 torr。於此範例中,沈積氣體 源5 12將提供CH3F,其未被提供於蝕刻期間。氬可被提 供自額外氣體源5 1 6,因爲氬係被提供於沈積及蝕刻期間 。控制器5 3 5將開啓第一閥5 3 7並關閉第二閥5 3 9。控制 器亦將控制來自額外氣體源之氬的流動。控制器5 3 5將控 制如以上所指定之功率及其他參數。 S i 0 2層之飽刻係以一 4 0 m i 11 i t 〇 r r之壓力被執行於 Exelan DFC 2300,以 2500 瓦被施力口於 27 MHz 及 3 500 瓦 被施加於2 Μ Η z。|虫刻化學物爲4 0 0 s c c m之氣、3 6 s c c m 之C 4 F 6、及3 0 s c c m之0 2。C 4 F 6將爲一聚合物形成物氣 體,其提供蝕刻期間之聚合化。〇2將爲蝕刻致能氣體。 雖然來自C4F6之氟被使用於蝕刻,但此範例中之氟需要 氧之存在以致能蝕刻。上電極被置於1 8 0 °C之溫度。夾盤 被置於1 〇 °C之溫度。氨之背側內區域夾盤壓力被置於3 〇 -26- (23) (23)200408732 tori:。背側外區域夾盤壓力被置於1 2 torr。於此範例中, 蝕刻劑氣體源5 1 4將提供C4F6及02,其未被提供於沈積 期間’雖然無氧之C4F6可被使用於沈積期間。控制器535 將關閉第一閥5 3 7並開啓第二閥5 3 9。控制器亦將控制來 自額外氣體源之氬的流動。控制器5 3 5將控制如以上所指 定之功率及其他參數。 於此範例中,首先B ARC鈾刻被執行5 0秒。接下來 ,保護層之沈積(步驟 3 0 8 )被執行1 0秒。接下來,接 觸孔被触刻2 5秒(步驟3 1 2 )。接著保護層之沈積被執 行10秒(步驟3 0 8 ) 。25秒之特徵蝕刻(步驟312)及 1 〇秒之保護層沈積(步驟3 0 8 )被重複四次。特徵之最終 蝕刻被執行8 0秒(步驟3 1 2 )。該循環被完成(步驟3 1 6 )且光抗蝕劑被剝除(步驟3 2 0 )。因此,於此範例中, 沈積(步驟3 0 8 )及蝕刻(步驟3 1 2 )循環被執行5個循 ί哀。 此相同程序之另一註釋可被寫成: 5 0秒B A R C蝕刻+ ] 〇秒沈積+ 4 X ( 2 5秒蝕刻+ ;[ 〇秒 沈積)+ 8 0秒触刻。 於此範例中,保護層被優先形成於特徵之遮罩及側壁 上,以致其保護層之厚度在特徵之遮罩及側壁上較特徵之 底部上來得厚’或者其完全無保護層被形成於特徵之底部 上。 不同條件可被使用於循環之間以更確實地調整製程之 條件。額外的製程可被加至各循環。雖然於此範例中,處 -27- (24) (24)200408732 理室係Ex elan DFC 2 3 00,但亦可使用其他修改過的鈾刻 系統。 圖6係一 Si 〇2層604之光顯微照相,其係以—1 93 光抗蝕劑遮罩所遮蔽以形成高縱橫尺寸比接點(HARC ) 蝕刻6 0 8,其係使用本發明之保護層的沈積及蝕刻製程。 圖7係一 SiCb層7 04之光顯微照相,其係以一 193光抗 蝕劑遮罩所遮蔽以形成高縱橫尺寸比接點(HARC )蝕刻 7 0 8,其並未使用本發明之保護層的沈積及蝕刻製程。如 比較圖6及圖7可見,本發明之沈積及蝕刻製程提供來自 光抗蝕劑遮罩之理想的圖案轉移(原始遮罩圖案爲原形孔 之陣列),以其接點更接近圓形。另一方面,未使用光抗 蝕劑之保護層沈積的蝕刻習知技術方法造成原始圖案之變 形,其在介電層中是很明顯的,如由更不規則的接觸孔形 狀所示,此爲無法接受的。光抗蝕劑及特徵之側壁上的保 護層亦可避免其於某些蝕刻製程中所發現的條紋。 本發明提供一種較使用堆疊遮罩更具成本效益的製程 ,因爲堆疊光抗蝕劑遮罩之製造是更爲複雜的。本發明亦 可提供較佳的蝕刻結果,以其較堆疊遮罩製程更少的花費 〇 待蝕刻層可爲一介電層(諸如氧化矽)、一導電層( 諸如金屬及矽或其他型式的半導體)、或者一硬遮罩層( 諸如氮化矽或氮氧化矽)。對於蝕刻導體層,可於蝕刻步 驟中使用鹵素(諸如氯、氟、或溴),其中沈積可曰有用 以沈積富含C之薄膜或含有矽之薄膜的化學物。 -28- (25) (25)200408732 於本發明之較佳實施例中’希望沈積氣體之某些成分 並未混合與蝕刻氣體之成分,因爲某些混合會減少具有分 離的沈積及蝕刻製程之效率。因此’控制器應計時氣體流 以致其某一氣體被用盡在另一氣體被加入之前。 於較佳實施例中,來自蝕刻劑氣體源之蝕刻劑氣體於 沈積步驟期間未被提供至電漿處理室’而來自沈積氣體源 之沈積氣體於蝕刻步驟期間未被提供至電漿處理室。此可 藉由不提供蝕刻氣體或沈積氣體之成分而達成。例如,氧 或含氧氣體係對於蝕刻氣體之一關鍵蝕刻成分。即使 c4F6亦被使用於蝕刻氣體,仍無法藉由C4F6而無氧地完 成蝕刻於此範例中。所以於沈積步驟期間不提供氧或含氧 氣體使一種於沈積步驟期間不提供蝕刻氣體的方法,即使 於沈積期間提供了 c4F6。亦爲較佳的是其沈積製程爲一 非鈾刻或至多爲可忽略蝕刻(包含少於1 0 %的待鈾刻層 )以供形成保護敷層。此一沈積製程可爲(但不限定於) CVD沈積或濺射,因爲CVD及濺射未被使用於蝕刻。假 如沈積氣體不同於蝕刻步驟中之聚合物形成物,則沈積氣 體可被提供於蝕刻步驟期間。於此一情況下,介於沈積步 驟與蝕刻步驟之間的一差異在於其蝕刻氣體之蝕刻成分係 僅存在於蝕刻步驟期間。此外,於蝕刻步驟期間之偏壓功 率可較高以利提供方向性蝕刻。 提供一分離的沈積步驟及存在有聚合物形成物以提供 聚合化於蝕刻步驟期間係容許較高能量蝕刻離子之使用, 以達成較高的蝕刻率及較佳的各向異性蝕刻。 -29- (26) (26)200408732 藉由保持鈍化氣體於一蝕刻混合物中,得以使用較高 的離子碰撞能量而無蝕刻遮罩之不可接受的腐蝕及損害。 此外,各向異性蝕刻可於蝕刻步驟期間被達成。藉由使用 分離的鈍化步驟,則輪廓及遮罩保護可被最佳化’藉由選 擇(例如)一較其由蝕刻混合物所產生之更硬且更耐用之 敷層,因爲排出氣體中之蝕刻與延遲氣體的內部互作用可 能降低敷層之品質。此外,鈍化化學條件(諸如壓力及濃 度)可被修改以使鈍化敷層之性質(諸如成分、厚度)最 佳化。 因此,藉由使獨立的鈍化及蝕刻鈍化步驟處理條件( 諸如溫度、功率、壓力、離子能量、及處理氣體可被獨立 地控制)被改變以提供各步驟之最佳條件,而提供一最佳 化敷層及一最佳化蝕刻。 除了氬之外的其他惰性氣體可被使用爲載體氣體,於 倉虫刻及沈積期間。另一惰性氣體之範例爲氖。 於本發明之一實施例中,室壁區域,其可接觸電漿( 由放電所維持之化學物與帶電粒子之混合物),被製爲盡 可能小且被保持於升高的溫度。此動作之目的係使室壁區 域上之總沈積最小化以避免所謂的“記憶,,效果,此效果 係使得於一處理步驟中所形成之室壁區域的敷層中所含的 化學元素可能被釋放而干擾與後續的步驟。 亦希望其從先質源至處理室之氣體行進時間被調整至 極短。氣體流穩定時間(其代表欲建立一恆定理想流之時 間及欲建立完全無該氣體於處理室所需的時間)被調整爲 -30- (27) (27)200408732 極短,以致其從一穩定氣體混合物組成至下一組成之轉移 可變爲極快速。此操作之目的係避免兩不同步驟之間的化 學物之內混合,其可能降低性能。 亦可能希望其控制將電力轉換爲放電之電子系統及控 制網路極快速地反應於放電狀態及電力需求的改變。再者 ’可能希望能夠快速地改變及穩定化處理室之其他外界條 件’諸如氣體混合物之壓力及晶圓基底之溫度。因爲兩不 同步驟可被重複多次,所以供調適各步驟之製程條件需被 改變數次。容許此等製程條件被快速地改變係容許較快速 的循環時間並容許製程條件被顯著地改變於步驟之間以個 別地最佳化各步驟。因此,可能希望具有一種中央電腦化 的系統,期能控制及同步化處理條件之快速改變。電腦被 使用以傳送必要改變之指令並同步化與各個裝置之預定的 時間延遲(其係提供處理室中之多數條件改變)。 沈積步驟可包含一連串不同的塗敷步驟。融刻步驟可 包含一連串不同的蝕刻步驟。 溝槽蝕刻範例 圖]3係一使用通孔先技術以形成雙金屬鑲嵌特徵之 範例的高階流程圖。首先多數通孔被形成(步驟丨3 〇 4 ) 。通孔可使用先前範例中所述之方法而被形成。圖i4a 係一基底1 4 1 0之部分的橫斷面圖,於該基底]4 1 〇上形成 一*溝槽圖案遮罩1 4 2 8。一弟一接點1 4 〇 4及一第二接點 1 406可被置於基底1410上之低k介電層u〇8中。— -31 ^ (28) (28)200408732 電障蔽層1 4 1 2通常(但不限定於氮化矽或碳化矽)可被 置於第一及第二接點1 4 0 4、1 4 0 6之上以避免銅擴散。一 低k介電層1420被置於障蔽層1412之上。第一 1440及 第二1 4 4 4通孔已被触刻入低k介電層1 4 2 0。部分插塞 1 4 4 8可被形成於通孔之底部上(步驟丨3 〇 8 )。此係一可 選擇的步驟。於某些實施例中,此形成部分插塞之步驟將 被省略。一溝槽圖案化遮罩1 42 8被形成於基底之上(步 驟 1 3 1 2 )。 低k介電層1 420進行一溝槽蝕刻循環1314。溝槽蝕 刻循環1 3 1 4包含以電漿沈積來沈積一保護層於通孔之側 壁上(步驟1 3 1 6 )及蝕刻通過遮罩(步驟1 3 1 2 )的步驟 。該循環被重複直到蝕刻之結束(步驟1 3 24 )。於一較 佳實施例中,溝槽蝕刻循環被重複至少一次。更理想的, 溝槽蝕刻循環被重複至少三次。最理想的,溝槽蝕刻循環 被重複至少五次。相信越多的循環次數容許越薄的側壁被 供應以每次循環,以容許籬之減少及減少的切面。圖〗4 B 係保護層〗4 5 2已被沈積後之基底1 4 1 0的部分之橫斷面圖 。最好是,保護層之沈積係一等向性視線電漿沈積,其於 本實施例中係形成一較厚的層於遮罩之頂部表面上及一較 薄的層於通孔之側壁上。最理想的,沈積係一表面反應型 (於該情況下所沈積之保護層爲保形的),其表示擁有同 等的厚度於頂部以及側壁表面上。最好是,沈積製程亦含 有此等方向性移除機構,諸如離子協助的蝕刻或濺射,以 此一方式而使得施加沈積製程之淨效果得以產生一保護敷 - 32- (29) (29)200408732 層於垂直側壁表面上而具有極少或者無沈積於其可能阻礙 溝槽蝕刻製程之進行的水平表面上。因此最好是其沈積製 程亦爲足夠高的離子能量以達成一選擇性的側壁保護,表 示其一*保護性敷層選擇性地僅形成於垂直輪廓側壁上而非 於待蝕刻介電層之水平蝕刻前表面上。一保護敷層可被形 成於遮罩圖案之水平頂部表面上,因爲係謹慎地選擇化學 作用以致其針對介電層反應而不針對遮罩層反應。圖丨4 c 係基底1 4 1 〇之部分的橫斷面圖,在蝕刻溝槽通過遮罩之 步驟(步驟1 3 2 0 )以後。通孔側壁之部分或全部被蝕刻 掉。於較佳實施例中,蝕刻係一高離子能量方向性各向異 性蝕刻。 在溝槽被部分地蝕刻後,假如欲持續蝕刻(步驟 1 3 24 )則製程循環回到步驟1 3 1 6,其中另一保護層1 4 6 0 被沈積,其再次形成保護側壁於通孔中,如圖1 4 D中所 示。溝槽之更多蝕刻被執行(步驟丨3 2 〇 )以進一步加深 溝槽1 4 5 6,如圖1 4E中所示。此循環持續直到溝槽被倉虫 刻至所欲的深度(步驟1 3 24 )。接著剩餘的保護層及遮 罩被剝除(步驟1 3 2 8 )。 此實施例提供側壁保護以避免切面。可去除用以避免 切面之高插塞的需求。一較短的插塞可被使用以避免障蔽 層之開□。然而,某些實施例可去除所有插塞。 處理程式 於本發明之一特定範例中,一 CoralTM層係使用一種 -33- (30) 200408732 通孔先方法而被蝕刻以形成一雙金屬鑲嵌特徵。通孔被 先蝕刻入C0rai (步驟13〇4 )。於此範例中,無部分插 被形成。一光抗蝕劑溝槽圖案遮罩被形成於C oral層上 步驟1 3 1 2 )。一抗反射層或其他層可被置於Coral層與 抗触劑遮罩之間。於此一情況下,各種蝕刻步驟可被加 以開啓此等中間層。 於通孔之側壁上的保護層沈積(步驟1 3 1 6 )係以 millitorr之壓力被執行於Exelan DFC 23 0 0,以4 00瓦 施加於27 MHz及0瓦被施加於2 MHz。沈積化學物 600 seem 之氬、80 seem 之 H2 及 60 seem 之 CF4。上電 被置於25 °C之溫度。夾盤被置於20°C之溫度。氦之背 內區域夾盤壓力被置於1 5 torr。背側外區域夾盤壓力 置於1 5 t0rr。此步驟被執行45秒。 將溝槽触刻入C ο 1· a 1 τ M層係以8 0 m i 11 i t 〇 r r之壓力 執行於Ex e] an DFC 2 3 0 0,以8 00瓦被施加於27 MHz及 瓦被施加於2 MHz。沈積化學物爲200 seem之氬、 seem 之 CF4、2 0 seem 之 CHF3 及 1 0 seem 之 〇2。CF4 爲聚合物形成物氣體,其提供蝕刻期間之聚合化。〇2 爲蝕刻致能物氣體。雖然來自CF4之氟被使用於蝕刻, 此範例中之氟需要存在有氧以致能蝕刻。上電極被置 2 5 °C之溫度。夾盤被置於2 0 °C之溫度。氦之背側內區 夾盤壓力被置於〗5 tori·。背側外區域夾盤壓力被置於 t 〇 r r ° 溝槽鈾刻氣體應含有至少聚合物形成物氣體成分與 首 塞 ( 光 入 80 被 爲 極 側 被 被 0 60 將 將 但 於 域 15 至 -34- (31) 200408732 少蝕刻氣體成分之一。聚合物形成物氣體是需要 提供溝槽側壁表面上之側壁保護以達成以一各向 方式之垂直溝槽輪廓。應注意其溝槽垂直表面被 口於溝槽蝕刻期間,因而需於溝槽蝕刻期間被保 孔垂直表面於蝕刻開始之前被開口,因爲溝槽與 側壁是極不同的。中至高離子能量亦爲較佳的, 於諸如溝槽輪廓、遮罩及底下層選擇性等性質。 例中,8 0 0瓦27MHz之RF功率提供>20 0ev之離 量。即使更高的離子能量亦是可能的,取決於諸 、縱橫尺寸比依存性’等溝槽蝕刻性質。 保護層之沈積(步驟1 3 1 6 )被執行4 5秒。 溝槽被蝕刻3 0秒(步驟1 3 2 0 )。保護層之沈, 1 3 I 6 )及溝槽之蝕刻(步驟3 0 8 )可被重複數次 3 3 0 0埃之溝槽蝕刻。 圖1 5 A係其已依據此範例而被蝕刻之一雙 特徵的橫斷面圖之光顯微照相。圖1 5 B係雙金屬 之頂部及側面透視圖。通孔1 5 0 4被蝕刻入C 〇 r a 。一溝槽1 5 1 2亦被触刻入C ο 1· a 1層。因爲此範 部分插塞,所以無插塞於通孔中,以致其移除插 步驟是不需要的。通孔及溝槽被良好地形成,雖 少量的切面]5 1 6。顯微照相亦顯示其此範例中 顯著地被減少或去除。 圖8A及8B顯示一電腦系統8 00,其係適於 制器5 3 5。圖8 A顯示其可用於控制器5 3 5之電 的,以利 異性蝕刻 持續地開 護,而通 通孔垂直 以利有益 於蝕刻範 子碰撞能 如微溝槽 接下來, 積(步驟 ,其提供 金屬鑲嵌 鑲嵌特徵 1 層 1 5 0 8 例不使用 塞之額外 然可發現 之切面已 使用爲控 腦系統的 - 35- (32) 200408732 可能實體形式。當然,電腦系統可具有許多實體 圍從積體電路、印刷電路板、及小型手持裝置至 電腦。電腦系統8 0 0包含一監視器802、一顯开 一殼體8 0 6、一碟片機8 0 8、一鍵盤8 1 0、及一界 碟片8 1 4爲用以轉移資料至及自電腦系統8 0 0之 式媒體。 圖8 B係電腦系統8 0 0之一方塊圖的範例。 系統安裝至系統匯流排8 2 0。處理器8 2 2 (亦稱 理單元或CPU )被耦合至儲存裝置,包含記憶體 憶體8 2 4包含隨機存取記憶體(RAM )及唯讀 ROM )。如習知技術中所熟知的,ROM係作用 轉移資料及指令至CPU而RAM通常係用以雙向 料及指令。這些型式的記憶體均可包含任何如下 當型式的電腦可讀式媒體。一固定碟8 2 6亦被雙 至C P U電話線路插座8 2 2其提供額外的資料儲 亦可包含任何如下所述之電腦可讀式媒體。固定 被使用以儲存程式、資料等等且通常爲一速度較 慢的附屬儲存媒體(諸如硬碟)。應理解其固定 所含之資訊可(於適當情況下)被結合以標準方 記憶體8 2 4中之虛擬記憶體。可移除式碟8 1 4可 下所述之電腦可讀式媒體的形式。 CPU 822亦可被耦合至多種輸入/輸出裝置 示器804、鍵盤810、滑鼠812及揚聲器830。 輸入/輸出裝置可爲以下之任一:視頻顯示器、 形式,範 大型超級 器 8 04、 卜鼠8 1 2。 電腦可讀 有數種次 爲中央處 8 24 。言己 記憶體( 以單向地 地轉移資 所述之適 向地耦合 存容量且 碟826可 主儲存爲 碟826中 式而成爲 爲任何如 ,諸如顯 通常,一 軌跡球、 -36- (33) (33)200408732 滑鼠、鍵盤、麥克風、觸控顯示器、轉換器卡讀取器、磁 或紙帶讀取器、輸入板、指示筆、聲音或手寫辨識器、生 物統計續取器、或其他電腦。C p u 8 2 2選擇性地可使用網 路介面8 4 0而被耦合至另一電腦或電通訊網路。以此一網 路介面’希望其C p u可從網路接收資訊,或者可輸出資 訊至網路’於執行上述方法步驟之過程中。再者,本發明 之方法實施例可僅執行於C P U上或者可執行透過網路( 諸如網際網路),以連結其共用處理之一部分的遠端Cp u 〇 此外’本發明之實施例進一步係有關具有電腦可讀式 媒體之電腦儲存產品,該電腦可讀式媒體上具有電腦碼以 執行各種電腦實施的操作。媒體及電腦碼可爲那些特別設 計及建構以供本發明之目的者,或者可爲那些熟悉電腦軟 體技術者所熟知且可得的。電腦可讀式媒體之範例包含( 但不限定於):磁性媒體,諸如硬碟、軟碟、及磁帶;光 學媒體,諸如CD-ROM及全像攝影裝置;磁光學媒體, 諸如光讀碟片;及硬體裝置,其係特別被構成以儲存及執 行程式碼’諸如特定應用的積體電路(ASICs )、可編程 邏輯裝置(PLDs )及R〇m及RAM裝置。電腦碼之範例 包含機器碼,諸如由編譯器所產生者、及含有較高階碼之 檔案,其係使用一解譯器而由電腦所執行。電腦可讀式媒 體亦可爲由一電腦貪料信號所傳輸之電腦碼,該電腦資料 信號係嵌入於載體波中且代表可由處理器所執行之指令序 列。 -37- (34) (34)200408732 雖然本發明已描述其數個實施例,但仍有其他落入本 發明之範圍內的修改、變更、及替代同等物。亦應注意有 §午多貫施本發明之方法及裝置的替代方式。因此以下申請 專利車6圍應被鮮續爲包含所有此等落入本發明之真嘗精神 及範圍內的修改、變更、及替代同等物。 【圖式簡單說明】 本發明係藉由附圖之圖形中範例而說明(但並非限制 ),而其中類似的參考數字係指類似的元件,其中: 圖1A-C係透過習知技術製程以形成一接觸孔特徵之 槪圖。 圖2 A - F係透過另一習知技術製程以形成一接觸孔特 徵之槪圖。 圖3係本發明之鈍化及鈾刻製程的流程圖。 圖4 A-F係使用本發明製程以形成一接觸孔的槪圖。 圖5係一種可用於實施本發明的系統之槪圖。 圖6係使用本發明所形成之多數高縱橫尺寸比接觸孔 的顯微照相。 圖7係使用習知技術製程所形成之多數高縱橫尺寸比 接觸孔的顯微照相。 圖8 A - B係一種可用於實施本發明的電腦系統之槪圖 〇 Η 9 A - F係一堆暨之橫斷面圖,於該堆疊上係使用習 知技術製程以形成雙金屬鑲嵌特徵。 -38- (35) 200408732 圖l 〇係使用於習知技術中以形成一雙金屬鑲嵌結構 之製程的高階流程圖。 圖1 1 A - B —種金屬鑲嵌結構之製造中的一晶圓部分 之橫斷面圖,其係無溝槽停止層且係使用低k介電質,依 據一習知技術製程。 圖1 2 A - B —種金屬鑲嵌結構之製造中的一晶圓部分 之橫斷面圖,其係無溝槽停止層且係使用低k介電質,依 據另一習知技術製程。 圖1 3係一使用通孔先技術以形成雙金屬鑲嵌特徵之 範例的高階流程圖。 圖MA-E係一基底之部分的橫斷面圖,以於其上形成 一雙金屬鑲嵌結構。 圖]5 A係其已依據本發明而被蝕刻之一雙金屬鑲嵌 特徵的橫斷面圖之光顯微照相。 圖1 5 B係雙金屬鑲嵌特徵之頂部及側面透視圖。 主要元件對照表 光抗蝕劑 氧化物 接觸孔 氧化物層 硬遮罩層 光抗蝕劑遮罩 硬遮罩層 1 04 108 1 1 2 204 208 2 12 2 14 -39 - (36) 200408732 2 16 接點 404 光抗 408 氧化 4 1 2 保護 4 16 特徵 4 18 額外 500 電漿 5 02 侷限 5 04 上電 508 下電 5 10 氣體 5 1 2 鈍化 5 14 蝕刻 5 16 額外 520 排出 528 反應 5 3 5 控制 5 3 7 第一 539 第二 540 電漿 54 1 第三 543 氣體 544 第一 548 第二 蝕劑遮罩 物層 層 的保護層 處理室 環 極 極 源 氣體源 劑氣體源 氣體源 泵 器頂部 器 控制閥 控制閥 體積 控制閥 入口 RF源 RF源 -40 (37) 室壁
基底晶圓 S i〇2層 蝕亥IJ Si〇2層 蝕亥!J 電腦系統 監視器 顯示器 殼體 碟片機 鍵盤 滑鼠 可移除式碟 系統匯流排 處理器 記憶體 固定碟 揚聲器 網路介面 堆疊 接點 介電層 晶圓 -41 - (38)200408732 9 12 障蔽層 9 16 介電層 920 溝槽停止層 924 介電層 928 抗反射層(ARL ) 932 抗蝕劑層 940 通孔 944 外殻 960 抗蝕劑層 964 溝槽 968 外殼 972 切面 974 金屬障蔽層 976 銅晶種層 978 銅 1104 接點 1106 弟—一 J女舶 1108 低k介電層 1110 晶圓 1112 電障蔽層 1120 低k介電層 1128 抗反射層(ARL ) 1132 抗蝕劑層 1140 通孔 - 42- (39)200408732 1160 抗 蝕 劑 層 1164 溝 槽 1172 切 面 1204 第 一 接 點 1206 第 二 接 點 12 12 障 蔽 層 1220 低 k 介 電 層 1228 底 部 抗 反 射 塗 1240 第 一 通 孔 1244 第 二 通 孔 1 24 8、 1252 溝 槽 1 2 5 6、 1260 籬 1 262、 1 264 切 面 13 14 溝 槽 蝕 刻 循 環 1404 第 一 接 點 1406 第 二 接 點 1408 低 k 介 電 層 14 10 基 底 14 12 介 電 障 蔽 層 1420 低 k 介 電 層 142 8 溝 槽 圖 案 遮 罩 1440 第 一 通 孔 1 444 第 二 通 孔 1448 部分 插 塞 敷(BARC)層 -43- (40) 200408732 1452 保護層 1456 溝槽 1460 保護層 15 04 通孔 1508 Coral )¾ 15 12 溝槽 15 16 切面 -44

Claims (1)

  1. 200408732 Π) 拾、申請專利範圍 1 · 一種透過一蝕刻遮罩以蝕刻一層中之特徵的方法, 包含: 形成一保護敷層於蝕刻遮罩之暴露表面上及具有鈍化 氣體混合物之特徵的垂直側壁上;及 以反應蝕刻混合物蝕刻該特徵通過蝕刻遮罩,該蝕刻 混合物含有至少一蝕刻化學物及至少一鈍化化學物。 2 ·如申請專利範圍第1項之方法,其中蝕刻包含提供 大於2 0 0電子伏特之離子碰撞能量至基底。 3 ·如申請專利範圍第2項之方法,其中蝕刻化學物含 有聚合物形成物及蝕刻致能物。 4 ·如申請專利範圍第3項之方法,其中鈍化及蝕刻被 執行於一共同的電漿處理室。 5 ·如申請專利範圍第4項之方法,其中沈積係使用非 方向性沈積而蝕刻步驟係使用方向性蝕刻。 6 ·如申請專利範圍第5項之方法,其中鈍化係非蝕刻 或可忽略的蝕刻沈積。 7 .如申請專利範圍第6項之方法,其中沈積製程係選 自至少化學氣相沈積與濺射之一。 8 ·如申δ靑專利範圍第7項之方法,其中沈積及鈾刻被 執行以依序交替的方式至少四次。 9 ·如申請專利範圍第1項之方法,其中鈾刻遮罩係 1 9 3 nm以下世代的光抗蝕劑遮罩。 1 〇 ·如申§靑專利範圍第1項之方法,其中保護敷層被 -45- (2) (2)200408732 優先地形成於蝕刻遮罩之暴露區域上及特徵之側壁上° Π .如申請專利範圍第1 0項之方法,其中至少一鈍化 化學物釋放一聚合劑,其對於層較對於遮罩材料更具化_ 活性。 1 2 .如申請專利範圍第1 〇項之方法,其中方向性能量 離子被使用以優先地沈積累積於層之水平表面上,於藉@ 啓動層之選擇性表面上之敷層的移除機構以形成保護敷層 之步驟期間。 1 3 ·如申請專利範圍第1 1項之方法,其中至少一鈍化 化學物係具有F : C比率不大於2 : 1之氫氟碳。 1 4 .如申請專利範圍第1 1項之方法,其中至少一鈍化 化學物爲 ch3f、ch2f2、c2h5f、c2h4f2、c3h7f、c3h6f2 、c2h3f、ch4、c2h6、c2h4、c3h8、c2h2 之一。 1 5 ·如申請專利範圍第1 1項之方法,其中至少一鈍化 化學物爲Ar與CH3F之混合物。 1 6 ·如申請專利範圍第】2項之方法,其中於鈍化步驟 中所提供之離子能量係大於1 0 0電子伏特。 1 7 .如申請專利範圍第1項之方法,其中至少蝕刻化 學物之一爲C4F6。 1 8 .如申請專利範圍第1項之方法,其中用於放電之 至少RF能量之一係2MHz、27MHz及60MHz之一。 1 9 ·如申請專利範圍第丨項之方法,其中rf放電頻率 包括範圍4〇〇KHz至1 3 .56MHz之一較低頻率與範圍 2 7MHz至]20MHz之另一較高頻率的組合。 -46- (3) (3)200408732 2 0 . —種用以蝕刻一蝕刻遮罩底下之層的裝置,其中 該層係由一基底所支撐,該裝置包含= 一電漿處理室,其包含: 一室壁,用以形成一電漿處理室外殼; 一基底支撐,用以支撐電漿處理室外殼內之一基底 ’ 一壓力調節器,用以調節電漿處理室外殼中之壓力 至少一電極,用以提供電力至電漿處理室外殼以供 維持電漿; 一氣體入口,用以提供氣體進入電漿處理室外殼; 及 一氣體出口,用以從電漿處理室外殼排出氣體; 一沈積氣體源; 一蝕刻劑氣體源; 一第一控制閥,其係流體連接於電漿處理室的氣體入 口與沈積氣體源之間; 一第二控制閥,其係流體連接於電漿處理室的氣體入 口與蝕刻劑氣體源之間; 一控制器,其係可控制地連接至第一控制閥、第二控 制閥、及至少一電極,該控制器包含: 至少一處理器;及 電腦可讀式媒體,其包含= 電腦可讀式碼,以供開啓第一控制閥於至少一沈 -47- (4) (4)200408732 積步驟以提供從沈積氣體源至電漿處理室外殼之沈積氣體 5 電腦可讀式碼,以供封閉第二控制閥於至少一沈 積步驟以避免來自蝕刻劑氣體源之蝕刻氣體進入電漿處理 室外殼; 電腦可讀式碼,以供開啓第二控制閥於至少一沈 積步驟以提供從蝕刻劑氣體源至電漿處理室之蝕刻氣體; 及 電腦可讀式碼,以供於至少一沈積步驟加能至少 一電極以提供基底上之大於2 5 0伏特的偏壓。 2 1 ·如申請專利範圍第2 0項之裝置,進一步包含: 一鈍化氣體源;及 一第三控制閥,其係流體連接於電漿處理室的氣體入 口與鈍化氣體源之間, 其中電腦可讀式媒體進一步包含電腦可讀式碼,以供 開啓第三控制閥於至少一蝕刻步驟以提供從鈍化氣體源至 電漿處理室之鈍化氣體。 2 2 .如申請專利範圍第1 9項之裝置,其中電腦可讀式 媒體進一步包含電腦可讀式碼,以供多次地以交替方式執 行至少一沈積步驟及至少一蝕刻步驟。 2 3 . —種用以形成雙金屬鑲嵌特徵之方法,其包含: 形成通孔於一蝕刻層中; 提供一溝槽圖案化遮罩於蝕刻層之上; 鈾刻一溝槽,其中溝槽之蝕刻包含如下之一循環: -48- (5) (5)200408732 形成保護側壁於通孔之側壁上;及 蝕刻一溝槽通過溝槽圖案化遮罩;以及 剝除遮罩。 . 2 4 .如申請專利範圍第2 3項之方法,其中溝槽蝕刻循 環被重複至少三次。 2 5 .如申請專利範圍第2 3項之方法,其中溝槽蝕刻循 環被重複至少五次。 2 6 ·如申請專利範圍第2 5項之方法,其中鈍化及蝕刻 被執行於一共同的電漿處理室。 2 7 ·如申請專利範圍第2 6項之方法,其中沈積係使用 一非方向性沈積而蝕刻步驟係使用一方向性蝕刻。 2 8 ·如申請專利範圍第2 7項之方法,其中晶圓係由具 有大於1 〇 〇 ev之離子能量所碰撞,於沈積步驟期間。 2 9 .如申請專利範圍第2 7項之方法,其中鈍化係一非 倉虫刻或一可忽略的蝕刻沈積。 3 〇 ·如申請專利範圍第2 7項之方法,其中沈積係使用 —氣體混合物’其含有至少H2、CH3F、CH2F2、CHF3、 C4F6、C4H8之一以當作聚合物形成物及至少CF4、C2F6 、及N F 3之一以當作蝕刻氣體。 3 1 ·如申請專利範圍第2 7項之方法,其中沈積步驟係 使用含有CF4及H2之混合物。 3 2 .如申請專利範圍第3 1項之方法,其中〔ρ 4比Η 2 氣/ fe流率之體積流率係於〇 . 6 : 1至1 ·4 : 1之範圍內。 3 3 .如申請專利範圍第2 9項之方法,其中沈積製程係 -49- (6) (6)200408732 選自至少化學氣相沈積及濺射之一。 3 4 .如申請專利範圍第2 3項之方法,其中蝕刻層係一 低k介電材料。 3 5 .如申請專利範圍第2 3項之方法,其中通孔並未塡 入以犧牲性塡充物材料,在溝槽電漿蝕刻製程之開始以前 〇 3 6 .如申請專利範圍第3 3項之方法,其中通孔被塡入 以塡充物材料至不大於通孔高度之5 0 %,在溝槽電漿蝕 刻製程之開始以前。 .3 7 . —種由申請專利範圍第2 3項之方法所形成的半導 體。 3 8 . —種用以執行申請專利範圍第23項之方的裝置。 3 9 . —種用以飩刻一蝕刻遮罩底下之層的裝置,其中 該層係由一基底所支撐,該裝置包含: 一電漿處理室,其包含: 一室壁,其形成一電漿處理室外殼; 一基底支撐,用以支撐電漿處理室外殼內之一基底 一壓力調節器,用以調節電漿處理室外殼中之壓力 至少一電極,用以提供電力至電漿處理室外殼以維 持電漿; 一氣體入口,用以提供氣體進入電漿處理室外殼; 及 -50- (7) (7)200408732 一氣體出口,用以從電漿處理室外殻排出氣體; 一沈積氣體源; 一蝕刻劑氣體源; 一第一控制閥,其係流體連接於電漿處理室的氣體入 口與沈積氣體源之間; 一第二控制閥,其係流體連接於電漿處理室的氣體入 口與蝕刻劑氣體源之間; 一控制器,其係可控制地連接至第一控制閥、第二控 制閥、及至少一電極,該控制器包含: 至少一處理器;及 電腦可讀式媒體,其包含: 電腦可讀式碼,以供開啓第一控制閥於至少一沈 積步驟以提供從沈積氣體源至電漿處理室外殻之沈積氣體 j 電腦可讀式碼,以供封閉第二控制閥於至少一沈 積步驟以避免來自蝕刻劑氣體源之蝕刻氣體進入電漿處理 室外殼;及 電腦可讀式碼,以供開啓第二控制閥於至少一沈 積步驟以提供從蝕刻劑氣體源至電漿處理室之蝕刻氣體。 4 0.如申請專利範圍第39項之裝置,其中電腦可讀式 媒體進一步包含電腦可讀式碼,以供多次地以交替方式執 行至少一沈積步驟及至少一蝕刻步驟。 4 1 .如申請專利範圍第3 9項之裝置,其中蝕刻氣體源 包含一蝕刻氣體成分源及一聚合物形成物氣體成分。 -51 -
TW092128179A 2002-10-11 2003-10-09 A method for plasma etching performance enhancement TWI315751B (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US41780602P 2002-10-11 2002-10-11
US10/295,601 US6833325B2 (en) 2002-10-11 2002-11-14 Method for plasma etching performance enhancement
US10/674,675 US7169695B2 (en) 2002-10-11 2003-09-29 Method for forming a dual damascene structure

Publications (2)

Publication Number Publication Date
TW200408732A true TW200408732A (en) 2004-06-01
TWI315751B TWI315751B (en) 2009-10-11

Family

ID=46123508

Family Applications (1)

Application Number Title Priority Date Filing Date
TW092128179A TWI315751B (en) 2002-10-11 2003-10-09 A method for plasma etching performance enhancement

Country Status (5)

Country Link
KR (1) KR101075045B1 (zh)
CN (1) CN1723549B (zh)
IL (2) IL167935A (zh)
SG (1) SG152920A1 (zh)
TW (1) TWI315751B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI835806B (zh) * 2018-06-15 2024-03-21 日商東京威力科創股份有限公司 蝕刻方法及電漿處理裝置

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7910489B2 (en) * 2006-02-17 2011-03-22 Lam Research Corporation Infinitely selective photoresist mask etch
WO2009062123A2 (en) * 2007-11-08 2009-05-14 Lam Research Corporation Pitch reduction using oxide spacer
US20110068086A1 (en) * 2008-03-31 2011-03-24 Zeon Corporation Plasma etching method
US8277670B2 (en) * 2008-05-13 2012-10-02 Lam Research Corporation Plasma process with photoresist mask pretreatment
CN101988196B (zh) * 2009-08-07 2013-09-04 中微半导体设备(上海)有限公司 深反应离子刻蚀方法及其气体流量控制装置
US8304262B2 (en) * 2011-02-17 2012-11-06 Lam Research Corporation Wiggling control for pseudo-hardmask
US8501499B2 (en) * 2011-03-28 2013-08-06 Tokyo Electron Limited Adaptive recipe selector
US8598040B2 (en) * 2011-09-06 2013-12-03 Lam Research Corporation ETCH process for 3D flash structures
JP6030886B2 (ja) * 2012-08-09 2016-11-24 東京エレクトロン株式会社 プラズマエッチング方法及びプラズマエッチング装置
JP6320282B2 (ja) * 2014-12-05 2018-05-09 東京エレクトロン株式会社 エッチング方法
JP6232037B2 (ja) * 2015-12-04 2017-11-15 株式会社日本トリム 電解水生成システム
CN107994026B (zh) * 2017-11-16 2020-07-10 长江存储科技有限责任公司 一种在高深宽比沟道孔刻蚀中保护侧壁的工艺
CN107910294A (zh) * 2017-11-24 2018-04-13 睿力集成电路有限公司 半导体器件的互连线结构及半导体器件的互连线制造方法
US10453684B1 (en) * 2018-05-09 2019-10-22 Applied Materials, Inc. Method for patterning a material layer with desired dimensions
US10964587B2 (en) * 2018-05-21 2021-03-30 Tokyo Electron Limited Atomic layer deposition for low-K trench protection during etch
CN109524415B (zh) * 2018-11-14 2021-03-30 长江存储科技有限责任公司 三维存储器的制造方法及三维存储器
CN113035694A (zh) * 2019-12-25 2021-06-25 中微半导体设备(上海)股份有限公司 刻蚀方法
CN117976607B (zh) * 2024-03-27 2024-06-21 粤芯半导体技术股份有限公司 半导体器件的沟槽隔离制备方法以及半导体器件

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1357584A3 (en) * 1996-08-01 2005-01-12 Surface Technology Systems Plc Method of surface treatment of semiconductor substrates
US6025255A (en) * 1998-06-25 2000-02-15 Vanguard International Semiconductor Corporation Two-step etching process for forming self-aligned contacts
US6127258A (en) * 1998-06-25 2000-10-03 Motorola Inc. Method for forming a semiconductor device
US6326307B1 (en) * 1999-11-15 2001-12-04 Appllied Materials, Inc. Plasma pretreatment of photoresist in an oxide etch process
US6403491B1 (en) * 2000-11-01 2002-06-11 Applied Materials, Inc. Etch method using a dielectric etch chamber with expanded process window
DE10059836A1 (de) * 2000-12-01 2002-06-13 Infineon Technologies Ag Verfahren zur Strukturierung dielektrischer Schichten

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI835806B (zh) * 2018-06-15 2024-03-21 日商東京威力科創股份有限公司 蝕刻方法及電漿處理裝置

Also Published As

Publication number Publication date
IL190716A (en) 2011-07-31
TWI315751B (en) 2009-10-11
KR20100108467A (ko) 2010-10-06
IL190716A0 (en) 2008-11-03
KR101075045B1 (ko) 2011-10-19
IL167935A (en) 2009-12-24
CN1723549B (zh) 2012-01-18
SG152920A1 (en) 2009-06-29
CN1723549A (zh) 2006-01-18

Similar Documents

Publication Publication Date Title
JP5019748B2 (ja) プラズマエッチングのパフォーマンスを改善する方法
US6833325B2 (en) Method for plasma etching performance enhancement
US6916746B1 (en) Method for plasma etching using periodic modulation of gas chemistry
JP4971978B2 (ja) ガス化学反応および炭化水素付加の周期的変調を用いたプラズマストリッピング方法
US7977390B2 (en) Method for plasma etching performance enhancement
JP5085997B2 (ja) プラズマエッチング性能強化方法及び装置
TW200408732A (en) A method for plasma etching performance enhancement
JP5081917B2 (ja) フッ素除去プロセス
TW200527532A (en) Method of preventing damage to porous low-K materials during resist stripping
EP1856717A2 (en) Stabilized photoresist structure for etching process
JP2001506421A (ja) プラズマ誘発帯電損傷を低減するための方法
US7192531B1 (en) In-situ plug fill
WO2006019849A1 (en) Low-k dielectric etch
TW463253B (en) Manufacturing method for deep submicron gate

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees